source: firmware/FAD/FACT_FAD_TB_lib/hds/spi_max6662_tb/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 16.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5]
6)
7version "24.1"
8appVersion "2009.2 (Build 10)"
9model (Symbol
10commonDM (CommonDM
11ldm (LogicalDM
12usingSuid 1
13emptyRow *1 (LEmptyRow
14)
15uid 53,0
16optionalChildren [
17*2 (RefLabelRowHdr
18)
19*3 (TitleRowHdr
20)
21*4 (FilterRowHdr
22)
23*5 (RefLabelColHdr
24tm "RefLabelColHdrMgr"
25)
26*6 (RowExpandColHdr
27tm "RowExpandColHdrMgr"
28)
29*7 (GroupColHdr
30tm "GroupColHdrMgr"
31)
32*8 (NameColHdr
33tm "NameColHdrMgr"
34)
35*9 (ModeColHdr
36tm "ModeColHdrMgr"
37)
38*10 (TypeColHdr
39tm "TypeColHdrMgr"
40)
41*11 (BoundsColHdr
42tm "BoundsColHdrMgr"
43)
44*12 (InitColHdr
45tm "InitColHdrMgr"
46)
47*13 (EolColHdr
48tm "EolColHdrMgr"
49)
50]
51)
52pdm (PhysicalDM
53displayShortBounds 1
54editShortBounds 1
55uid 66,0
56optionalChildren [
57*14 (Sheet
58sheetRow (SheetRow
59headerVa (MVa
60cellColor "49152,49152,49152"
61fontColor "0,0,0"
62font "Tahoma,10,0"
63)
64cellVa (MVa
65cellColor "65535,65535,65535"
66fontColor "0,0,0"
67font "Tahoma,10,0"
68)
69groupVa (MVa
70cellColor "39936,56832,65280"
71fontColor "0,0,0"
72font "Tahoma,10,0"
73)
74emptyMRCItem *15 (MRCItem
75litem &1
76pos 3
77dimension 20
78)
79uid 68,0
80optionalChildren [
81*16 (MRCItem
82litem &2
83pos 0
84dimension 20
85uid 69,0
86)
87*17 (MRCItem
88litem &3
89pos 1
90dimension 23
91uid 70,0
92)
93*18 (MRCItem
94litem &4
95pos 2
96hidden 1
97dimension 20
98uid 71,0
99)
100]
101)
102sheetCol (SheetCol
103propVa (MVa
104cellColor "0,49152,49152"
105fontColor "0,0,0"
106font "Tahoma,10,0"
107textAngle 90
108)
109uid 72,0
110optionalChildren [
111*19 (MRCItem
112litem &5
113pos 0
114dimension 20
115uid 73,0
116)
117*20 (MRCItem
118litem &7
119pos 1
120dimension 50
121uid 74,0
122)
123*21 (MRCItem
124litem &8
125pos 2
126dimension 100
127uid 75,0
128)
129*22 (MRCItem
130litem &9
131pos 3
132dimension 50
133uid 76,0
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139uid 77,0
140)
141*24 (MRCItem
142litem &11
143pos 5
144dimension 100
145uid 78,0
146)
147*25 (MRCItem
148litem &12
149pos 6
150dimension 50
151uid 79,0
152)
153*26 (MRCItem
154litem &13
155pos 7
156dimension 80
157uid 80,0
158)
159]
160)
161fixedCol 4
162fixedRow 2
163name "Ports"
164uid 67,0
165vaOverrides [
166]
167)
168]
169)
170uid 52,0
171)
172genericsCommonDM (CommonDM
173ldm (LogicalDM
174emptyRow *27 (LEmptyRow
175)
176uid 82,0
177optionalChildren [
178*28 (RefLabelRowHdr
179)
180*29 (TitleRowHdr
181)
182*30 (FilterRowHdr
183)
184*31 (RefLabelColHdr
185tm "RefLabelColHdrMgr"
186)
187*32 (RowExpandColHdr
188tm "RowExpandColHdrMgr"
189)
190*33 (GroupColHdr
191tm "GroupColHdrMgr"
192)
193*34 (NameColHdr
194tm "GenericNameColHdrMgr"
195)
196*35 (TypeColHdr
197tm "GenericTypeColHdrMgr"
198)
199*36 (InitColHdr
200tm "GenericValueColHdrMgr"
201)
202*37 (PragmaColHdr
203tm "GenericPragmaColHdrMgr"
204)
205*38 (EolColHdr
206tm "GenericEolColHdrMgr"
207)
208*39 (LogGeneric
209generic (GiElement
210name "SPI_CLK_DIVIDER"
211type "integer"
212value "25"
213)
214uid 109,0
215)
216*40 (LogGeneric
217generic (GiElement
218name "SCLK_CYCLES"
219type "integer"
220value "24"
221)
222uid 111,0
223)
224]
225)
226pdm (PhysicalDM
227displayShortBounds 1
228editShortBounds 1
229uid 94,0
230optionalChildren [
231*41 (Sheet
232sheetRow (SheetRow
233headerVa (MVa
234cellColor "49152,49152,49152"
235fontColor "0,0,0"
236font "Tahoma,10,0"
237)
238cellVa (MVa
239cellColor "65535,65535,65535"
240fontColor "0,0,0"
241font "Tahoma,10,0"
242)
243groupVa (MVa
244cellColor "39936,56832,65280"
245fontColor "0,0,0"
246font "Tahoma,10,0"
247)
248emptyMRCItem *42 (MRCItem
249litem &27
250pos 3
251dimension 20
252)
253uid 96,0
254optionalChildren [
255*43 (MRCItem
256litem &28
257pos 0
258dimension 20
259uid 97,0
260)
261*44 (MRCItem
262litem &29
263pos 1
264dimension 23
265uid 98,0
266)
267*45 (MRCItem
268litem &30
269pos 2
270hidden 1
271dimension 20
272uid 99,0
273)
274*46 (MRCItem
275litem &39
276pos 0
277dimension 20
278uid 108,0
279)
280*47 (MRCItem
281litem &40
282pos 1
283dimension 20
284uid 110,0
285)
286]
287)
288sheetCol (SheetCol
289propVa (MVa
290cellColor "0,49152,49152"
291fontColor "0,0,0"
292font "Tahoma,10,0"
293textAngle 90
294)
295uid 100,0
296optionalChildren [
297*48 (MRCItem
298litem &31
299pos 0
300dimension 20
301uid 101,0
302)
303*49 (MRCItem
304litem &33
305pos 1
306dimension 50
307uid 102,0
308)
309*50 (MRCItem
310litem &34
311pos 2
312dimension 100
313uid 103,0
314)
315*51 (MRCItem
316litem &35
317pos 3
318dimension 100
319uid 104,0
320)
321*52 (MRCItem
322litem &36
323pos 4
324dimension 50
325uid 105,0
326)
327*53 (MRCItem
328litem &37
329pos 5
330dimension 50
331uid 106,0
332)
333*54 (MRCItem
334litem &38
335pos 6
336dimension 80
337uid 107,0
338)
339]
340)
341fixedCol 3
342fixedRow 2
343name "Ports"
344uid 95,0
345vaOverrides [
346]
347)
348]
349)
350uid 81,0
351type 1
352)
353VExpander (VariableExpander
354vvMap [
355(vvPair
356variable "HDLDir"
357value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
358)
359(vvPair
360variable "HDSDir"
361value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
362)
363(vvPair
364variable "SideDataDesignDir"
365value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\symbol.sb.info"
366)
367(vvPair
368variable "SideDataUserDir"
369value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\symbol.sb.user"
370)
371(vvPair
372variable "SourceDir"
373value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
374)
375(vvPair
376variable "appl"
377value "HDL Designer"
378)
379(vvPair
380variable "arch_name"
381value "symbol"
382)
383(vvPair
384variable "config"
385value "%(unit)_%(view)_config"
386)
387(vvPair
388variable "d"
389value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb"
390)
391(vvPair
392variable "d_logical"
393value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb"
394)
395(vvPair
396variable "date"
397value "31.01.2011"
398)
399(vvPair
400variable "day"
401value "Mo"
402)
403(vvPair
404variable "day_long"
405value "Montag"
406)
407(vvPair
408variable "dd"
409value "31"
410)
411(vvPair
412variable "entity_name"
413value "spi_max6662_tb"
414)
415(vvPair
416variable "ext"
417value "<TBD>"
418)
419(vvPair
420variable "f"
421value "symbol.sb"
422)
423(vvPair
424variable "f_logical"
425value "symbol.sb"
426)
427(vvPair
428variable "f_noext"
429value "symbol"
430)
431(vvPair
432variable "group"
433value "UNKNOWN"
434)
435(vvPair
436variable "host"
437value "E5B-LABOR6"
438)
439(vvPair
440variable "language"
441value "VHDL"
442)
443(vvPair
444variable "library"
445value "FACT_FAD_TB_lib"
446)
447(vvPair
448variable "library_downstream_ModelSimCompiler"
449value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
450)
451(vvPair
452variable "mm"
453value "01"
454)
455(vvPair
456variable "module_name"
457value "spi_max6662_tb"
458)
459(vvPair
460variable "month"
461value "Jan"
462)
463(vvPair
464variable "month_long"
465value "Januar"
466)
467(vvPair
468variable "p"
469value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\symbol.sb"
470)
471(vvPair
472variable "p_logical"
473value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\symbol.sb"
474)
475(vvPair
476variable "package_name"
477value "<Undefined Variable>"
478)
479(vvPair
480variable "project_name"
481value "FACT_FAD"
482)
483(vvPair
484variable "series"
485value "HDL Designer Series"
486)
487(vvPair
488variable "task_DesignCompilerPath"
489value "<TBD>"
490)
491(vvPair
492variable "task_LeonardoPath"
493value "<TBD>"
494)
495(vvPair
496variable "task_ModelSimPath"
497value "C:\\modeltech_6.6a\\win32"
498)
499(vvPair
500variable "task_NC-SimPath"
501value "<TBD>"
502)
503(vvPair
504variable "task_PrecisionRTLPath"
505value "<TBD>"
506)
507(vvPair
508variable "task_QuestaSimPath"
509value "<TBD>"
510)
511(vvPair
512variable "task_VCSPath"
513value "<TBD>"
514)
515(vvPair
516variable "this_ext"
517value "sb"
518)
519(vvPair
520variable "this_file"
521value "symbol"
522)
523(vvPair
524variable "this_file_logical"
525value "symbol"
526)
527(vvPair
528variable "time"
529value "14:19:19"
530)
531(vvPair
532variable "unit"
533value "spi_max6662_tb"
534)
535(vvPair
536variable "user"
537value "dneise"
538)
539(vvPair
540variable "version"
541value "2009.2 (Build 10)"
542)
543(vvPair
544variable "view"
545value "symbol"
546)
547(vvPair
548variable "year"
549value "2011"
550)
551(vvPair
552variable "yy"
553value "11"
554)
555]
556)
557LanguageMgr "VhdlLangMgr"
558uid 51,0
559optionalChildren [
560*55 (SymbolBody
561uid 8,0
562shape (Rectangle
563uid 9,0
564va (VaSet
565vasetType 1
566fg "0,65535,0"
567lineColor "0,32896,0"
568lineWidth 2
569)
570xt "15000,6000,33000,26000"
571)
572biTextGroup (BiTextGroup
573uid 10,0
574ps "CenterOffsetStrategy"
575stg "VerticalLayoutStrategy"
576first (Text
577uid 11,0
578va (VaSet
579font "Arial,8,1"
580)
581xt "22200,15000,29900,16000"
582st "FACT_FAD_TB_lib"
583blo "22200,15800"
584)
585second (Text
586uid 12,0
587va (VaSet
588font "Arial,8,1"
589)
590xt "22200,16000,28800,17000"
591st "spi_max6662_tb"
592blo "22200,16800"
593)
594)
595gi *56 (GenericInterface
596uid 13,0
597ps "CenterOffsetStrategy"
598matrix (Matrix
599uid 14,0
600text (MLText
601uid 15,0
602va (VaSet
603font "Courier New,8,0"
604)
605xt "0,12000,15500,15200"
606st "Generic Declarations
607
608SPI_CLK_DIVIDER integer 25
609SCLK_CYCLES integer 24 "
610)
611header "Generic Declarations"
612showHdrWhenContentsEmpty 1
613)
614elements [
615(GiElement
616name "SPI_CLK_DIVIDER"
617type "integer"
618value "25"
619)
620(GiElement
621name "SCLK_CYCLES"
622type "integer"
623value "24"
624)
625]
626)
627portInstanceVisAsIs 1
628portInstanceVis (PortSigDisplay
629sIVOD 1
630)
631portVis (PortSigDisplay
632sIVOD 1
633)
634)
635*57 (Grouping
636uid 16,0
637optionalChildren [
638*58 (CommentText
639uid 18,0
640shape (Rectangle
641uid 19,0
642sl 0
643va (VaSet
644vasetType 1
645fg "65280,65280,46080"
646)
647xt "36000,48000,53000,49000"
648)
649oxt "18000,70000,35000,71000"
650text (MLText
651uid 20,0
652va (VaSet
653fg "0,0,32768"
654bg "0,0,32768"
655)
656xt "36200,48000,45700,49000"
657st "
658by %user on %dd %month %year
659"
660tm "CommentText"
661wrapOption 3
662visibleHeight 1000
663visibleWidth 17000
664)
665position 1
666ignorePrefs 1
667titleBlock 1
668)
669*59 (CommentText
670uid 21,0
671shape (Rectangle
672uid 22,0
673sl 0
674va (VaSet
675vasetType 1
676fg "65280,65280,46080"
677)
678xt "53000,44000,57000,45000"
679)
680oxt "35000,66000,39000,67000"
681text (MLText
682uid 23,0
683va (VaSet
684fg "0,0,32768"
685bg "0,0,32768"
686)
687xt "53200,44000,56200,45000"
688st "
689Project:
690"
691tm "CommentText"
692wrapOption 3
693visibleHeight 1000
694visibleWidth 4000
695)
696position 1
697ignorePrefs 1
698titleBlock 1
699)
700*60 (CommentText
701uid 24,0
702shape (Rectangle
703uid 25,0
704sl 0
705va (VaSet
706vasetType 1
707fg "65280,65280,46080"
708)
709xt "36000,46000,53000,47000"
710)
711oxt "18000,68000,35000,69000"
712text (MLText
713uid 26,0
714va (VaSet
715fg "0,0,32768"
716bg "0,0,32768"
717)
718xt "36200,46000,46200,47000"
719st "
720<enter diagram title here>
721"
722tm "CommentText"
723wrapOption 3
724visibleHeight 1000
725visibleWidth 17000
726)
727position 1
728ignorePrefs 1
729titleBlock 1
730)
731*61 (CommentText
732uid 27,0
733shape (Rectangle
734uid 28,0
735sl 0
736va (VaSet
737vasetType 1
738fg "65280,65280,46080"
739)
740xt "32000,46000,36000,47000"
741)
742oxt "14000,68000,18000,69000"
743text (MLText
744uid 29,0
745va (VaSet
746fg "0,0,32768"
747bg "0,0,32768"
748)
749xt "32200,46000,34300,47000"
750st "
751Title:
752"
753tm "CommentText"
754wrapOption 3
755visibleHeight 1000
756visibleWidth 4000
757)
758position 1
759ignorePrefs 1
760titleBlock 1
761)
762*62 (CommentText
763uid 30,0
764shape (Rectangle
765uid 31,0
766sl 0
767va (VaSet
768vasetType 1
769fg "65280,65280,46080"
770)
771xt "53000,45000,73000,49000"
772)
773oxt "35000,67000,55000,71000"
774text (MLText
775uid 32,0
776va (VaSet
777fg "0,0,32768"
778bg "0,0,32768"
779)
780xt "53200,45200,62400,46200"
781st "
782<enter comments here>
783"
784tm "CommentText"
785wrapOption 3
786visibleHeight 4000
787visibleWidth 20000
788)
789ignorePrefs 1
790titleBlock 1
791)
792*63 (CommentText
793uid 33,0
794shape (Rectangle
795uid 34,0
796sl 0
797va (VaSet
798vasetType 1
799fg "65280,65280,46080"
800)
801xt "57000,44000,73000,45000"
802)
803oxt "39000,66000,55000,67000"
804text (MLText
805uid 35,0
806va (VaSet
807fg "0,0,32768"
808bg "0,0,32768"
809)
810xt "57200,44000,61700,45000"
811st "
812%project_name
813"
814tm "CommentText"
815wrapOption 3
816visibleHeight 1000
817visibleWidth 16000
818)
819position 1
820ignorePrefs 1
821titleBlock 1
822)
823*64 (CommentText
824uid 36,0
825shape (Rectangle
826uid 37,0
827sl 0
828va (VaSet
829vasetType 1
830fg "65280,65280,46080"
831)
832xt "32000,44000,53000,46000"
833)
834oxt "14000,66000,35000,68000"
835text (MLText
836uid 38,0
837va (VaSet
838fg "32768,0,0"
839)
840xt "39150,44500,45850,45500"
841st "
842<company name>
843"
844ju 0
845tm "CommentText"
846wrapOption 3
847visibleHeight 2000
848visibleWidth 21000
849)
850position 1
851ignorePrefs 1
852titleBlock 1
853)
854*65 (CommentText
855uid 39,0
856shape (Rectangle
857uid 40,0
858sl 0
859va (VaSet
860vasetType 1
861fg "65280,65280,46080"
862)
863xt "32000,47000,36000,48000"
864)
865oxt "14000,69000,18000,70000"
866text (MLText
867uid 41,0
868va (VaSet
869fg "0,0,32768"
870bg "0,0,32768"
871)
872xt "32200,47000,34300,48000"
873st "
874Path:
875"
876tm "CommentText"
877wrapOption 3
878visibleHeight 1000
879visibleWidth 4000
880)
881position 1
882ignorePrefs 1
883titleBlock 1
884)
885*66 (CommentText
886uid 42,0
887shape (Rectangle
888uid 43,0
889sl 0
890va (VaSet
891vasetType 1
892fg "65280,65280,46080"
893)
894xt "32000,48000,36000,49000"
895)
896oxt "14000,70000,18000,71000"
897text (MLText
898uid 44,0
899va (VaSet
900fg "0,0,32768"
901bg "0,0,32768"
902)
903xt "32200,48000,34900,49000"
904st "
905Edited:
906"
907tm "CommentText"
908wrapOption 3
909visibleHeight 1000
910visibleWidth 4000
911)
912position 1
913ignorePrefs 1
914titleBlock 1
915)
916*67 (CommentText
917uid 45,0
918shape (Rectangle
919uid 46,0
920sl 0
921va (VaSet
922vasetType 1
923fg "65280,65280,46080"
924)
925xt "36000,47000,53000,48000"
926)
927oxt "18000,69000,35000,70000"
928text (MLText
929uid 47,0
930va (VaSet
931fg "0,0,32768"
932bg "0,0,32768"
933)
934xt "36200,47000,52100,48000"
935st "
936%library/%unit/%view
937"
938tm "CommentText"
939wrapOption 3
940visibleHeight 1000
941visibleWidth 17000
942)
943position 1
944ignorePrefs 1
945titleBlock 1
946)
947]
948shape (GroupingShape
949uid 17,0
950va (VaSet
951vasetType 1
952fg "65535,65535,65535"
953lineStyle 2
954lineWidth 2
955)
956xt "32000,44000,73000,49000"
957)
958oxt "14000,66000,55000,71000"
959)
960]
961bg "65535,65535,65535"
962grid (Grid
963origin "0,0"
964isVisible 1
965isActive 1
966xSpacing 1000
967xySpacing 1000
968xShown 1
969yShown 1
970color "26368,26368,26368"
971)
972packageList *68 (PackageList
973uid 48,0
974stg "VerticalLayoutStrategy"
975textVec [
976*69 (Text
977uid 49,0
978va (VaSet
979font "arial,8,1"
980)
981xt "0,0,5400,1000"
982st "Package List"
983blo "0,800"
984)
985*70 (MLText
986uid 50,0
987va (VaSet
988)
989xt "0,1000,10900,4000"
990tm "PackageList"
991)
992]
993)
994windowSize "0,0,1015,690"
995viewArea "0,0,0,0"
996cachedDiagramExtent "0,0,0,0"
997pageBreakOrigin "0,0"
998defaultCommentText (CommentText
999shape (Rectangle
1000layer 0
1001va (VaSet
1002vasetType 1
1003fg "65280,65280,46080"
1004lineColor "0,0,32768"
1005)
1006xt "0,0,15000,5000"
1007)
1008text (MLText
1009va (VaSet
1010fg "0,0,32768"
1011)
1012xt "200,200,2000,1200"
1013st "
1014Text
1015"
1016tm "CommentText"
1017wrapOption 3
1018visibleHeight 4600
1019visibleWidth 14600
1020)
1021)
1022defaultPanel (Panel
1023shape (RectFrame
1024va (VaSet
1025vasetType 1
1026fg "65535,65535,65535"
1027lineColor "32768,0,0"
1028lineWidth 3
1029)
1030xt "0,0,20000,20000"
1031)
1032title (TextAssociate
1033ps "TopLeftStrategy"
1034text (Text
1035va (VaSet
1036font "Arial,8,1"
1037)
1038xt "1000,1000,3800,2000"
1039st "Panel0"
1040blo "1000,1800"
1041tm "PanelText"
1042)
1043)
1044)
1045parentGraphicsRef (HdmGraphicsRef
1046libraryName ""
1047entityName ""
1048viewName ""
1049)
1050defaultSymbolBody (SymbolBody
1051shape (Rectangle
1052va (VaSet
1053vasetType 1
1054fg "0,65535,0"
1055lineColor "0,32896,0"
1056lineWidth 2
1057)
1058xt "15000,6000,33000,26000"
1059)
1060biTextGroup (BiTextGroup
1061ps "CenterOffsetStrategy"
1062stg "VerticalLayoutStrategy"
1063first (Text
1064va (VaSet
1065font "Arial,8,1"
1066)
1067xt "22200,15000,25800,16000"
1068st "<library>"
1069blo "22200,15800"
1070)
1071second (Text
1072va (VaSet
1073font "Arial,8,1"
1074)
1075xt "22200,16000,24800,17000"
1076st "<cell>"
1077blo "22200,16800"
1078)
1079)
1080gi *71 (GenericInterface
1081ps "CenterOffsetStrategy"
1082matrix (Matrix
1083text (MLText
1084va (VaSet
1085font "Courier New,8,0"
1086)
1087xt "0,12000,11500,12800"
1088st "Generic Declarations"
1089)
1090header "Generic Declarations"
1091showHdrWhenContentsEmpty 1
1092)
1093elements [
1094]
1095)
1096portInstanceVisAsIs 1
1097portInstanceVis (PortSigDisplay
1098sIVOD 1
1099)
1100portVis (PortSigDisplay
1101sIVOD 1
1102)
1103)
1104defaultCptPort (CptPort
1105ps "OnEdgeStrategy"
1106shape (Triangle
1107ro 90
1108va (VaSet
1109vasetType 1
1110fg "0,65535,0"
1111)
1112xt "0,0,750,750"
1113)
1114tg (CPTG
1115ps "CptPortTextPlaceStrategy"
1116stg "VerticalLayoutStrategy"
1117f (Text
1118va (VaSet
1119)
1120xt "0,750,1400,1750"
1121st "In0"
1122blo "0,1550"
1123tm "CptPortNameMgr"
1124)
1125)
1126dt (MLText
1127va (VaSet
1128font "Courier New,8,0"
1129)
1130)
1131thePort (LogicalPort
1132decl (Decl
1133n "In0"
1134t "std_logic_vector"
1135b "(15 DOWNTO 0)"
1136o 0
1137)
1138)
1139)
1140defaultCptPortBuffer (CptPort
1141ps "OnEdgeStrategy"
1142shape (Diamond
1143va (VaSet
1144vasetType 1
1145fg "65535,65535,65535"
1146bg "0,0,0"
1147)
1148xt "0,0,750,750"
1149)
1150tg (CPTG
1151ps "CptPortTextPlaceStrategy"
1152stg "VerticalLayoutStrategy"
1153f (Text
1154va (VaSet
1155)
1156xt "0,750,2800,1750"
1157st "Buffer0"
1158blo "0,1550"
1159tm "CptPortNameMgr"
1160)
1161)
1162dt (MLText
1163va (VaSet
1164font "Courier New,8,0"
1165)
1166)
1167thePort (LogicalPort
1168m 3
1169decl (Decl
1170n "Buffer0"
1171t "std_logic_vector"
1172b "(15 DOWNTO 0)"
1173o 0
1174)
1175)
1176)
1177DeclarativeBlock *72 (SymDeclBlock
1178uid 1,0
1179stg "SymDeclLayoutStrategy"
1180declLabel (Text
1181uid 2,0
1182va (VaSet
1183font "Arial,8,1"
1184)
1185xt "42000,0,47400,1000"
1186st "Declarations"
1187blo "42000,800"
1188)
1189portLabel (Text
1190uid 3,0
1191va (VaSet
1192font "Arial,8,1"
1193)
1194xt "42000,1000,44700,2000"
1195st "Ports:"
1196blo "42000,1800"
1197)
1198externalLabel (Text
1199uid 4,0
1200va (VaSet
1201font "Arial,8,1"
1202)
1203xt "42000,2000,44400,3000"
1204st "User:"
1205blo "42000,2800"
1206)
1207internalLabel (Text
1208uid 6,0
1209va (VaSet
1210isHidden 1
1211font "Arial,8,1"
1212)
1213xt "42000,0,47800,1000"
1214st "Internal User:"
1215blo "42000,800"
1216)
1217externalText (MLText
1218uid 5,0
1219va (VaSet
1220font "Courier New,8,0"
1221)
1222xt "44000,3000,44000,3000"
1223tm "SyDeclarativeTextMgr"
1224)
1225internalText (MLText
1226uid 7,0
1227va (VaSet
1228isHidden 1
1229font "Courier New,8,0"
1230)
1231xt "42000,0,42000,0"
1232tm "SyDeclarativeTextMgr"
1233)
1234)
1235lastUid 134,0
1236okToSyncOnLoad 1
1237OkToSyncGenericsOnLoad 1
1238activeModelName "Symbol:CDM"
1239)
Note: See TracBrowser for help on using the repository browser.