source: firmware/FAD/FACT_FAD_TB_lib/hds/timer_tb/struct.bd.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 46.2 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20instances [
21(Instance
22name "U_0"
23duLibraryName "FACT_FAD_lib"
24duName "timer"
25elements [
26(GiElement
27name "TIMER_WIDTH"
28type "integer"
29value "32"
30)
31(GiElement
32name "PRESCALER"
33type "integer"
34value "5000"
35)
36]
37mwi 0
38uid 534,0
39)
40(Instance
41name "U_1"
42duLibraryName "FACT_FAD_TB_lib"
43duName "timer_tester"
44elements [
45]
46mwi 0
47uid 604,0
48)
49(Instance
50name "U_2"
51duLibraryName "FACT_FAD_TB_lib"
52duName "clock_generator"
53elements [
54(GiElement
55name "clock_period"
56type "time"
57value "20 ns"
58)
59(GiElement
60name "reset_time"
61type "time"
62value "50 ns"
63)
64]
65mwi 0
66uid 645,0
67)
68]
69libraryRefs [
70"ieee"
71]
72)
73version "29.1"
74appVersion "2009.2 (Build 10)"
75noEmbeddedEditors 1
76model (BlockDiag
77VExpander (VariableExpander
78vvMap [
79(vvPair
80variable "HDLDir"
81value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
82)
83(vvPair
84variable "HDSDir"
85value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
86)
87(vvPair
88variable "SideDataDesignDir"
89value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd.info"
90)
91(vvPair
92variable "SideDataUserDir"
93value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd.user"
94)
95(vvPair
96variable "SourceDir"
97value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
98)
99(vvPair
100variable "appl"
101value "HDL Designer"
102)
103(vvPair
104variable "arch_name"
105value "struct"
106)
107(vvPair
108variable "config"
109value "%(unit)_%(view)_config"
110)
111(vvPair
112variable "d"
113value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
114)
115(vvPair
116variable "d_logical"
117value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
118)
119(vvPair
120variable "date"
121value "23.02.2011"
122)
123(vvPair
124variable "day"
125value "Mi"
126)
127(vvPair
128variable "day_long"
129value "Mittwoch"
130)
131(vvPair
132variable "dd"
133value "23"
134)
135(vvPair
136variable "entity_name"
137value "timer_tb"
138)
139(vvPair
140variable "ext"
141value "<TBD>"
142)
143(vvPair
144variable "f"
145value "struct.bd"
146)
147(vvPair
148variable "f_logical"
149value "struct.bd"
150)
151(vvPair
152variable "f_noext"
153value "struct"
154)
155(vvPair
156variable "group"
157value "UNKNOWN"
158)
159(vvPair
160variable "host"
161value "E5B-LABOR6"
162)
163(vvPair
164variable "language"
165value "VHDL"
166)
167(vvPair
168variable "library"
169value "FACT_FAD_TB_lib"
170)
171(vvPair
172variable "library_downstream_HdsLintPlugin"
173value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\designcheck"
174)
175(vvPair
176variable "library_downstream_ISEPARInvoke"
177value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
178)
179(vvPair
180variable "library_downstream_ImpactInvoke"
181value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
182)
183(vvPair
184variable "library_downstream_ModelSimCompiler"
185value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
186)
187(vvPair
188variable "library_downstream_XSTDataPrep"
189value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
190)
191(vvPair
192variable "mm"
193value "02"
194)
195(vvPair
196variable "module_name"
197value "timer_tb"
198)
199(vvPair
200variable "month"
201value "Feb"
202)
203(vvPair
204variable "month_long"
205value "Februar"
206)
207(vvPair
208variable "p"
209value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd"
210)
211(vvPair
212variable "p_logical"
213value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd"
214)
215(vvPair
216variable "package_name"
217value "<Undefined Variable>"
218)
219(vvPair
220variable "project_name"
221value "FACT_FAD"
222)
223(vvPair
224variable "series"
225value "HDL Designer Series"
226)
227(vvPair
228variable "task_DesignCompilerPath"
229value "<TBD>"
230)
231(vvPair
232variable "task_LeonardoPath"
233value "<TBD>"
234)
235(vvPair
236variable "task_ModelSimPath"
237value "C:\\modeltech_6.6a\\win32"
238)
239(vvPair
240variable "task_NC-SimPath"
241value "<TBD>"
242)
243(vvPair
244variable "task_PrecisionRTLPath"
245value "<TBD>"
246)
247(vvPair
248variable "task_QuestaSimPath"
249value "<TBD>"
250)
251(vvPair
252variable "task_VCSPath"
253value "<TBD>"
254)
255(vvPair
256variable "this_ext"
257value "bd"
258)
259(vvPair
260variable "this_file"
261value "struct"
262)
263(vvPair
264variable "this_file_logical"
265value "struct"
266)
267(vvPair
268variable "time"
269value "12:23:40"
270)
271(vvPair
272variable "unit"
273value "timer_tb"
274)
275(vvPair
276variable "user"
277value "dneise"
278)
279(vvPair
280variable "version"
281value "2009.2 (Build 10)"
282)
283(vvPair
284variable "view"
285value "struct"
286)
287(vvPair
288variable "year"
289value "2011"
290)
291(vvPair
292variable "yy"
293value "11"
294)
295]
296)
297LanguageMgr "VhdlLangMgr"
298uid 186,0
299optionalChildren [
300*1 (Net
301uid 43,0
302decl (Decl
303n "time_o"
304t "std_logic_vector"
305b "( TIMER_WIDTH-1 downto 0)"
306o 1
307suid 1,0
308)
309declText (MLText
310uid 44,0
311va (VaSet
312font "Courier New,8,0"
313)
314xt "22000,7000,56000,7800"
315st "SIGNAL time_o : std_logic_vector( TIMER_WIDTH-1 downto 0)"
316)
317)
318*2 (Net
319uid 51,0
320decl (Decl
321n "synched_o"
322t "std_logic"
323o 2
324suid 2,0
325i "'0'"
326)
327declText (MLText
328uid 52,0
329va (VaSet
330font "Courier New,8,0"
331)
332xt "22000,6200,43000,7000"
333st "SIGNAL synched_o : std_logic := '0'"
334)
335)
336*3 (Net
337uid 67,0
338decl (Decl
339n "synch_i"
340t "std_logic"
341o 4
342suid 4,0
343)
344declText (MLText
345uid 68,0
346va (VaSet
347font "Courier New,8,0"
348)
349xt "22000,5400,39500,6200"
350st "SIGNAL synch_i : std_logic"
351)
352)
353*4 (Net
354uid 75,0
355decl (Decl
356n "enable_i"
357t "std_logic"
358o 5
359suid 5,0
360)
361declText (MLText
362uid 76,0
363va (VaSet
364font "Courier New,8,0"
365)
366xt "22000,3800,39500,4600"
367st "SIGNAL enable_i : std_logic"
368)
369)
370*5 (Grouping
371uid 133,0
372optionalChildren [
373*6 (CommentText
374uid 135,0
375shape (Rectangle
376uid 136,0
377sl 0
378va (VaSet
379vasetType 1
380fg "65280,65280,46080"
381)
382xt "29000,48000,46000,49000"
383)
384oxt "18000,70000,35000,71000"
385text (MLText
386uid 137,0
387va (VaSet
388fg "0,0,32768"
389bg "0,0,32768"
390)
391xt "29200,48000,38800,49000"
392st "
393by %user on %dd %month %year
394"
395tm "CommentText"
396wrapOption 3
397visibleHeight 1000
398visibleWidth 17000
399)
400position 1
401ignorePrefs 1
402titleBlock 1
403)
404*7 (CommentText
405uid 138,0
406shape (Rectangle
407uid 139,0
408sl 0
409va (VaSet
410vasetType 1
411fg "65280,65280,46080"
412)
413xt "46000,44000,50000,45000"
414)
415oxt "35000,66000,39000,67000"
416text (MLText
417uid 140,0
418va (VaSet
419fg "0,0,32768"
420bg "0,0,32768"
421)
422xt "46200,44000,49200,45000"
423st "
424Project:
425"
426tm "CommentText"
427wrapOption 3
428visibleHeight 1000
429visibleWidth 4000
430)
431position 1
432ignorePrefs 1
433titleBlock 1
434)
435*8 (CommentText
436uid 141,0
437shape (Rectangle
438uid 142,0
439sl 0
440va (VaSet
441vasetType 1
442fg "65280,65280,46080"
443)
444xt "29000,46000,46000,47000"
445)
446oxt "18000,68000,35000,69000"
447text (MLText
448uid 143,0
449va (VaSet
450fg "0,0,32768"
451bg "0,0,32768"
452)
453xt "29200,46000,39200,47000"
454st "
455<enter diagram title here>
456"
457tm "CommentText"
458wrapOption 3
459visibleHeight 1000
460visibleWidth 17000
461)
462position 1
463ignorePrefs 1
464titleBlock 1
465)
466*9 (CommentText
467uid 144,0
468shape (Rectangle
469uid 145,0
470sl 0
471va (VaSet
472vasetType 1
473fg "65280,65280,46080"
474)
475xt "25000,46000,29000,47000"
476)
477oxt "14000,68000,18000,69000"
478text (MLText
479uid 146,0
480va (VaSet
481fg "0,0,32768"
482bg "0,0,32768"
483)
484xt "25200,46000,27300,47000"
485st "
486Title:
487"
488tm "CommentText"
489wrapOption 3
490visibleHeight 1000
491visibleWidth 4000
492)
493position 1
494ignorePrefs 1
495titleBlock 1
496)
497*10 (CommentText
498uid 147,0
499shape (Rectangle
500uid 148,0
501sl 0
502va (VaSet
503vasetType 1
504fg "65280,65280,46080"
505)
506xt "46000,45000,66000,49000"
507)
508oxt "35000,67000,55000,71000"
509text (MLText
510uid 149,0
511va (VaSet
512fg "0,0,32768"
513bg "0,0,32768"
514)
515xt "46200,45200,55400,46200"
516st "
517<enter comments here>
518"
519tm "CommentText"
520wrapOption 3
521visibleHeight 4000
522visibleWidth 20000
523)
524ignorePrefs 1
525titleBlock 1
526)
527*11 (CommentText
528uid 150,0
529shape (Rectangle
530uid 151,0
531sl 0
532va (VaSet
533vasetType 1
534fg "65280,65280,46080"
535)
536xt "50000,44000,66000,45000"
537)
538oxt "39000,66000,55000,67000"
539text (MLText
540uid 152,0
541va (VaSet
542fg "0,0,32768"
543bg "0,0,32768"
544)
545xt "50200,44000,54700,45000"
546st "
547%project_name
548"
549tm "CommentText"
550wrapOption 3
551visibleHeight 1000
552visibleWidth 16000
553)
554position 1
555ignorePrefs 1
556titleBlock 1
557)
558*12 (CommentText
559uid 153,0
560shape (Rectangle
561uid 154,0
562sl 0
563va (VaSet
564vasetType 1
565fg "65280,65280,46080"
566)
567xt "25000,44000,46000,46000"
568)
569oxt "14000,66000,35000,68000"
570text (MLText
571uid 155,0
572va (VaSet
573fg "32768,0,0"
574)
575xt "32150,44500,38850,45500"
576st "
577<company name>
578"
579ju 0
580tm "CommentText"
581wrapOption 3
582visibleHeight 2000
583visibleWidth 21000
584)
585position 1
586ignorePrefs 1
587titleBlock 1
588)
589*13 (CommentText
590uid 156,0
591shape (Rectangle
592uid 157,0
593sl 0
594va (VaSet
595vasetType 1
596fg "65280,65280,46080"
597)
598xt "25000,47000,29000,48000"
599)
600oxt "14000,69000,18000,70000"
601text (MLText
602uid 158,0
603va (VaSet
604fg "0,0,32768"
605bg "0,0,32768"
606)
607xt "25200,47000,27300,48000"
608st "
609Path:
610"
611tm "CommentText"
612wrapOption 3
613visibleHeight 1000
614visibleWidth 4000
615)
616position 1
617ignorePrefs 1
618titleBlock 1
619)
620*14 (CommentText
621uid 159,0
622shape (Rectangle
623uid 160,0
624sl 0
625va (VaSet
626vasetType 1
627fg "65280,65280,46080"
628)
629xt "25000,48000,29000,49000"
630)
631oxt "14000,70000,18000,71000"
632text (MLText
633uid 161,0
634va (VaSet
635fg "0,0,32768"
636bg "0,0,32768"
637)
638xt "25200,48000,27900,49000"
639st "
640Edited:
641"
642tm "CommentText"
643wrapOption 3
644visibleHeight 1000
645visibleWidth 4000
646)
647position 1
648ignorePrefs 1
649titleBlock 1
650)
651*15 (CommentText
652uid 162,0
653shape (Rectangle
654uid 163,0
655sl 0
656va (VaSet
657vasetType 1
658fg "65280,65280,46080"
659)
660xt "29000,47000,46000,48000"
661)
662oxt "18000,69000,35000,70000"
663text (MLText
664uid 164,0
665va (VaSet
666fg "0,0,32768"
667bg "0,0,32768"
668)
669xt "29200,47000,42100,48000"
670st "
671%library/%unit/%view
672"
673tm "CommentText"
674wrapOption 3
675visibleHeight 1000
676visibleWidth 17000
677)
678position 1
679ignorePrefs 1
680titleBlock 1
681)
682]
683shape (GroupingShape
684uid 134,0
685va (VaSet
686vasetType 1
687fg "65535,65535,65535"
688lineStyle 2
689lineWidth 2
690)
691xt "25000,44000,66000,49000"
692)
693oxt "14000,66000,55000,71000"
694)
695*16 (SaComponent
696uid 534,0
697optionalChildren [
698*17 (CptPort
699uid 510,0
700ps "OnEdgeStrategy"
701shape (Triangle
702uid 511,0
703ro 90
704va (VaSet
705vasetType 1
706fg "0,65535,0"
707)
708xt "8250,13625,9000,14375"
709)
710tg (CPTG
711uid 512,0
712ps "CptPortTextPlaceStrategy"
713stg "VerticalLayoutStrategy"
714f (Text
715uid 513,0
716va (VaSet
717)
718xt "10000,13500,11300,14500"
719st "clk"
720blo "10000,14300"
721)
722)
723thePort (LogicalPort
724decl (Decl
725n "clk"
726t "std_logic"
727o 1
728)
729)
730)
731*18 (CptPort
732uid 514,0
733ps "OnEdgeStrategy"
734shape (Triangle
735uid 515,0
736ro 90
737va (VaSet
738vasetType 1
739fg "0,65535,0"
740)
741xt "29000,13625,29750,14375"
742)
743tg (CPTG
744uid 516,0
745ps "CptPortTextPlaceStrategy"
746stg "RightVerticalLayoutStrategy"
747f (Text
748uid 517,0
749va (VaSet
750)
751xt "16600,13500,28000,14500"
752st "time_o : (TIMER_WIDTH-1:0)"
753ju 2
754blo "28000,14300"
755)
756)
757thePort (LogicalPort
758m 1
759decl (Decl
760n "time_o"
761t "std_logic_vector"
762b "( TIMER_WIDTH-1 downto 0)"
763o 2
764)
765)
766)
767*19 (CptPort
768uid 518,0
769ps "OnEdgeStrategy"
770shape (Triangle
771uid 519,0
772ro 90
773va (VaSet
774vasetType 1
775fg "0,65535,0"
776)
777xt "8250,14625,9000,15375"
778)
779tg (CPTG
780uid 520,0
781ps "CptPortTextPlaceStrategy"
782stg "VerticalLayoutStrategy"
783f (Text
784uid 521,0
785va (VaSet
786)
787xt "10000,14500,12900,15500"
788st "synch_i"
789blo "10000,15300"
790)
791)
792thePort (LogicalPort
793decl (Decl
794n "synch_i"
795t "std_logic"
796o 3
797)
798)
799)
800*20 (CptPort
801uid 522,0
802ps "OnEdgeStrategy"
803shape (Triangle
804uid 523,0
805ro 90
806va (VaSet
807vasetType 1
808fg "0,65535,0"
809)
810xt "29000,14625,29750,15375"
811)
812tg (CPTG
813uid 524,0
814ps "CptPortTextPlaceStrategy"
815stg "RightVerticalLayoutStrategy"
816f (Text
817uid 525,0
818va (VaSet
819)
820xt "24100,14500,28000,15500"
821st "synched_o"
822ju 2
823blo "28000,15300"
824)
825)
826thePort (LogicalPort
827m 1
828decl (Decl
829n "synched_o"
830t "std_logic"
831o 4
832i "'0'"
833)
834)
835)
836*21 (CptPort
837uid 526,0
838ps "OnEdgeStrategy"
839shape (Triangle
840uid 527,0
841ro 90
842va (VaSet
843vasetType 1
844fg "0,65535,0"
845)
846xt "8250,15625,9000,16375"
847)
848tg (CPTG
849uid 528,0
850ps "CptPortTextPlaceStrategy"
851stg "VerticalLayoutStrategy"
852f (Text
853uid 529,0
854va (VaSet
855)
856xt "10000,15500,15400,16500"
857st "reset_synch_i"
858blo "10000,16300"
859)
860)
861thePort (LogicalPort
862decl (Decl
863n "reset_synch_i"
864t "std_logic"
865o 5
866)
867)
868)
869*22 (CptPort
870uid 530,0
871ps "OnEdgeStrategy"
872shape (Triangle
873uid 531,0
874ro 90
875va (VaSet
876vasetType 1
877fg "0,65535,0"
878)
879xt "8250,16625,9000,17375"
880)
881tg (CPTG
882uid 532,0
883ps "CptPortTextPlaceStrategy"
884stg "VerticalLayoutStrategy"
885f (Text
886uid 533,0
887va (VaSet
888)
889xt "10000,16500,13200,17500"
890st "enable_i"
891blo "10000,17300"
892)
893)
894thePort (LogicalPort
895decl (Decl
896n "enable_i"
897t "std_logic"
898o 6
899)
900)
901)
902]
903shape (Rectangle
904uid 535,0
905va (VaSet
906vasetType 1
907fg "0,65535,0"
908lineColor "0,32896,0"
909lineWidth 2
910)
911xt "9000,13000,29000,18000"
912)
913oxt "0,0,8000,10000"
914ttg (MlTextGroup
915uid 536,0
916ps "CenterOffsetStrategy"
917stg "VerticalLayoutStrategy"
918textVec [
919*23 (Text
920uid 537,0
921va (VaSet
922font "Arial,8,1"
923)
924xt "15900,18000,22100,19000"
925st "FACT_FAD_lib"
926blo "15900,18800"
927tm "BdLibraryNameMgr"
928)
929*24 (Text
930uid 538,0
931va (VaSet
932font "Arial,8,1"
933)
934xt "15900,19000,18200,20000"
935st "timer"
936blo "15900,19800"
937tm "CptNameMgr"
938)
939*25 (Text
940uid 539,0
941va (VaSet
942font "Arial,8,1"
943)
944xt "15900,20000,17700,21000"
945st "U_0"
946blo "15900,20800"
947tm "InstanceNameMgr"
948)
949]
950)
951ga (GenericAssociation
952uid 540,0
953ps "EdgeToEdgeStrategy"
954matrix (Matrix
955uid 541,0
956text (MLText
957uid 542,0
958va (VaSet
959font "Courier New,8,0"
960)
961xt "9500,11400,28500,13000"
962st "TIMER_WIDTH = 32 ( integer )
963PRESCALER = 5000 ( integer ) "
964)
965header ""
966)
967elements [
968(GiElement
969name "TIMER_WIDTH"
970type "integer"
971value "32"
972)
973(GiElement
974name "PRESCALER"
975type "integer"
976value "5000"
977)
978]
979)
980viewicon (ZoomableIcon
981uid 543,0
982sl 0
983va (VaSet
984vasetType 1
985fg "49152,49152,49152"
986)
987xt "9250,16250,10750,17750"
988iconName "VhdlFileViewIcon.png"
989iconMaskName "VhdlFileViewIcon.msk"
990ftype 10
991)
992ordering 1
993viewiconposition 0
994portVis (PortSigDisplay
995)
996archFileType "UNKNOWN"
997)
998*26 (Net
999uid 544,0
1000decl (Decl
1001n "clk"
1002t "std_logic"
1003o 5
1004suid 8,0
1005)
1006declText (MLText
1007uid 545,0
1008va (VaSet
1009font "Courier New,8,0"
1010)
1011xt "22000,3000,39500,3800"
1012st "SIGNAL clk : std_logic"
1013)
1014)
1015*27 (Net
1016uid 558,0
1017decl (Decl
1018n "reset_synch_i"
1019t "std_logic"
1020o 6
1021suid 9,0
1022)
1023declText (MLText
1024uid 559,0
1025va (VaSet
1026font "Courier New,8,0"
1027)
1028xt "22000,4600,39500,5400"
1029st "SIGNAL reset_synch_i : std_logic"
1030)
1031)
1032*28 (SaComponent
1033uid 604,0
1034optionalChildren [
1035*29 (CptPort
1036uid 588,0
1037ps "OnEdgeStrategy"
1038shape (Triangle
1039uid 589,0
1040ro 270
1041va (VaSet
1042vasetType 1
1043fg "0,65535,0"
1044)
1045xt "15250,29625,16000,30375"
1046)
1047tg (CPTG
1048uid 590,0
1049ps "CptPortTextPlaceStrategy"
1050stg "VerticalLayoutStrategy"
1051f (Text
1052uid 591,0
1053va (VaSet
1054)
1055xt "17000,29500,20200,30500"
1056st "enable_i"
1057blo "17000,30300"
1058)
1059)
1060thePort (LogicalPort
1061lang 10
1062m 1
1063decl (Decl
1064n "enable_i"
1065t "std_logic"
1066o 3
1067suid 16,0
1068)
1069)
1070)
1071*30 (CptPort
1072uid 592,0
1073ps "OnEdgeStrategy"
1074shape (Triangle
1075uid 593,0
1076ro 270
1077va (VaSet
1078vasetType 1
1079fg "0,65535,0"
1080)
1081xt "15250,28625,16000,29375"
1082)
1083tg (CPTG
1084uid 594,0
1085ps "CptPortTextPlaceStrategy"
1086stg "VerticalLayoutStrategy"
1087f (Text
1088uid 595,0
1089va (VaSet
1090)
1091xt "17000,28500,19900,29500"
1092st "synch_i"
1093blo "17000,29300"
1094)
1095)
1096thePort (LogicalPort
1097lang 10
1098m 1
1099decl (Decl
1100n "synch_i"
1101t "std_logic"
1102o 6
1103suid 17,0
1104)
1105)
1106)
1107*31 (CptPort
1108uid 596,0
1109ps "OnEdgeStrategy"
1110shape (Triangle
1111uid 597,0
1112ro 270
1113va (VaSet
1114vasetType 1
1115fg "0,65535,0"
1116)
1117xt "34000,28625,34750,29375"
1118)
1119tg (CPTG
1120uid 598,0
1121ps "CptPortTextPlaceStrategy"
1122stg "RightVerticalLayoutStrategy"
1123f (Text
1124uid 599,0
1125va (VaSet
1126)
1127xt "29100,28500,33000,29500"
1128st "synched_o"
1129ju 2
1130blo "33000,29300"
1131)
1132)
1133thePort (LogicalPort
1134lang 10
1135decl (Decl
1136n "synched_o"
1137t "std_logic"
1138o 1
1139suid 18,0
1140)
1141)
1142)
1143*32 (CptPort
1144uid 600,0
1145ps "OnEdgeStrategy"
1146shape (Triangle
1147uid 601,0
1148ro 270
1149va (VaSet
1150vasetType 1
1151fg "0,65535,0"
1152)
1153xt "34000,27625,34750,28375"
1154)
1155tg (CPTG
1156uid 602,0
1157ps "CptPortTextPlaceStrategy"
1158stg "RightVerticalLayoutStrategy"
1159f (Text
1160uid 603,0
1161va (VaSet
1162)
1163xt "30400,27500,33000,28500"
1164st "time_o"
1165ju 2
1166blo "33000,28300"
1167)
1168)
1169thePort (LogicalPort
1170lang 10
1171decl (Decl
1172n "time_o"
1173t "std_logic_vector"
1174b "( 31 DOWNTO 0)"
1175o 2
1176suid 19,0
1177)
1178)
1179)
1180*33 (CptPort
1181uid 614,0
1182ps "OnEdgeStrategy"
1183shape (Triangle
1184uid 615,0
1185ro 90
1186va (VaSet
1187vasetType 1
1188fg "0,65535,0"
1189)
1190xt "34000,29625,34750,30375"
1191)
1192tg (CPTG
1193uid 616,0
1194ps "CptPortTextPlaceStrategy"
1195stg "RightVerticalLayoutStrategy"
1196f (Text
1197uid 617,0
1198va (VaSet
1199)
1200xt "31700,29500,33000,30500"
1201st "clk"
1202ju 2
1203blo "33000,30300"
1204)
1205)
1206thePort (LogicalPort
1207lang 10
1208m 1
1209decl (Decl
1210n "clk"
1211t "std_logic"
1212o 5
1213)
1214)
1215)
1216*34 (CptPort
1217uid 618,0
1218ps "OnEdgeStrategy"
1219shape (Triangle
1220uid 619,0
1221ro 90
1222va (VaSet
1223vasetType 1
1224fg "0,65535,0"
1225)
1226xt "34000,30625,34750,31375"
1227)
1228tg (CPTG
1229uid 620,0
1230ps "CptPortTextPlaceStrategy"
1231stg "RightVerticalLayoutStrategy"
1232f (Text
1233uid 621,0
1234va (VaSet
1235)
1236xt "27600,30500,33000,31500"
1237st "reset_synch_i"
1238ju 2
1239blo "33000,31300"
1240)
1241)
1242thePort (LogicalPort
1243lang 10
1244m 1
1245decl (Decl
1246n "reset_synch_i"
1247t "std_logic"
1248o 4
1249)
1250)
1251)
1252]
1253shape (Rectangle
1254uid 605,0
1255va (VaSet
1256vasetType 1
1257fg "0,65535,0"
1258lineColor "0,32896,0"
1259lineWidth 2
1260)
1261xt "16000,27000,34000,32000"
1262)
1263oxt "15000,6000,33000,10000"
1264ttg (MlTextGroup
1265uid 606,0
1266ps "CenterOffsetStrategy"
1267stg "VerticalLayoutStrategy"
1268textVec [
1269*35 (Text
1270uid 607,0
1271va (VaSet
1272font "Arial,8,1"
1273)
1274xt "21150,28000,28850,29000"
1275st "FACT_FAD_TB_lib"
1276blo "21150,28800"
1277tm "BdLibraryNameMgr"
1278)
1279*36 (Text
1280uid 608,0
1281va (VaSet
1282font "Arial,8,1"
1283)
1284xt "21150,29000,26350,30000"
1285st "timer_tester"
1286blo "21150,29800"
1287tm "CptNameMgr"
1288)
1289*37 (Text
1290uid 609,0
1291va (VaSet
1292font "Arial,8,1"
1293)
1294xt "21150,30000,22950,31000"
1295st "U_1"
1296blo "21150,30800"
1297tm "InstanceNameMgr"
1298)
1299]
1300)
1301ga (GenericAssociation
1302uid 610,0
1303ps "EdgeToEdgeStrategy"
1304matrix (Matrix
1305uid 611,0
1306text (MLText
1307uid 612,0
1308va (VaSet
1309font "Courier New,8,0"
1310)
1311xt "1000,25800,1000,25800"
1312)
1313header ""
1314)
1315elements [
1316]
1317)
1318viewicon (ZoomableIcon
1319uid 613,0
1320sl 0
1321va (VaSet
1322vasetType 1
1323fg "49152,49152,49152"
1324)
1325xt "16250,30250,17750,31750"
1326iconName "VhdlFileViewIcon.png"
1327iconMaskName "VhdlFileViewIcon.msk"
1328ftype 10
1329)
1330ordering 1
1331viewiconposition 0
1332portVis (PortSigDisplay
1333sTC 0
1334sF 0
1335)
1336archFileType "UNKNOWN"
1337)
1338*38 (SaComponent
1339uid 645,0
1340optionalChildren [
1341*39 (CptPort
1342uid 634,0
1343ps "OnEdgeStrategy"
1344shape (Triangle
1345uid 635,0
1346ro 90
1347va (VaSet
1348vasetType 1
1349fg "0,65535,0"
1350)
1351xt "13000,37625,13750,38375"
1352)
1353tg (CPTG
1354uid 636,0
1355ps "CptPortTextPlaceStrategy"
1356stg "RightVerticalLayoutStrategy"
1357f (Text
1358uid 637,0
1359va (VaSet
1360)
1361xt "10700,37500,12000,38500"
1362st "clk"
1363ju 2
1364blo "12000,38300"
1365)
1366)
1367thePort (LogicalPort
1368m 1
1369decl (Decl
1370n "clk"
1371t "std_logic"
1372preAdd 0
1373posAdd 0
1374o 1
1375suid 1,0
1376i "'0'"
1377)
1378)
1379)
1380*40 (CptPort
1381uid 638,0
1382ps "OnEdgeStrategy"
1383shape (Triangle
1384uid 639,0
1385ro 90
1386va (VaSet
1387vasetType 1
1388fg "0,65535,0"
1389)
1390xt "13000,38625,13750,39375"
1391)
1392tg (CPTG
1393uid 640,0
1394ps "CptPortTextPlaceStrategy"
1395stg "RightVerticalLayoutStrategy"
1396f (Text
1397uid 641,0
1398va (VaSet
1399)
1400xt "10700,38500,12000,39500"
1401st "rst"
1402ju 2
1403blo "12000,39300"
1404)
1405)
1406thePort (LogicalPort
1407m 1
1408decl (Decl
1409n "rst"
1410t "std_logic"
1411preAdd 0
1412posAdd 0
1413o 2
1414suid 2,0
1415i "'0'"
1416)
1417)
1418)
1419*41 (CommentText
1420uid 642,0
1421ps "EdgeToEdgeStrategy"
1422shape (Rectangle
1423uid 643,0
1424layer 0
1425va (VaSet
1426vasetType 1
1427fg "65280,65280,46080"
1428lineColor "0,0,32768"
1429)
1430xt "4500,26000,19500,30000"
1431)
1432oxt "21500,4000,36500,8000"
1433text (MLText
1434uid 644,0
1435va (VaSet
1436fg "0,0,32768"
1437)
1438xt "4700,26200,14500,27200"
1439st "
1440-- synthesis translate_off
1441"
1442tm "CommentText"
1443wrapOption 3
1444visibleHeight 4000
1445visibleWidth 15000
1446)
1447included 1
1448excludeCommentLeader 1
1449)
1450]
1451shape (Rectangle
1452uid 646,0
1453va (VaSet
1454vasetType 1
1455fg "0,49152,49152"
1456lineColor "0,0,50000"
1457lineWidth 2
1458)
1459xt "5000,37000,13000,41000"
1460)
1461oxt "22000,15000,30000,19000"
1462ttg (MlTextGroup
1463uid 647,0
1464ps "CenterOffsetStrategy"
1465stg "VerticalLayoutStrategy"
1466textVec [
1467*42 (Text
1468uid 648,0
1469va (VaSet
1470font "Arial,8,1"
1471)
1472xt "5150,41000,12850,42000"
1473st "FACT_FAD_TB_lib"
1474blo "5150,41800"
1475tm "BdLibraryNameMgr"
1476)
1477*43 (Text
1478uid 649,0
1479va (VaSet
1480font "Arial,8,1"
1481)
1482xt "5150,42000,11850,43000"
1483st "clock_generator"
1484blo "5150,42800"
1485tm "CptNameMgr"
1486)
1487*44 (Text
1488uid 650,0
1489va (VaSet
1490font "Arial,8,1"
1491)
1492xt "5150,43000,6950,44000"
1493st "U_2"
1494blo "5150,43800"
1495tm "InstanceNameMgr"
1496)
1497]
1498)
1499ga (GenericAssociation
1500uid 651,0
1501ps "EdgeToEdgeStrategy"
1502matrix (Matrix
1503uid 652,0
1504text (MLText
1505uid 653,0
1506va (VaSet
1507font "Courier New,8,0"
1508)
1509xt "4500,28400,23000,30000"
1510st "clock_period = 20 ns ( time )
1511reset_time = 50 ns ( time )
1512"
1513)
1514header ""
1515)
1516elements [
1517(GiElement
1518name "clock_period"
1519type "time"
1520value "20 ns"
1521)
1522(GiElement
1523name "reset_time"
1524type "time"
1525value "50 ns"
1526)
1527]
1528)
1529viewicon (ZoomableIcon
1530uid 654,0
1531sl 0
1532va (VaSet
1533vasetType 1
1534fg "49152,49152,49152"
1535)
1536xt "5250,39250,6750,40750"
1537iconName "VhdlFileViewIcon.png"
1538iconMaskName "VhdlFileViewIcon.msk"
1539ftype 10
1540)
1541ordering 1
1542viewiconposition 0
1543portVis (PortSigDisplay
1544)
1545archFileType "UNKNOWN"
1546)
1547*45 (Wire
1548uid 93,0
1549shape (OrthoPolyLine
1550uid 94,0
1551va (VaSet
1552vasetType 3
1553)
1554xt "10000,30000,15250,30000"
1555pts [
1556"10000,30000"
1557"15250,30000"
1558]
1559)
1560end &29
1561sat 16
1562eat 32
1563st 0
1564sf 1
1565si 0
1566tg (WTG
1567uid 99,0
1568ps "ConnStartEndStrategy"
1569stg "STSignalDisplayStrategy"
1570f (Text
1571uid 100,0
1572va (VaSet
1573)
1574xt "11000,29000,14200,30000"
1575st "enable_i"
1576blo "11000,29800"
1577tm "WireNameMgr"
1578)
1579)
1580on &4
1581)
1582*46 (Wire
1583uid 109,0
1584shape (OrthoPolyLine
1585uid 110,0
1586va (VaSet
1587vasetType 3
1588)
1589xt "10000,29000,15250,29000"
1590pts [
1591"10000,29000"
1592"15250,29000"
1593]
1594)
1595end &30
1596sat 16
1597eat 32
1598st 0
1599sf 1
1600si 0
1601tg (WTG
1602uid 115,0
1603ps "ConnStartEndStrategy"
1604stg "STSignalDisplayStrategy"
1605f (Text
1606uid 116,0
1607va (VaSet
1608)
1609xt "11000,28000,13900,29000"
1610st "synch_i"
1611blo "11000,28800"
1612tm "WireNameMgr"
1613)
1614)
1615on &3
1616)
1617*47 (Wire
1618uid 117,0
1619shape (OrthoPolyLine
1620uid 118,0
1621va (VaSet
1622vasetType 3
1623lineWidth 2
1624)
1625xt "34750,28000,48000,28000"
1626pts [
1627"34750,28000"
1628"48000,28000"
1629]
1630)
1631start &32
1632sat 32
1633eat 16
1634sty 1
1635st 0
1636sf 1
1637si 0
1638tg (WTG
1639uid 123,0
1640ps "ConnStartEndStrategy"
1641stg "STSignalDisplayStrategy"
1642f (Text
1643uid 124,0
1644va (VaSet
1645)
1646xt "36000,27000,47400,28000"
1647st "time_o : (TIMER_WIDTH-1:0)"
1648blo "36000,27800"
1649tm "WireNameMgr"
1650)
1651)
1652on &1
1653)
1654*48 (Wire
1655uid 125,0
1656shape (OrthoPolyLine
1657uid 126,0
1658va (VaSet
1659vasetType 3
1660)
1661xt "34750,29000,48000,29000"
1662pts [
1663"34750,29000"
1664"48000,29000"
1665]
1666)
1667start &31
1668sat 32
1669eat 16
1670st 0
1671sf 1
1672si 0
1673tg (WTG
1674uid 131,0
1675ps "ConnStartEndStrategy"
1676stg "STSignalDisplayStrategy"
1677f (Text
1678uid 132,0
1679va (VaSet
1680)
1681xt "36000,28000,39900,29000"
1682st "synched_o"
1683blo "36000,28800"
1684tm "WireNameMgr"
1685)
1686)
1687on &2
1688)
1689*49 (Wire
1690uid 546,0
1691shape (OrthoPolyLine
1692uid 547,0
1693va (VaSet
1694vasetType 3
1695)
1696xt "5000,14000,8250,14000"
1697pts [
1698"5000,14000"
1699"8250,14000"
1700]
1701)
1702end &17
1703sat 16
1704eat 32
1705st 0
1706sf 1
1707si 0
1708tg (WTG
1709uid 550,0
1710ps "ConnStartEndStrategy"
1711stg "STSignalDisplayStrategy"
1712f (Text
1713uid 551,0
1714va (VaSet
1715)
1716xt "6000,13000,7300,14000"
1717st "clk"
1718blo "6000,13800"
1719tm "WireNameMgr"
1720)
1721)
1722on &26
1723)
1724*50 (Wire
1725uid 552,0
1726shape (OrthoPolyLine
1727uid 553,0
1728va (VaSet
1729vasetType 3
1730)
1731xt "3000,15000,8250,15000"
1732pts [
1733"3000,15000"
1734"8250,15000"
1735]
1736)
1737end &19
1738sat 16
1739eat 32
1740st 0
1741sf 1
1742si 0
1743tg (WTG
1744uid 556,0
1745ps "ConnStartEndStrategy"
1746stg "STSignalDisplayStrategy"
1747f (Text
1748uid 557,0
1749va (VaSet
1750)
1751xt "4000,14000,6900,15000"
1752st "synch_i"
1753blo "4000,14800"
1754tm "WireNameMgr"
1755)
1756)
1757on &3
1758)
1759*51 (Wire
1760uid 560,0
1761shape (OrthoPolyLine
1762uid 561,0
1763va (VaSet
1764vasetType 3
1765)
1766xt "1000,16000,8250,16000"
1767pts [
1768"1000,16000"
1769"8250,16000"
1770]
1771)
1772end &21
1773sat 16
1774eat 32
1775st 0
1776sf 1
1777si 0
1778tg (WTG
1779uid 564,0
1780ps "ConnStartEndStrategy"
1781stg "STSignalDisplayStrategy"
1782f (Text
1783uid 565,0
1784va (VaSet
1785)
1786xt "2000,15000,7400,16000"
1787st "reset_synch_i"
1788blo "2000,15800"
1789tm "WireNameMgr"
1790)
1791)
1792on &27
1793)
1794*52 (Wire
1795uid 566,0
1796shape (OrthoPolyLine
1797uid 567,0
1798va (VaSet
1799vasetType 3
1800)
1801xt "3000,17000,8250,17000"
1802pts [
1803"3000,17000"
1804"8250,17000"
1805]
1806)
1807end &22
1808sat 16
1809eat 32
1810st 0
1811sf 1
1812si 0
1813tg (WTG
1814uid 570,0
1815ps "ConnStartEndStrategy"
1816stg "STSignalDisplayStrategy"
1817f (Text
1818uid 571,0
1819va (VaSet
1820)
1821xt "4000,16000,7200,17000"
1822st "enable_i"
1823blo "4000,16800"
1824tm "WireNameMgr"
1825)
1826)
1827on &4
1828)
1829*53 (Wire
1830uid 572,0
1831shape (OrthoPolyLine
1832uid 573,0
1833va (VaSet
1834vasetType 3
1835lineWidth 2
1836)
1837xt "29750,14000,43000,14000"
1838pts [
1839"29750,14000"
1840"43000,14000"
1841]
1842)
1843start &18
1844sat 32
1845eat 16
1846sty 1
1847st 0
1848sf 1
1849si 0
1850tg (WTG
1851uid 576,0
1852ps "ConnStartEndStrategy"
1853stg "STSignalDisplayStrategy"
1854f (Text
1855uid 577,0
1856va (VaSet
1857)
1858xt "31000,13000,42400,14000"
1859st "time_o : (TIMER_WIDTH-1:0)"
1860blo "31000,13800"
1861tm "WireNameMgr"
1862)
1863)
1864on &1
1865)
1866*54 (Wire
1867uid 578,0
1868shape (OrthoPolyLine
1869uid 579,0
1870va (VaSet
1871vasetType 3
1872)
1873xt "29750,15000,36000,15000"
1874pts [
1875"29750,15000"
1876"36000,15000"
1877]
1878)
1879start &20
1880sat 32
1881eat 16
1882st 0
1883sf 1
1884si 0
1885tg (WTG
1886uid 582,0
1887ps "ConnStartEndStrategy"
1888stg "STSignalDisplayStrategy"
1889f (Text
1890uid 583,0
1891va (VaSet
1892)
1893xt "31000,14000,34900,15000"
1894st "synched_o"
1895blo "31000,14800"
1896tm "WireNameMgr"
1897)
1898)
1899on &2
1900)
1901*55 (Wire
1902uid 622,0
1903shape (OrthoPolyLine
1904uid 623,0
1905va (VaSet
1906vasetType 3
1907)
1908xt "34750,30000,38000,30000"
1909pts [
1910"34750,30000"
1911"38000,30000"
1912]
1913)
1914start &33
1915sat 32
1916eat 16
1917stc 0
1918st 0
1919si 0
1920tg (WTG
1921uid 626,0
1922ps "ConnStartEndStrategy"
1923stg "STSignalDisplayStrategy"
1924f (Text
1925uid 627,0
1926va (VaSet
1927)
1928xt "36000,29000,37300,30000"
1929st "clk"
1930blo "36000,29800"
1931tm "WireNameMgr"
1932)
1933)
1934on &26
1935)
1936*56 (Wire
1937uid 628,0
1938shape (OrthoPolyLine
1939uid 629,0
1940va (VaSet
1941vasetType 3
1942)
1943xt "34750,31000,42000,31000"
1944pts [
1945"34750,31000"
1946"42000,31000"
1947]
1948)
1949start &34
1950sat 32
1951eat 16
1952stc 0
1953st 0
1954si 0
1955tg (WTG
1956uid 632,0
1957ps "ConnStartEndStrategy"
1958stg "STSignalDisplayStrategy"
1959f (Text
1960uid 633,0
1961va (VaSet
1962)
1963xt "36000,30000,41400,31000"
1964st "reset_synch_i"
1965blo "36000,30800"
1966tm "WireNameMgr"
1967)
1968)
1969on &27
1970)
1971]
1972bg "65535,65535,65535"
1973grid (Grid
1974origin "0,0"
1975isVisible 1
1976isActive 1
1977xSpacing 1000
1978xySpacing 1000
1979xShown 1
1980yShown 1
1981color "26368,26368,26368"
1982)
1983packageList *57 (PackageList
1984uid 175,0
1985stg "VerticalLayoutStrategy"
1986textVec [
1987*58 (Text
1988uid 176,0
1989va (VaSet
1990font "arial,8,1"
1991)
1992xt "0,0,5400,1000"
1993st "Package List"
1994blo "0,800"
1995)
1996*59 (MLText
1997uid 177,0
1998va (VaSet
1999)
2000xt "0,1000,12400,5000"
2001st "LIBRARY ieee;
2002USE ieee.std_logic_1164.ALL;
2003USE ieee.std_logic_arith.ALL;
2004USE ieee.std_logic_unsigned.all;"
2005tm "PackageList"
2006)
2007]
2008)
2009compDirBlock (MlTextGroup
2010uid 178,0
2011stg "VerticalLayoutStrategy"
2012textVec [
2013*60 (Text
2014uid 179,0
2015va (VaSet
2016isHidden 1
2017font "Arial,8,1"
2018)
2019xt "20000,0,28100,1000"
2020st "Compiler Directives"
2021blo "20000,800"
2022)
2023*61 (Text
2024uid 180,0
2025va (VaSet
2026isHidden 1
2027font "Arial,8,1"
2028)
2029xt "20000,1000,29600,2000"
2030st "Pre-module directives:"
2031blo "20000,1800"
2032)
2033*62 (MLText
2034uid 181,0
2035va (VaSet
2036isHidden 1
2037)
2038xt "20000,2000,27500,4000"
2039st "`resetall
2040`timescale 1ns/10ps"
2041tm "BdCompilerDirectivesTextMgr"
2042)
2043*63 (Text
2044uid 182,0
2045va (VaSet
2046isHidden 1
2047font "Arial,8,1"
2048)
2049xt "20000,4000,30100,5000"
2050st "Post-module directives:"
2051blo "20000,4800"
2052)
2053*64 (MLText
2054uid 183,0
2055va (VaSet
2056isHidden 1
2057)
2058xt "20000,0,20000,0"
2059tm "BdCompilerDirectivesTextMgr"
2060)
2061*65 (Text
2062uid 184,0
2063va (VaSet
2064isHidden 1
2065font "Arial,8,1"
2066)
2067xt "20000,5000,29900,6000"
2068st "End-module directives:"
2069blo "20000,5800"
2070)
2071*66 (MLText
2072uid 185,0
2073va (VaSet
2074isHidden 1
2075)
2076xt "20000,6000,20000,6000"
2077tm "BdCompilerDirectivesTextMgr"
2078)
2079]
2080associable 1
2081)
2082windowSize "0,22,1281,1024"
2083viewArea "-21800,-200,74751,77423"
2084cachedDiagramExtent "0,0,66000,49000"
2085hasePageBreakOrigin 1
2086pageBreakOrigin "-7000,0"
2087lastUid 654,0
2088defaultCommentText (CommentText
2089shape (Rectangle
2090layer 0
2091va (VaSet
2092vasetType 1
2093fg "65280,65280,46080"
2094lineColor "0,0,32768"
2095)
2096xt "0,0,15000,5000"
2097)
2098text (MLText
2099va (VaSet
2100fg "0,0,32768"
2101)
2102xt "200,200,2000,1200"
2103st "
2104Text
2105"
2106tm "CommentText"
2107wrapOption 3
2108visibleHeight 4600
2109visibleWidth 14600
2110)
2111)
2112defaultPanel (Panel
2113shape (RectFrame
2114va (VaSet
2115vasetType 1
2116fg "65535,65535,65535"
2117lineColor "32768,0,0"
2118lineWidth 3
2119)
2120xt "0,0,20000,20000"
2121)
2122title (TextAssociate
2123ps "TopLeftStrategy"
2124text (Text
2125va (VaSet
2126font "Arial,8,1"
2127)
2128xt "1000,1000,3800,2000"
2129st "Panel0"
2130blo "1000,1800"
2131tm "PanelText"
2132)
2133)
2134)
2135defaultBlk (Blk
2136shape (Rectangle
2137va (VaSet
2138vasetType 1
2139fg "39936,56832,65280"
2140lineColor "0,0,32768"
2141lineWidth 2
2142)
2143xt "0,0,8000,10000"
2144)
2145ttg (MlTextGroup
2146ps "CenterOffsetStrategy"
2147stg "VerticalLayoutStrategy"
2148textVec [
2149*67 (Text
2150va (VaSet
2151font "Arial,8,1"
2152)
2153xt "2200,3500,5800,4500"
2154st "<library>"
2155blo "2200,4300"
2156tm "BdLibraryNameMgr"
2157)
2158*68 (Text
2159va (VaSet
2160font "Arial,8,1"
2161)
2162xt "2200,4500,5600,5500"
2163st "<block>"
2164blo "2200,5300"
2165tm "BlkNameMgr"
2166)
2167*69 (Text
2168va (VaSet
2169font "Arial,8,1"
2170)
2171xt "2200,5500,4000,6500"
2172st "U_0"
2173blo "2200,6300"
2174tm "InstanceNameMgr"
2175)
2176]
2177)
2178ga (GenericAssociation
2179ps "EdgeToEdgeStrategy"
2180matrix (Matrix
2181text (MLText
2182va (VaSet
2183font "Courier New,8,0"
2184)
2185xt "2200,13500,2200,13500"
2186)
2187header ""
2188)
2189elements [
2190]
2191)
2192viewicon (ZoomableIcon
2193sl 0
2194va (VaSet
2195vasetType 1
2196fg "49152,49152,49152"
2197)
2198xt "0,0,1500,1500"
2199iconName "UnknownFile.png"
2200iconMaskName "UnknownFile.msk"
2201)
2202viewiconposition 0
2203)
2204defaultMWComponent (MWC
2205shape (Rectangle
2206va (VaSet
2207vasetType 1
2208fg "0,65535,0"
2209lineColor "0,32896,0"
2210lineWidth 2
2211)
2212xt "0,0,8000,10000"
2213)
2214ttg (MlTextGroup
2215ps "CenterOffsetStrategy"
2216stg "VerticalLayoutStrategy"
2217textVec [
2218*70 (Text
2219va (VaSet
2220font "Arial,8,1"
2221)
2222xt "550,3500,3450,4500"
2223st "Library"
2224blo "550,4300"
2225)
2226*71 (Text
2227va (VaSet
2228font "Arial,8,1"
2229)
2230xt "550,4500,7450,5500"
2231st "MWComponent"
2232blo "550,5300"
2233)
2234*72 (Text
2235va (VaSet
2236font "Arial,8,1"
2237)
2238xt "550,5500,2350,6500"
2239st "U_0"
2240blo "550,6300"
2241tm "InstanceNameMgr"
2242)
2243]
2244)
2245ga (GenericAssociation
2246ps "EdgeToEdgeStrategy"
2247matrix (Matrix
2248text (MLText
2249va (VaSet
2250font "Courier New,8,0"
2251)
2252xt "-6450,1500,-6450,1500"
2253)
2254header ""
2255)
2256elements [
2257]
2258)
2259portVis (PortSigDisplay
2260)
2261prms (Property
2262pclass "params"
2263pname "params"
2264ptn "String"
2265)
2266visOptions (mwParamsVisibilityOptions
2267)
2268)
2269defaultSaComponent (SaComponent
2270shape (Rectangle
2271va (VaSet
2272vasetType 1
2273fg "0,65535,0"
2274lineColor "0,32896,0"
2275lineWidth 2
2276)
2277xt "0,0,8000,10000"
2278)
2279ttg (MlTextGroup
2280ps "CenterOffsetStrategy"
2281stg "VerticalLayoutStrategy"
2282textVec [
2283*73 (Text
2284va (VaSet
2285font "Arial,8,1"
2286)
2287xt "900,3500,3800,4500"
2288st "Library"
2289blo "900,4300"
2290tm "BdLibraryNameMgr"
2291)
2292*74 (Text
2293va (VaSet
2294font "Arial,8,1"
2295)
2296xt "900,4500,7100,5500"
2297st "SaComponent"
2298blo "900,5300"
2299tm "CptNameMgr"
2300)
2301*75 (Text
2302va (VaSet
2303font "Arial,8,1"
2304)
2305xt "900,5500,2700,6500"
2306st "U_0"
2307blo "900,6300"
2308tm "InstanceNameMgr"
2309)
2310]
2311)
2312ga (GenericAssociation
2313ps "EdgeToEdgeStrategy"
2314matrix (Matrix
2315text (MLText
2316va (VaSet
2317font "Courier New,8,0"
2318)
2319xt "-6100,1500,-6100,1500"
2320)
2321header ""
2322)
2323elements [
2324]
2325)
2326viewicon (ZoomableIcon
2327sl 0
2328va (VaSet
2329vasetType 1
2330fg "49152,49152,49152"
2331)
2332xt "0,0,1500,1500"
2333iconName "UnknownFile.png"
2334iconMaskName "UnknownFile.msk"
2335)
2336viewiconposition 0
2337portVis (PortSigDisplay
2338)
2339archFileType "UNKNOWN"
2340)
2341defaultVhdlComponent (VhdlComponent
2342shape (Rectangle
2343va (VaSet
2344vasetType 1
2345fg "0,65535,0"
2346lineColor "0,32896,0"
2347lineWidth 2
2348)
2349xt "0,0,8000,10000"
2350)
2351ttg (MlTextGroup
2352ps "CenterOffsetStrategy"
2353stg "VerticalLayoutStrategy"
2354textVec [
2355*76 (Text
2356va (VaSet
2357font "Arial,8,1"
2358)
2359xt "500,3500,3400,4500"
2360st "Library"
2361blo "500,4300"
2362)
2363*77 (Text
2364va (VaSet
2365font "Arial,8,1"
2366)
2367xt "500,4500,7500,5500"
2368st "VhdlComponent"
2369blo "500,5300"
2370)
2371*78 (Text
2372va (VaSet
2373font "Arial,8,1"
2374)
2375xt "500,5500,2300,6500"
2376st "U_0"
2377blo "500,6300"
2378tm "InstanceNameMgr"
2379)
2380]
2381)
2382ga (GenericAssociation
2383ps "EdgeToEdgeStrategy"
2384matrix (Matrix
2385text (MLText
2386va (VaSet
2387font "Courier New,8,0"
2388)
2389xt "-6500,1500,-6500,1500"
2390)
2391header ""
2392)
2393elements [
2394]
2395)
2396portVis (PortSigDisplay
2397)
2398entityPath ""
2399archName ""
2400archPath ""
2401)
2402defaultVerilogComponent (VerilogComponent
2403shape (Rectangle
2404va (VaSet
2405vasetType 1
2406fg "0,65535,0"
2407lineColor "0,32896,0"
2408lineWidth 2
2409)
2410xt "-450,0,8450,10000"
2411)
2412ttg (MlTextGroup
2413ps "CenterOffsetStrategy"
2414stg "VerticalLayoutStrategy"
2415textVec [
2416*79 (Text
2417va (VaSet
2418font "Arial,8,1"
2419)
2420xt "50,3500,2950,4500"
2421st "Library"
2422blo "50,4300"
2423)
2424*80 (Text
2425va (VaSet
2426font "Arial,8,1"
2427)
2428xt "50,4500,7950,5500"
2429st "VerilogComponent"
2430blo "50,5300"
2431)
2432*81 (Text
2433va (VaSet
2434font "Arial,8,1"
2435)
2436xt "50,5500,1850,6500"
2437st "U_0"
2438blo "50,6300"
2439tm "InstanceNameMgr"
2440)
2441]
2442)
2443ga (GenericAssociation
2444ps "EdgeToEdgeStrategy"
2445matrix (Matrix
2446text (MLText
2447va (VaSet
2448font "Courier New,8,0"
2449)
2450xt "-6950,1500,-6950,1500"
2451)
2452header ""
2453)
2454elements [
2455]
2456)
2457entityPath ""
2458)
2459defaultHdlText (HdlText
2460shape (Rectangle
2461va (VaSet
2462vasetType 1
2463fg "65535,65535,37120"
2464lineColor "0,0,32768"
2465lineWidth 2
2466)
2467xt "0,0,8000,10000"
2468)
2469ttg (MlTextGroup
2470ps "CenterOffsetStrategy"
2471stg "VerticalLayoutStrategy"
2472textVec [
2473*82 (Text
2474va (VaSet
2475font "Arial,8,1"
2476)
2477xt "3150,4000,4850,5000"
2478st "eb1"
2479blo "3150,4800"
2480tm "HdlTextNameMgr"
2481)
2482*83 (Text
2483va (VaSet
2484font "Arial,8,1"
2485)
2486xt "3150,5000,3950,6000"
2487st "1"
2488blo "3150,5800"
2489tm "HdlTextNumberMgr"
2490)
2491]
2492)
2493viewicon (ZoomableIcon
2494sl 0
2495va (VaSet
2496vasetType 1
2497fg "49152,49152,49152"
2498)
2499xt "0,0,1500,1500"
2500iconName "UnknownFile.png"
2501iconMaskName "UnknownFile.msk"
2502)
2503viewiconposition 0
2504)
2505defaultEmbeddedText (EmbeddedText
2506commentText (CommentText
2507ps "CenterOffsetStrategy"
2508shape (Rectangle
2509va (VaSet
2510vasetType 1
2511fg "65535,65535,65535"
2512lineColor "0,0,32768"
2513lineWidth 2
2514)
2515xt "0,0,18000,5000"
2516)
2517text (MLText
2518va (VaSet
2519)
2520xt "200,200,2000,1200"
2521st "
2522Text
2523"
2524tm "HdlTextMgr"
2525wrapOption 3
2526visibleHeight 4600
2527visibleWidth 17600
2528)
2529)
2530)
2531defaultGlobalConnector (GlobalConnector
2532shape (Circle
2533va (VaSet
2534vasetType 1
2535fg "65535,65535,0"
2536)
2537xt "-1000,-1000,1000,1000"
2538radius 1000
2539)
2540name (Text
2541va (VaSet
2542font "Arial,8,1"
2543)
2544xt "-500,-500,500,500"
2545st "G"
2546blo "-500,300"
2547)
2548)
2549defaultRipper (Ripper
2550ps "OnConnectorStrategy"
2551shape (Line2D
2552pts [
2553"0,0"
2554"1000,1000"
2555]
2556va (VaSet
2557vasetType 1
2558)
2559xt "0,0,1000,1000"
2560)
2561)
2562defaultBdJunction (BdJunction
2563ps "OnConnectorStrategy"
2564shape (Circle
2565va (VaSet
2566vasetType 1
2567)
2568xt "-400,-400,400,400"
2569radius 400
2570)
2571)
2572defaultPortIoIn (PortIoIn
2573shape (CompositeShape
2574va (VaSet
2575vasetType 1
2576fg "0,0,32768"
2577)
2578optionalChildren [
2579(Pentagon
2580sl 0
2581ro 270
2582xt "-2000,-375,-500,375"
2583)
2584(Line
2585sl 0
2586ro 270
2587xt "-500,0,0,0"
2588pts [
2589"-500,0"
2590"0,0"
2591]
2592)
2593]
2594)
2595stc 0
2596sf 1
2597tg (WTG
2598ps "PortIoTextPlaceStrategy"
2599stg "STSignalDisplayStrategy"
2600f (Text
2601va (VaSet
2602)
2603xt "-1375,-1000,-1375,-1000"
2604ju 2
2605blo "-1375,-1000"
2606tm "WireNameMgr"
2607)
2608)
2609)
2610defaultPortIoOut (PortIoOut
2611shape (CompositeShape
2612va (VaSet
2613vasetType 1
2614fg "0,0,32768"
2615)
2616optionalChildren [
2617(Pentagon
2618sl 0
2619ro 270
2620xt "500,-375,2000,375"
2621)
2622(Line
2623sl 0
2624ro 270
2625xt "0,0,500,0"
2626pts [
2627"0,0"
2628"500,0"
2629]
2630)
2631]
2632)
2633stc 0
2634sf 1
2635tg (WTG
2636ps "PortIoTextPlaceStrategy"
2637stg "STSignalDisplayStrategy"
2638f (Text
2639va (VaSet
2640)
2641xt "625,-1000,625,-1000"
2642blo "625,-1000"
2643tm "WireNameMgr"
2644)
2645)
2646)
2647defaultPortIoInOut (PortIoInOut
2648shape (CompositeShape
2649va (VaSet
2650vasetType 1
2651fg "0,0,32768"
2652)
2653optionalChildren [
2654(Hexagon
2655sl 0
2656xt "500,-375,2000,375"
2657)
2658(Line
2659sl 0
2660xt "0,0,500,0"
2661pts [
2662"0,0"
2663"500,0"
2664]
2665)
2666]
2667)
2668stc 0
2669sf 1
2670tg (WTG
2671ps "PortIoTextPlaceStrategy"
2672stg "STSignalDisplayStrategy"
2673f (Text
2674va (VaSet
2675)
2676xt "0,-375,0,-375"
2677blo "0,-375"
2678tm "WireNameMgr"
2679)
2680)
2681)
2682defaultPortIoBuffer (PortIoBuffer
2683shape (CompositeShape
2684va (VaSet
2685vasetType 1
2686fg "65535,65535,65535"
2687lineColor "0,0,32768"
2688)
2689optionalChildren [
2690(Hexagon
2691sl 0
2692xt "500,-375,2000,375"
2693)
2694(Line
2695sl 0
2696xt "0,0,500,0"
2697pts [
2698"0,0"
2699"500,0"
2700]
2701)
2702]
2703)
2704stc 0
2705sf 1
2706tg (WTG
2707ps "PortIoTextPlaceStrategy"
2708stg "STSignalDisplayStrategy"
2709f (Text
2710va (VaSet
2711)
2712xt "0,-375,0,-375"
2713blo "0,-375"
2714tm "WireNameMgr"
2715)
2716)
2717)
2718defaultSignal (Wire
2719shape (OrthoPolyLine
2720va (VaSet
2721vasetType 3
2722)
2723pts [
2724"0,0"
2725"0,0"
2726]
2727)
2728ss 0
2729es 0
2730sat 32
2731eat 32
2732st 0
2733sf 1
2734si 0
2735tg (WTG
2736ps "ConnStartEndStrategy"
2737stg "STSignalDisplayStrategy"
2738f (Text
2739va (VaSet
2740)
2741xt "0,0,1900,1000"
2742st "sig0"
2743blo "0,800"
2744tm "WireNameMgr"
2745)
2746)
2747)
2748defaultBus (Wire
2749shape (OrthoPolyLine
2750va (VaSet
2751vasetType 3
2752lineWidth 2
2753)
2754pts [
2755"0,0"
2756"0,0"
2757]
2758)
2759ss 0
2760es 0
2761sat 32
2762eat 32
2763sty 1
2764st 0
2765sf 1
2766si 0
2767tg (WTG
2768ps "ConnStartEndStrategy"
2769stg "STSignalDisplayStrategy"
2770f (Text
2771va (VaSet
2772)
2773xt "0,0,2400,1000"
2774st "dbus0"
2775blo "0,800"
2776tm "WireNameMgr"
2777)
2778)
2779)
2780defaultBundle (Bundle
2781shape (OrthoPolyLine
2782va (VaSet
2783vasetType 3
2784lineColor "32768,0,0"
2785lineWidth 2
2786)
2787pts [
2788"0,0"
2789"0,0"
2790]
2791)
2792ss 0
2793es 0
2794sat 32
2795eat 32
2796textGroup (BiTextGroup
2797ps "ConnStartEndStrategy"
2798stg "VerticalLayoutStrategy"
2799first (Text
2800va (VaSet
2801)
2802xt "0,0,3000,1000"
2803st "bundle0"
2804blo "0,800"
2805tm "BundleNameMgr"
2806)
2807second (MLText
2808va (VaSet
2809)
2810xt "0,1000,1000,2000"
2811st "()"
2812tm "BundleContentsMgr"
2813)
2814)
2815bundleNet &0
2816)
2817defaultPortMapFrame (PortMapFrame
2818ps "PortMapFrameStrategy"
2819shape (RectFrame
2820va (VaSet
2821vasetType 1
2822fg "65535,65535,65535"
2823lineColor "0,0,32768"
2824lineWidth 2
2825)
2826xt "0,0,10000,12000"
2827)
2828portMapText (BiTextGroup
2829ps "BottomRightOffsetStrategy"
2830stg "VerticalLayoutStrategy"
2831first (MLText
2832va (VaSet
2833)
2834)
2835second (MLText
2836va (VaSet
2837)
2838tm "PortMapTextMgr"
2839)
2840)
2841)
2842defaultGenFrame (Frame
2843shape (RectFrame
2844va (VaSet
2845vasetType 1
2846fg "65535,65535,65535"
2847lineColor "26368,26368,26368"
2848lineStyle 2
2849lineWidth 3
2850)
2851xt "0,0,20000,20000"
2852)
2853title (TextAssociate
2854ps "TopLeftStrategy"
2855text (MLText
2856va (VaSet
2857)
2858xt "0,-1100,12600,-100"
2859st "g0: FOR i IN 0 TO n GENERATE"
2860tm "FrameTitleTextMgr"
2861)
2862)
2863seqNum (FrameSequenceNumber
2864ps "TopLeftStrategy"
2865shape (Rectangle
2866va (VaSet
2867vasetType 1
2868fg "65535,65535,65535"
2869)
2870xt "50,50,1250,1450"
2871)
2872num (Text
2873va (VaSet
2874)
2875xt "250,250,1050,1250"
2876st "1"
2877blo "250,1050"
2878tm "FrameSeqNumMgr"
2879)
2880)
2881decls (MlTextGroup
2882ps "BottomRightOffsetStrategy"
2883stg "VerticalLayoutStrategy"
2884textVec [
2885*84 (Text
2886va (VaSet
2887font "Arial,8,1"
2888)
2889xt "14100,20000,22000,21000"
2890st "Frame Declarations"
2891blo "14100,20800"
2892)
2893*85 (MLText
2894va (VaSet
2895)
2896xt "14100,21000,14100,21000"
2897tm "BdFrameDeclTextMgr"
2898)
2899]
2900)
2901)
2902defaultBlockFrame (Frame
2903shape (RectFrame
2904va (VaSet
2905vasetType 1
2906fg "65535,65535,65535"
2907lineColor "26368,26368,26368"
2908lineStyle 1
2909lineWidth 3
2910)
2911xt "0,0,20000,20000"
2912)
2913title (TextAssociate
2914ps "TopLeftStrategy"
2915text (MLText
2916va (VaSet
2917)
2918xt "0,-1100,7400,-100"
2919st "b0: BLOCK (guard)"
2920tm "FrameTitleTextMgr"
2921)
2922)
2923seqNum (FrameSequenceNumber
2924ps "TopLeftStrategy"
2925shape (Rectangle
2926va (VaSet
2927vasetType 1
2928fg "65535,65535,65535"
2929)
2930xt "50,50,1250,1450"
2931)
2932num (Text
2933va (VaSet
2934)
2935xt "250,250,1050,1250"
2936st "1"
2937blo "250,1050"
2938tm "FrameSeqNumMgr"
2939)
2940)
2941decls (MlTextGroup
2942ps "BottomRightOffsetStrategy"
2943stg "VerticalLayoutStrategy"
2944textVec [
2945*86 (Text
2946va (VaSet
2947font "Arial,8,1"
2948)
2949xt "14100,20000,22000,21000"
2950st "Frame Declarations"
2951blo "14100,20800"
2952)
2953*87 (MLText
2954va (VaSet
2955)
2956xt "14100,21000,14100,21000"
2957tm "BdFrameDeclTextMgr"
2958)
2959]
2960)
2961style 3
2962)
2963defaultSaCptPort (CptPort
2964ps "OnEdgeStrategy"
2965shape (Triangle
2966ro 90
2967va (VaSet
2968vasetType 1
2969fg "0,65535,0"
2970)
2971xt "0,0,750,750"
2972)
2973tg (CPTG
2974ps "CptPortTextPlaceStrategy"
2975stg "VerticalLayoutStrategy"
2976f (Text
2977va (VaSet
2978)
2979xt "0,750,1800,1750"
2980st "Port"
2981blo "0,1550"
2982)
2983)
2984thePort (LogicalPort
2985decl (Decl
2986n "Port"
2987t ""
2988o 0
2989)
2990)
2991)
2992defaultSaCptPortBuffer (CptPort
2993ps "OnEdgeStrategy"
2994shape (Diamond
2995va (VaSet
2996vasetType 1
2997fg "65535,65535,65535"
2998)
2999xt "0,0,750,750"
3000)
3001tg (CPTG
3002ps "CptPortTextPlaceStrategy"
3003stg "VerticalLayoutStrategy"
3004f (Text
3005va (VaSet
3006)
3007xt "0,750,1800,1750"
3008st "Port"
3009blo "0,1550"
3010)
3011)
3012thePort (LogicalPort
3013m 3
3014decl (Decl
3015n "Port"
3016t ""
3017o 0
3018)
3019)
3020)
3021defaultDeclText (MLText
3022va (VaSet
3023font "Courier New,8,0"
3024)
3025)
3026archDeclarativeBlock (BdArchDeclBlock
3027uid 1,0
3028stg "BdArchDeclBlockLS"
3029declLabel (Text
3030uid 2,0
3031va (VaSet
3032font "Arial,8,1"
3033)
3034xt "20000,0,25400,1000"
3035st "Declarations"
3036blo "20000,800"
3037)
3038portLabel (Text
3039uid 3,0
3040va (VaSet
3041font "Arial,8,1"
3042)
3043xt "20000,1000,22700,2000"
3044st "Ports:"
3045blo "20000,1800"
3046)
3047preUserLabel (Text
3048uid 4,0
3049va (VaSet
3050isHidden 1
3051font "Arial,8,1"
3052)
3053xt "20000,0,23800,1000"
3054st "Pre User:"
3055blo "20000,800"
3056)
3057preUserText (MLText
3058uid 5,0
3059va (VaSet
3060isHidden 1
3061font "Courier New,8,0"
3062)
3063xt "20000,0,20000,0"
3064tm "BdDeclarativeTextMgr"
3065)
3066diagSignalLabel (Text
3067uid 6,0
3068va (VaSet
3069font "Arial,8,1"
3070)
3071xt "20000,2000,27100,3000"
3072st "Diagram Signals:"
3073blo "20000,2800"
3074)
3075postUserLabel (Text
3076uid 7,0
3077va (VaSet
3078isHidden 1
3079font "Arial,8,1"
3080)
3081xt "20000,0,24700,1000"
3082st "Post User:"
3083blo "20000,800"
3084)
3085postUserText (MLText
3086uid 8,0
3087va (VaSet
3088isHidden 1
3089font "Courier New,8,0"
3090)
3091xt "20000,0,20000,0"
3092tm "BdDeclarativeTextMgr"
3093)
3094)
3095commonDM (CommonDM
3096ldm (LogicalDM
3097suid 9,0
3098usingSuid 1
3099emptyRow *88 (LEmptyRow
3100)
3101uid 188,0
3102optionalChildren [
3103*89 (RefLabelRowHdr
3104)
3105*90 (TitleRowHdr
3106)
3107*91 (FilterRowHdr
3108)
3109*92 (RefLabelColHdr
3110tm "RefLabelColHdrMgr"
3111)
3112*93 (RowExpandColHdr
3113tm "RowExpandColHdrMgr"
3114)
3115*94 (GroupColHdr
3116tm "GroupColHdrMgr"
3117)
3118*95 (NameColHdr
3119tm "BlockDiagramNameColHdrMgr"
3120)
3121*96 (ModeColHdr
3122tm "BlockDiagramModeColHdrMgr"
3123)
3124*97 (TypeColHdr
3125tm "BlockDiagramTypeColHdrMgr"
3126)
3127*98 (BoundsColHdr
3128tm "BlockDiagramBoundsColHdrMgr"
3129)
3130*99 (InitColHdr
3131tm "BlockDiagramInitColHdrMgr"
3132)
3133*100 (EolColHdr
3134tm "BlockDiagramEolColHdrMgr"
3135)
3136*101 (LeafLogPort
3137port (LogicalPort
3138m 4
3139decl (Decl
3140n "time_o"
3141t "std_logic_vector"
3142b "( TIMER_WIDTH-1 downto 0)"
3143o 1
3144suid 1,0
3145)
3146)
3147uid 165,0
3148)
3149*102 (LeafLogPort
3150port (LogicalPort
3151m 4
3152decl (Decl
3153n "synched_o"
3154t "std_logic"
3155o 2
3156suid 2,0
3157i "'0'"
3158)
3159)
3160uid 167,0
3161)
3162*103 (LeafLogPort
3163port (LogicalPort
3164m 4
3165decl (Decl
3166n "synch_i"
3167t "std_logic"
3168o 4
3169suid 4,0
3170)
3171)
3172uid 171,0
3173)
3174*104 (LeafLogPort
3175port (LogicalPort
3176m 4
3177decl (Decl
3178n "enable_i"
3179t "std_logic"
3180o 5
3181suid 5,0
3182)
3183)
3184uid 173,0
3185)
3186*105 (LeafLogPort
3187port (LogicalPort
3188m 4
3189decl (Decl
3190n "clk"
3191t "std_logic"
3192o 5
3193suid 8,0
3194)
3195)
3196uid 584,0
3197)
3198*106 (LeafLogPort
3199port (LogicalPort
3200m 4
3201decl (Decl
3202n "reset_synch_i"
3203t "std_logic"
3204o 6
3205suid 9,0
3206)
3207)
3208uid 586,0
3209)
3210]
3211)
3212pdm (PhysicalDM
3213displayShortBounds 1
3214editShortBounds 1
3215uid 201,0
3216optionalChildren [
3217*107 (Sheet
3218sheetRow (SheetRow
3219headerVa (MVa
3220cellColor "49152,49152,49152"
3221fontColor "0,0,0"
3222font "Tahoma,10,0"
3223)
3224cellVa (MVa
3225cellColor "65535,65535,65535"
3226fontColor "0,0,0"
3227font "Tahoma,10,0"
3228)
3229groupVa (MVa
3230cellColor "39936,56832,65280"
3231fontColor "0,0,0"
3232font "Tahoma,10,0"
3233)
3234emptyMRCItem *108 (MRCItem
3235litem &88
3236pos 6
3237dimension 20
3238)
3239uid 203,0
3240optionalChildren [
3241*109 (MRCItem
3242litem &89
3243pos 0
3244dimension 20
3245uid 204,0
3246)
3247*110 (MRCItem
3248litem &90
3249pos 1
3250dimension 23
3251uid 205,0
3252)
3253*111 (MRCItem
3254litem &91
3255pos 2
3256hidden 1
3257dimension 20
3258uid 206,0
3259)
3260*112 (MRCItem
3261litem &101
3262pos 0
3263dimension 20
3264uid 166,0
3265)
3266*113 (MRCItem
3267litem &102
3268pos 1
3269dimension 20
3270uid 168,0
3271)
3272*114 (MRCItem
3273litem &103
3274pos 2
3275dimension 20
3276uid 172,0
3277)
3278*115 (MRCItem
3279litem &104
3280pos 3
3281dimension 20
3282uid 174,0
3283)
3284*116 (MRCItem
3285litem &105
3286pos 4
3287dimension 20
3288uid 585,0
3289)
3290*117 (MRCItem
3291litem &106
3292pos 5
3293dimension 20
3294uid 587,0
3295)
3296]
3297)
3298sheetCol (SheetCol
3299propVa (MVa
3300cellColor "0,49152,49152"
3301fontColor "0,0,0"
3302font "Tahoma,10,0"
3303textAngle 90
3304)
3305uid 207,0
3306optionalChildren [
3307*118 (MRCItem
3308litem &92
3309pos 0
3310dimension 20
3311uid 208,0
3312)
3313*119 (MRCItem
3314litem &94
3315pos 1
3316dimension 50
3317uid 209,0
3318)
3319*120 (MRCItem
3320litem &95
3321pos 2
3322dimension 100
3323uid 210,0
3324)
3325*121 (MRCItem
3326litem &96
3327pos 3
3328dimension 50
3329uid 211,0
3330)
3331*122 (MRCItem
3332litem &97
3333pos 4
3334dimension 100
3335uid 212,0
3336)
3337*123 (MRCItem
3338litem &98
3339pos 5
3340dimension 100
3341uid 213,0
3342)
3343*124 (MRCItem
3344litem &99
3345pos 6
3346dimension 50
3347uid 214,0
3348)
3349*125 (MRCItem
3350litem &100
3351pos 7
3352dimension 80
3353uid 215,0
3354)
3355]
3356)
3357fixedCol 4
3358fixedRow 2
3359name "Ports"
3360uid 202,0
3361vaOverrides [
3362]
3363)
3364]
3365)
3366uid 187,0
3367)
3368genericsCommonDM (CommonDM
3369ldm (LogicalDM
3370emptyRow *126 (LEmptyRow
3371)
3372uid 217,0
3373optionalChildren [
3374*127 (RefLabelRowHdr
3375)
3376*128 (TitleRowHdr
3377)
3378*129 (FilterRowHdr
3379)
3380*130 (RefLabelColHdr
3381tm "RefLabelColHdrMgr"
3382)
3383*131 (RowExpandColHdr
3384tm "RowExpandColHdrMgr"
3385)
3386*132 (GroupColHdr
3387tm "GroupColHdrMgr"
3388)
3389*133 (NameColHdr
3390tm "GenericNameColHdrMgr"
3391)
3392*134 (TypeColHdr
3393tm "GenericTypeColHdrMgr"
3394)
3395*135 (InitColHdr
3396tm "GenericValueColHdrMgr"
3397)
3398*136 (PragmaColHdr
3399tm "GenericPragmaColHdrMgr"
3400)
3401*137 (EolColHdr
3402tm "GenericEolColHdrMgr"
3403)
3404*138 (LogGeneric
3405generic (GiElement
3406name "TIMER_WIDTH"
3407type "integer"
3408value "32"
3409)
3410uid 9,0
3411)
3412*139 (LogGeneric
3413generic (GiElement
3414name "PRESCALER"
3415type "integer"
3416value "2500"
3417)
3418uid 11,0
3419)
3420]
3421)
3422pdm (PhysicalDM
3423displayShortBounds 1
3424editShortBounds 1
3425uid 229,0
3426optionalChildren [
3427*140 (Sheet
3428sheetRow (SheetRow
3429headerVa (MVa
3430cellColor "49152,49152,49152"
3431fontColor "0,0,0"
3432font "Tahoma,10,0"
3433)
3434cellVa (MVa
3435cellColor "65535,65535,65535"
3436fontColor "0,0,0"
3437font "Tahoma,10,0"
3438)
3439groupVa (MVa
3440cellColor "39936,56832,65280"
3441fontColor "0,0,0"
3442font "Tahoma,10,0"
3443)
3444emptyMRCItem *141 (MRCItem
3445litem &126
3446pos 2
3447dimension 20
3448)
3449uid 231,0
3450optionalChildren [
3451*142 (MRCItem
3452litem &127
3453pos 0
3454dimension 20
3455uid 232,0
3456)
3457*143 (MRCItem
3458litem &128
3459pos 1
3460dimension 23
3461uid 233,0
3462)
3463*144 (MRCItem
3464litem &129
3465pos 2
3466hidden 1
3467dimension 20
3468uid 234,0
3469)
3470*145 (MRCItem
3471litem &138
3472pos 0
3473dimension 20
3474uid 10,0
3475)
3476*146 (MRCItem
3477litem &139
3478pos 1
3479dimension 20
3480uid 12,0
3481)
3482]
3483)
3484sheetCol (SheetCol
3485propVa (MVa
3486cellColor "0,49152,49152"
3487fontColor "0,0,0"
3488font "Tahoma,10,0"
3489textAngle 90
3490)
3491uid 235,0
3492optionalChildren [
3493*147 (MRCItem
3494litem &130
3495pos 0
3496dimension 20
3497uid 236,0
3498)
3499*148 (MRCItem
3500litem &132
3501pos 1
3502dimension 50
3503uid 237,0
3504)
3505*149 (MRCItem
3506litem &133
3507pos 2
3508dimension 100
3509uid 238,0
3510)
3511*150 (MRCItem
3512litem &134
3513pos 3
3514dimension 100
3515uid 239,0
3516)
3517*151 (MRCItem
3518litem &135
3519pos 4
3520dimension 50
3521uid 240,0
3522)
3523*152 (MRCItem
3524litem &136
3525pos 5
3526dimension 50
3527uid 241,0
3528)
3529*153 (MRCItem
3530litem &137
3531pos 6
3532dimension 80
3533uid 242,0
3534)
3535]
3536)
3537fixedCol 3
3538fixedRow 2
3539name "Ports"
3540uid 230,0
3541vaOverrides [
3542]
3543)
3544]
3545)
3546uid 216,0
3547type 1
3548)
3549activeModelName "BlockDiag"
3550)
Note: See TracBrowser for help on using the repository browser.