source: firmware/FAD/FACT_FAD_TB_lib/hds/timer_tb/struct.bd@ 12105

Last change on this file since 12105 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 43.1 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20instances [
21(Instance
22name "U_0"
23duLibraryName "FACT_FAD_lib"
24duName "timer"
25elements [
26(GiElement
27name "TIMER_WIDTH"
28type "integer"
29value "32"
30)
31(GiElement
32name "PRESCALER"
33type "integer"
34value "5000"
35)
36]
37mwi 0
38uid 534,0
39)
40(Instance
41name "U_1"
42duLibraryName "FACT_FAD_TB_lib"
43duName "timer_tester"
44elements [
45]
46mwi 0
47uid 604,0
48)
49]
50libraryRefs [
51"ieee"
52]
53)
54version "29.1"
55appVersion "2009.2 (Build 10)"
56noEmbeddedEditors 1
57model (BlockDiag
58VExpander (VariableExpander
59vvMap [
60(vvPair
61variable "HDLDir"
62value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
63)
64(vvPair
65variable "HDSDir"
66value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
67)
68(vvPair
69variable "SideDataDesignDir"
70value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd.info"
71)
72(vvPair
73variable "SideDataUserDir"
74value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd.user"
75)
76(vvPair
77variable "SourceDir"
78value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
79)
80(vvPair
81variable "appl"
82value "HDL Designer"
83)
84(vvPair
85variable "arch_name"
86value "struct"
87)
88(vvPair
89variable "config"
90value "%(unit)_%(view)_config"
91)
92(vvPair
93variable "d"
94value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
95)
96(vvPair
97variable "d_logical"
98value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
99)
100(vvPair
101variable "date"
102value "23.02.2011"
103)
104(vvPair
105variable "day"
106value "Mi"
107)
108(vvPair
109variable "day_long"
110value "Mittwoch"
111)
112(vvPair
113variable "dd"
114value "23"
115)
116(vvPair
117variable "entity_name"
118value "timer_tb"
119)
120(vvPair
121variable "ext"
122value "<TBD>"
123)
124(vvPair
125variable "f"
126value "struct.bd"
127)
128(vvPair
129variable "f_logical"
130value "struct.bd"
131)
132(vvPair
133variable "f_noext"
134value "struct"
135)
136(vvPair
137variable "group"
138value "UNKNOWN"
139)
140(vvPair
141variable "host"
142value "E5B-LABOR6"
143)
144(vvPair
145variable "language"
146value "VHDL"
147)
148(vvPair
149variable "library"
150value "FACT_FAD_TB_lib"
151)
152(vvPair
153variable "library_downstream_HdsLintPlugin"
154value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\designcheck"
155)
156(vvPair
157variable "library_downstream_ISEPARInvoke"
158value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
159)
160(vvPair
161variable "library_downstream_ImpactInvoke"
162value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
163)
164(vvPair
165variable "library_downstream_ModelSimCompiler"
166value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
167)
168(vvPair
169variable "library_downstream_XSTDataPrep"
170value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
171)
172(vvPair
173variable "mm"
174value "02"
175)
176(vvPair
177variable "module_name"
178value "timer_tb"
179)
180(vvPair
181variable "month"
182value "Feb"
183)
184(vvPair
185variable "month_long"
186value "Februar"
187)
188(vvPair
189variable "p"
190value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd"
191)
192(vvPair
193variable "p_logical"
194value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd"
195)
196(vvPair
197variable "package_name"
198value "<Undefined Variable>"
199)
200(vvPair
201variable "project_name"
202value "FACT_FAD"
203)
204(vvPair
205variable "series"
206value "HDL Designer Series"
207)
208(vvPair
209variable "task_DesignCompilerPath"
210value "<TBD>"
211)
212(vvPair
213variable "task_LeonardoPath"
214value "<TBD>"
215)
216(vvPair
217variable "task_ModelSimPath"
218value "C:\\modeltech_6.6a\\win32"
219)
220(vvPair
221variable "task_NC-SimPath"
222value "<TBD>"
223)
224(vvPair
225variable "task_PrecisionRTLPath"
226value "<TBD>"
227)
228(vvPair
229variable "task_QuestaSimPath"
230value "<TBD>"
231)
232(vvPair
233variable "task_VCSPath"
234value "<TBD>"
235)
236(vvPair
237variable "this_ext"
238value "bd"
239)
240(vvPair
241variable "this_file"
242value "struct"
243)
244(vvPair
245variable "this_file_logical"
246value "struct"
247)
248(vvPair
249variable "time"
250value "12:23:43"
251)
252(vvPair
253variable "unit"
254value "timer_tb"
255)
256(vvPair
257variable "user"
258value "dneise"
259)
260(vvPair
261variable "version"
262value "2009.2 (Build 10)"
263)
264(vvPair
265variable "view"
266value "struct"
267)
268(vvPair
269variable "year"
270value "2011"
271)
272(vvPair
273variable "yy"
274value "11"
275)
276]
277)
278LanguageMgr "VhdlLangMgr"
279uid 186,0
280optionalChildren [
281*1 (Net
282uid 43,0
283decl (Decl
284n "time_o"
285t "std_logic_vector"
286b "( TIMER_WIDTH-1 downto 0)"
287o 1
288suid 1,0
289)
290declText (MLText
291uid 44,0
292va (VaSet
293font "Courier New,8,0"
294)
295xt "22000,7000,56000,7800"
296st "SIGNAL time_o : std_logic_vector( TIMER_WIDTH-1 downto 0)
297"
298)
299)
300*2 (Net
301uid 51,0
302decl (Decl
303n "synched_o"
304t "std_logic"
305o 2
306suid 2,0
307i "'0'"
308)
309declText (MLText
310uid 52,0
311va (VaSet
312font "Courier New,8,0"
313)
314xt "22000,6200,43000,7000"
315st "SIGNAL synched_o : std_logic := '0'
316"
317)
318)
319*3 (Net
320uid 67,0
321decl (Decl
322n "synch_i"
323t "std_logic"
324o 4
325suid 4,0
326)
327declText (MLText
328uid 68,0
329va (VaSet
330font "Courier New,8,0"
331)
332xt "22000,5400,39500,6200"
333st "SIGNAL synch_i : std_logic
334"
335)
336)
337*4 (Net
338uid 75,0
339decl (Decl
340n "enable_i"
341t "std_logic"
342o 5
343suid 5,0
344)
345declText (MLText
346uid 76,0
347va (VaSet
348font "Courier New,8,0"
349)
350xt "22000,3800,39500,4600"
351st "SIGNAL enable_i : std_logic
352"
353)
354)
355*5 (Grouping
356uid 133,0
357optionalChildren [
358*6 (CommentText
359uid 135,0
360shape (Rectangle
361uid 136,0
362sl 0
363va (VaSet
364vasetType 1
365fg "65280,65280,46080"
366)
367xt "29000,48000,46000,49000"
368)
369oxt "18000,70000,35000,71000"
370text (MLText
371uid 137,0
372va (VaSet
373fg "0,0,32768"
374bg "0,0,32768"
375)
376xt "29200,48000,38800,49000"
377st "
378by %user on %dd %month %year
379"
380tm "CommentText"
381wrapOption 3
382visibleHeight 1000
383visibleWidth 17000
384)
385position 1
386ignorePrefs 1
387titleBlock 1
388)
389*7 (CommentText
390uid 138,0
391shape (Rectangle
392uid 139,0
393sl 0
394va (VaSet
395vasetType 1
396fg "65280,65280,46080"
397)
398xt "46000,44000,50000,45000"
399)
400oxt "35000,66000,39000,67000"
401text (MLText
402uid 140,0
403va (VaSet
404fg "0,0,32768"
405bg "0,0,32768"
406)
407xt "46200,44000,49200,45000"
408st "
409Project:
410"
411tm "CommentText"
412wrapOption 3
413visibleHeight 1000
414visibleWidth 4000
415)
416position 1
417ignorePrefs 1
418titleBlock 1
419)
420*8 (CommentText
421uid 141,0
422shape (Rectangle
423uid 142,0
424sl 0
425va (VaSet
426vasetType 1
427fg "65280,65280,46080"
428)
429xt "29000,46000,46000,47000"
430)
431oxt "18000,68000,35000,69000"
432text (MLText
433uid 143,0
434va (VaSet
435fg "0,0,32768"
436bg "0,0,32768"
437)
438xt "29200,46000,39200,47000"
439st "
440<enter diagram title here>
441"
442tm "CommentText"
443wrapOption 3
444visibleHeight 1000
445visibleWidth 17000
446)
447position 1
448ignorePrefs 1
449titleBlock 1
450)
451*9 (CommentText
452uid 144,0
453shape (Rectangle
454uid 145,0
455sl 0
456va (VaSet
457vasetType 1
458fg "65280,65280,46080"
459)
460xt "25000,46000,29000,47000"
461)
462oxt "14000,68000,18000,69000"
463text (MLText
464uid 146,0
465va (VaSet
466fg "0,0,32768"
467bg "0,0,32768"
468)
469xt "25200,46000,27300,47000"
470st "
471Title:
472"
473tm "CommentText"
474wrapOption 3
475visibleHeight 1000
476visibleWidth 4000
477)
478position 1
479ignorePrefs 1
480titleBlock 1
481)
482*10 (CommentText
483uid 147,0
484shape (Rectangle
485uid 148,0
486sl 0
487va (VaSet
488vasetType 1
489fg "65280,65280,46080"
490)
491xt "46000,45000,66000,49000"
492)
493oxt "35000,67000,55000,71000"
494text (MLText
495uid 149,0
496va (VaSet
497fg "0,0,32768"
498bg "0,0,32768"
499)
500xt "46200,45200,55400,46200"
501st "
502<enter comments here>
503"
504tm "CommentText"
505wrapOption 3
506visibleHeight 4000
507visibleWidth 20000
508)
509ignorePrefs 1
510titleBlock 1
511)
512*11 (CommentText
513uid 150,0
514shape (Rectangle
515uid 151,0
516sl 0
517va (VaSet
518vasetType 1
519fg "65280,65280,46080"
520)
521xt "50000,44000,66000,45000"
522)
523oxt "39000,66000,55000,67000"
524text (MLText
525uid 152,0
526va (VaSet
527fg "0,0,32768"
528bg "0,0,32768"
529)
530xt "50200,44000,54700,45000"
531st "
532%project_name
533"
534tm "CommentText"
535wrapOption 3
536visibleHeight 1000
537visibleWidth 16000
538)
539position 1
540ignorePrefs 1
541titleBlock 1
542)
543*12 (CommentText
544uid 153,0
545shape (Rectangle
546uid 154,0
547sl 0
548va (VaSet
549vasetType 1
550fg "65280,65280,46080"
551)
552xt "25000,44000,46000,46000"
553)
554oxt "14000,66000,35000,68000"
555text (MLText
556uid 155,0
557va (VaSet
558fg "32768,0,0"
559)
560xt "32150,44500,38850,45500"
561st "
562<company name>
563"
564ju 0
565tm "CommentText"
566wrapOption 3
567visibleHeight 2000
568visibleWidth 21000
569)
570position 1
571ignorePrefs 1
572titleBlock 1
573)
574*13 (CommentText
575uid 156,0
576shape (Rectangle
577uid 157,0
578sl 0
579va (VaSet
580vasetType 1
581fg "65280,65280,46080"
582)
583xt "25000,47000,29000,48000"
584)
585oxt "14000,69000,18000,70000"
586text (MLText
587uid 158,0
588va (VaSet
589fg "0,0,32768"
590bg "0,0,32768"
591)
592xt "25200,47000,27300,48000"
593st "
594Path:
595"
596tm "CommentText"
597wrapOption 3
598visibleHeight 1000
599visibleWidth 4000
600)
601position 1
602ignorePrefs 1
603titleBlock 1
604)
605*14 (CommentText
606uid 159,0
607shape (Rectangle
608uid 160,0
609sl 0
610va (VaSet
611vasetType 1
612fg "65280,65280,46080"
613)
614xt "25000,48000,29000,49000"
615)
616oxt "14000,70000,18000,71000"
617text (MLText
618uid 161,0
619va (VaSet
620fg "0,0,32768"
621bg "0,0,32768"
622)
623xt "25200,48000,27900,49000"
624st "
625Edited:
626"
627tm "CommentText"
628wrapOption 3
629visibleHeight 1000
630visibleWidth 4000
631)
632position 1
633ignorePrefs 1
634titleBlock 1
635)
636*15 (CommentText
637uid 162,0
638shape (Rectangle
639uid 163,0
640sl 0
641va (VaSet
642vasetType 1
643fg "65280,65280,46080"
644)
645xt "29000,47000,46000,48000"
646)
647oxt "18000,69000,35000,70000"
648text (MLText
649uid 164,0
650va (VaSet
651fg "0,0,32768"
652bg "0,0,32768"
653)
654xt "29200,47000,42100,48000"
655st "
656%library/%unit/%view
657"
658tm "CommentText"
659wrapOption 3
660visibleHeight 1000
661visibleWidth 17000
662)
663position 1
664ignorePrefs 1
665titleBlock 1
666)
667]
668shape (GroupingShape
669uid 134,0
670va (VaSet
671vasetType 1
672fg "65535,65535,65535"
673lineStyle 2
674lineWidth 2
675)
676xt "25000,44000,66000,49000"
677)
678oxt "14000,66000,55000,71000"
679)
680*16 (SaComponent
681uid 534,0
682optionalChildren [
683*17 (CptPort
684uid 510,0
685ps "OnEdgeStrategy"
686shape (Triangle
687uid 511,0
688ro 90
689va (VaSet
690vasetType 1
691fg "0,65535,0"
692)
693xt "8250,13625,9000,14375"
694)
695tg (CPTG
696uid 512,0
697ps "CptPortTextPlaceStrategy"
698stg "VerticalLayoutStrategy"
699f (Text
700uid 513,0
701va (VaSet
702)
703xt "10000,13500,11300,14500"
704st "clk"
705blo "10000,14300"
706)
707)
708thePort (LogicalPort
709decl (Decl
710n "clk"
711t "std_logic"
712o 1
713)
714)
715)
716*18 (CptPort
717uid 514,0
718ps "OnEdgeStrategy"
719shape (Triangle
720uid 515,0
721ro 90
722va (VaSet
723vasetType 1
724fg "0,65535,0"
725)
726xt "29000,13625,29750,14375"
727)
728tg (CPTG
729uid 516,0
730ps "CptPortTextPlaceStrategy"
731stg "RightVerticalLayoutStrategy"
732f (Text
733uid 517,0
734va (VaSet
735)
736xt "16600,13500,28000,14500"
737st "time_o : (TIMER_WIDTH-1:0)"
738ju 2
739blo "28000,14300"
740)
741)
742thePort (LogicalPort
743m 1
744decl (Decl
745n "time_o"
746t "std_logic_vector"
747b "( TIMER_WIDTH-1 downto 0)"
748o 2
749)
750)
751)
752*19 (CptPort
753uid 518,0
754ps "OnEdgeStrategy"
755shape (Triangle
756uid 519,0
757ro 90
758va (VaSet
759vasetType 1
760fg "0,65535,0"
761)
762xt "8250,14625,9000,15375"
763)
764tg (CPTG
765uid 520,0
766ps "CptPortTextPlaceStrategy"
767stg "VerticalLayoutStrategy"
768f (Text
769uid 521,0
770va (VaSet
771)
772xt "10000,14500,12900,15500"
773st "synch_i"
774blo "10000,15300"
775)
776)
777thePort (LogicalPort
778decl (Decl
779n "synch_i"
780t "std_logic"
781o 3
782)
783)
784)
785*20 (CptPort
786uid 522,0
787ps "OnEdgeStrategy"
788shape (Triangle
789uid 523,0
790ro 90
791va (VaSet
792vasetType 1
793fg "0,65535,0"
794)
795xt "29000,14625,29750,15375"
796)
797tg (CPTG
798uid 524,0
799ps "CptPortTextPlaceStrategy"
800stg "RightVerticalLayoutStrategy"
801f (Text
802uid 525,0
803va (VaSet
804)
805xt "24100,14500,28000,15500"
806st "synched_o"
807ju 2
808blo "28000,15300"
809)
810)
811thePort (LogicalPort
812m 1
813decl (Decl
814n "synched_o"
815t "std_logic"
816o 4
817i "'0'"
818)
819)
820)
821*21 (CptPort
822uid 526,0
823ps "OnEdgeStrategy"
824shape (Triangle
825uid 527,0
826ro 90
827va (VaSet
828vasetType 1
829fg "0,65535,0"
830)
831xt "8250,15625,9000,16375"
832)
833tg (CPTG
834uid 528,0
835ps "CptPortTextPlaceStrategy"
836stg "VerticalLayoutStrategy"
837f (Text
838uid 529,0
839va (VaSet
840)
841xt "10000,15500,15400,16500"
842st "reset_synch_i"
843blo "10000,16300"
844)
845)
846thePort (LogicalPort
847decl (Decl
848n "reset_synch_i"
849t "std_logic"
850o 5
851)
852)
853)
854*22 (CptPort
855uid 530,0
856ps "OnEdgeStrategy"
857shape (Triangle
858uid 531,0
859ro 90
860va (VaSet
861vasetType 1
862fg "0,65535,0"
863)
864xt "8250,16625,9000,17375"
865)
866tg (CPTG
867uid 532,0
868ps "CptPortTextPlaceStrategy"
869stg "VerticalLayoutStrategy"
870f (Text
871uid 533,0
872va (VaSet
873)
874xt "10000,16500,13200,17500"
875st "enable_i"
876blo "10000,17300"
877)
878)
879thePort (LogicalPort
880decl (Decl
881n "enable_i"
882t "std_logic"
883o 6
884)
885)
886)
887]
888shape (Rectangle
889uid 535,0
890va (VaSet
891vasetType 1
892fg "0,65535,0"
893lineColor "0,32896,0"
894lineWidth 2
895)
896xt "9000,13000,29000,18000"
897)
898oxt "0,0,8000,10000"
899ttg (MlTextGroup
900uid 536,0
901ps "CenterOffsetStrategy"
902stg "VerticalLayoutStrategy"
903textVec [
904*23 (Text
905uid 537,0
906va (VaSet
907font "Arial,8,1"
908)
909xt "15900,18000,22100,19000"
910st "FACT_FAD_lib"
911blo "15900,18800"
912tm "BdLibraryNameMgr"
913)
914*24 (Text
915uid 538,0
916va (VaSet
917font "Arial,8,1"
918)
919xt "15900,19000,18200,20000"
920st "timer"
921blo "15900,19800"
922tm "CptNameMgr"
923)
924*25 (Text
925uid 539,0
926va (VaSet
927font "Arial,8,1"
928)
929xt "15900,20000,17700,21000"
930st "U_0"
931blo "15900,20800"
932tm "InstanceNameMgr"
933)
934]
935)
936ga (GenericAssociation
937uid 540,0
938ps "EdgeToEdgeStrategy"
939matrix (Matrix
940uid 541,0
941text (MLText
942uid 542,0
943va (VaSet
944font "Courier New,8,0"
945)
946xt "9500,11400,28500,13000"
947st "TIMER_WIDTH = 32 ( integer )
948PRESCALER = 5000 ( integer ) "
949)
950header ""
951)
952elements [
953(GiElement
954name "TIMER_WIDTH"
955type "integer"
956value "32"
957)
958(GiElement
959name "PRESCALER"
960type "integer"
961value "5000"
962)
963]
964)
965viewicon (ZoomableIcon
966uid 543,0
967sl 0
968va (VaSet
969vasetType 1
970fg "49152,49152,49152"
971)
972xt "9250,16250,10750,17750"
973iconName "VhdlFileViewIcon.png"
974iconMaskName "VhdlFileViewIcon.msk"
975ftype 10
976)
977ordering 1
978viewiconposition 0
979portVis (PortSigDisplay
980)
981archFileType "UNKNOWN"
982)
983*26 (Net
984uid 544,0
985decl (Decl
986n "clk"
987t "std_logic"
988o 5
989suid 8,0
990)
991declText (MLText
992uid 545,0
993va (VaSet
994font "Courier New,8,0"
995)
996xt "22000,3000,39500,3800"
997st "SIGNAL clk : std_logic
998"
999)
1000)
1001*27 (Net
1002uid 558,0
1003decl (Decl
1004n "reset_synch_i"
1005t "std_logic"
1006o 6
1007suid 9,0
1008)
1009declText (MLText
1010uid 559,0
1011va (VaSet
1012font "Courier New,8,0"
1013)
1014xt "22000,4600,39500,5400"
1015st "SIGNAL reset_synch_i : std_logic
1016"
1017)
1018)
1019*28 (SaComponent
1020uid 604,0
1021optionalChildren [
1022*29 (CptPort
1023uid 588,0
1024ps "OnEdgeStrategy"
1025shape (Triangle
1026uid 589,0
1027ro 270
1028va (VaSet
1029vasetType 1
1030fg "0,65535,0"
1031)
1032xt "15250,29625,16000,30375"
1033)
1034tg (CPTG
1035uid 590,0
1036ps "CptPortTextPlaceStrategy"
1037stg "VerticalLayoutStrategy"
1038f (Text
1039uid 591,0
1040va (VaSet
1041)
1042xt "17000,29500,20200,30500"
1043st "enable_i"
1044blo "17000,30300"
1045)
1046)
1047thePort (LogicalPort
1048lang 10
1049m 1
1050decl (Decl
1051n "enable_i"
1052t "std_logic"
1053o 3
1054suid 16,0
1055)
1056)
1057)
1058*30 (CptPort
1059uid 592,0
1060ps "OnEdgeStrategy"
1061shape (Triangle
1062uid 593,0
1063ro 270
1064va (VaSet
1065vasetType 1
1066fg "0,65535,0"
1067)
1068xt "15250,28625,16000,29375"
1069)
1070tg (CPTG
1071uid 594,0
1072ps "CptPortTextPlaceStrategy"
1073stg "VerticalLayoutStrategy"
1074f (Text
1075uid 595,0
1076va (VaSet
1077)
1078xt "17000,28500,19900,29500"
1079st "synch_i"
1080blo "17000,29300"
1081)
1082)
1083thePort (LogicalPort
1084lang 10
1085m 1
1086decl (Decl
1087n "synch_i"
1088t "std_logic"
1089o 6
1090suid 17,0
1091)
1092)
1093)
1094*31 (CptPort
1095uid 596,0
1096ps "OnEdgeStrategy"
1097shape (Triangle
1098uid 597,0
1099ro 270
1100va (VaSet
1101vasetType 1
1102fg "0,65535,0"
1103)
1104xt "34000,28625,34750,29375"
1105)
1106tg (CPTG
1107uid 598,0
1108ps "CptPortTextPlaceStrategy"
1109stg "RightVerticalLayoutStrategy"
1110f (Text
1111uid 599,0
1112va (VaSet
1113)
1114xt "29100,28500,33000,29500"
1115st "synched_o"
1116ju 2
1117blo "33000,29300"
1118)
1119)
1120thePort (LogicalPort
1121lang 10
1122decl (Decl
1123n "synched_o"
1124t "std_logic"
1125o 1
1126suid 18,0
1127)
1128)
1129)
1130*32 (CptPort
1131uid 600,0
1132ps "OnEdgeStrategy"
1133shape (Triangle
1134uid 601,0
1135ro 270
1136va (VaSet
1137vasetType 1
1138fg "0,65535,0"
1139)
1140xt "34000,27625,34750,28375"
1141)
1142tg (CPTG
1143uid 602,0
1144ps "CptPortTextPlaceStrategy"
1145stg "RightVerticalLayoutStrategy"
1146f (Text
1147uid 603,0
1148va (VaSet
1149)
1150xt "30400,27500,33000,28500"
1151st "time_o"
1152ju 2
1153blo "33000,28300"
1154)
1155)
1156thePort (LogicalPort
1157lang 10
1158decl (Decl
1159n "time_o"
1160t "std_logic_vector"
1161b "( 31 DOWNTO 0)"
1162o 2
1163suid 19,0
1164)
1165)
1166)
1167*33 (CptPort
1168uid 614,0
1169ps "OnEdgeStrategy"
1170shape (Triangle
1171uid 615,0
1172ro 90
1173va (VaSet
1174vasetType 1
1175fg "0,65535,0"
1176)
1177xt "34000,29625,34750,30375"
1178)
1179tg (CPTG
1180uid 616,0
1181ps "CptPortTextPlaceStrategy"
1182stg "RightVerticalLayoutStrategy"
1183f (Text
1184uid 617,0
1185va (VaSet
1186)
1187xt "31700,29500,33000,30500"
1188st "clk"
1189ju 2
1190blo "33000,30300"
1191)
1192)
1193thePort (LogicalPort
1194lang 10
1195m 1
1196decl (Decl
1197n "clk"
1198t "std_logic"
1199o 5
1200)
1201)
1202)
1203*34 (CptPort
1204uid 618,0
1205ps "OnEdgeStrategy"
1206shape (Triangle
1207uid 619,0
1208ro 90
1209va (VaSet
1210vasetType 1
1211fg "0,65535,0"
1212)
1213xt "34000,30625,34750,31375"
1214)
1215tg (CPTG
1216uid 620,0
1217ps "CptPortTextPlaceStrategy"
1218stg "RightVerticalLayoutStrategy"
1219f (Text
1220uid 621,0
1221va (VaSet
1222)
1223xt "27600,30500,33000,31500"
1224st "reset_synch_i"
1225ju 2
1226blo "33000,31300"
1227)
1228)
1229thePort (LogicalPort
1230lang 10
1231m 1
1232decl (Decl
1233n "reset_synch_i"
1234t "std_logic"
1235o 4
1236)
1237)
1238)
1239]
1240shape (Rectangle
1241uid 605,0
1242va (VaSet
1243vasetType 1
1244fg "0,65535,0"
1245lineColor "0,32896,0"
1246lineWidth 2
1247)
1248xt "16000,27000,34000,32000"
1249)
1250oxt "15000,6000,33000,10000"
1251ttg (MlTextGroup
1252uid 606,0
1253ps "CenterOffsetStrategy"
1254stg "VerticalLayoutStrategy"
1255textVec [
1256*35 (Text
1257uid 607,0
1258va (VaSet
1259font "Arial,8,1"
1260)
1261xt "21150,28000,28850,29000"
1262st "FACT_FAD_TB_lib"
1263blo "21150,28800"
1264tm "BdLibraryNameMgr"
1265)
1266*36 (Text
1267uid 608,0
1268va (VaSet
1269font "Arial,8,1"
1270)
1271xt "21150,29000,26350,30000"
1272st "timer_tester"
1273blo "21150,29800"
1274tm "CptNameMgr"
1275)
1276*37 (Text
1277uid 609,0
1278va (VaSet
1279font "Arial,8,1"
1280)
1281xt "21150,30000,22950,31000"
1282st "U_1"
1283blo "21150,30800"
1284tm "InstanceNameMgr"
1285)
1286]
1287)
1288ga (GenericAssociation
1289uid 610,0
1290ps "EdgeToEdgeStrategy"
1291matrix (Matrix
1292uid 611,0
1293text (MLText
1294uid 612,0
1295va (VaSet
1296font "Courier New,8,0"
1297)
1298xt "1000,25800,1000,25800"
1299)
1300header ""
1301)
1302elements [
1303]
1304)
1305viewicon (ZoomableIcon
1306uid 613,0
1307sl 0
1308va (VaSet
1309vasetType 1
1310fg "49152,49152,49152"
1311)
1312xt "16250,30250,17750,31750"
1313iconName "VhdlFileViewIcon.png"
1314iconMaskName "VhdlFileViewIcon.msk"
1315ftype 10
1316)
1317ordering 1
1318viewiconposition 0
1319portVis (PortSigDisplay
1320sTC 0
1321sF 0
1322)
1323archFileType "UNKNOWN"
1324)
1325*38 (Wire
1326uid 93,0
1327shape (OrthoPolyLine
1328uid 94,0
1329va (VaSet
1330vasetType 3
1331)
1332xt "10000,30000,15250,30000"
1333pts [
1334"10000,30000"
1335"15250,30000"
1336]
1337)
1338end &29
1339sat 16
1340eat 32
1341st 0
1342sf 1
1343si 0
1344tg (WTG
1345uid 99,0
1346ps "ConnStartEndStrategy"
1347stg "STSignalDisplayStrategy"
1348f (Text
1349uid 100,0
1350va (VaSet
1351)
1352xt "11000,29000,14200,30000"
1353st "enable_i"
1354blo "11000,29800"
1355tm "WireNameMgr"
1356)
1357)
1358on &4
1359)
1360*39 (Wire
1361uid 109,0
1362shape (OrthoPolyLine
1363uid 110,0
1364va (VaSet
1365vasetType 3
1366)
1367xt "10000,29000,15250,29000"
1368pts [
1369"10000,29000"
1370"15250,29000"
1371]
1372)
1373end &30
1374sat 16
1375eat 32
1376st 0
1377sf 1
1378si 0
1379tg (WTG
1380uid 115,0
1381ps "ConnStartEndStrategy"
1382stg "STSignalDisplayStrategy"
1383f (Text
1384uid 116,0
1385va (VaSet
1386)
1387xt "11000,28000,13900,29000"
1388st "synch_i"
1389blo "11000,28800"
1390tm "WireNameMgr"
1391)
1392)
1393on &3
1394)
1395*40 (Wire
1396uid 117,0
1397shape (OrthoPolyLine
1398uid 118,0
1399va (VaSet
1400vasetType 3
1401lineWidth 2
1402)
1403xt "34750,28000,48000,28000"
1404pts [
1405"34750,28000"
1406"48000,28000"
1407]
1408)
1409start &32
1410sat 32
1411eat 16
1412sty 1
1413st 0
1414sf 1
1415si 0
1416tg (WTG
1417uid 123,0
1418ps "ConnStartEndStrategy"
1419stg "STSignalDisplayStrategy"
1420f (Text
1421uid 124,0
1422va (VaSet
1423)
1424xt "36000,27000,47400,28000"
1425st "time_o : (TIMER_WIDTH-1:0)"
1426blo "36000,27800"
1427tm "WireNameMgr"
1428)
1429)
1430on &1
1431)
1432*41 (Wire
1433uid 125,0
1434shape (OrthoPolyLine
1435uid 126,0
1436va (VaSet
1437vasetType 3
1438)
1439xt "34750,29000,48000,29000"
1440pts [
1441"34750,29000"
1442"48000,29000"
1443]
1444)
1445start &31
1446sat 32
1447eat 16
1448st 0
1449sf 1
1450si 0
1451tg (WTG
1452uid 131,0
1453ps "ConnStartEndStrategy"
1454stg "STSignalDisplayStrategy"
1455f (Text
1456uid 132,0
1457va (VaSet
1458)
1459xt "36000,28000,39900,29000"
1460st "synched_o"
1461blo "36000,28800"
1462tm "WireNameMgr"
1463)
1464)
1465on &2
1466)
1467*42 (Wire
1468uid 546,0
1469shape (OrthoPolyLine
1470uid 547,0
1471va (VaSet
1472vasetType 3
1473)
1474xt "5000,14000,8250,14000"
1475pts [
1476"5000,14000"
1477"8250,14000"
1478]
1479)
1480end &17
1481sat 16
1482eat 32
1483st 0
1484sf 1
1485si 0
1486tg (WTG
1487uid 550,0
1488ps "ConnStartEndStrategy"
1489stg "STSignalDisplayStrategy"
1490f (Text
1491uid 551,0
1492va (VaSet
1493)
1494xt "6000,13000,7300,14000"
1495st "clk"
1496blo "6000,13800"
1497tm "WireNameMgr"
1498)
1499)
1500on &26
1501)
1502*43 (Wire
1503uid 552,0
1504shape (OrthoPolyLine
1505uid 553,0
1506va (VaSet
1507vasetType 3
1508)
1509xt "3000,15000,8250,15000"
1510pts [
1511"3000,15000"
1512"8250,15000"
1513]
1514)
1515end &19
1516sat 16
1517eat 32
1518st 0
1519sf 1
1520si 0
1521tg (WTG
1522uid 556,0
1523ps "ConnStartEndStrategy"
1524stg "STSignalDisplayStrategy"
1525f (Text
1526uid 557,0
1527va (VaSet
1528)
1529xt "4000,14000,6900,15000"
1530st "synch_i"
1531blo "4000,14800"
1532tm "WireNameMgr"
1533)
1534)
1535on &3
1536)
1537*44 (Wire
1538uid 560,0
1539shape (OrthoPolyLine
1540uid 561,0
1541va (VaSet
1542vasetType 3
1543)
1544xt "1000,16000,8250,16000"
1545pts [
1546"1000,16000"
1547"8250,16000"
1548]
1549)
1550end &21
1551sat 16
1552eat 32
1553st 0
1554sf 1
1555si 0
1556tg (WTG
1557uid 564,0
1558ps "ConnStartEndStrategy"
1559stg "STSignalDisplayStrategy"
1560f (Text
1561uid 565,0
1562va (VaSet
1563)
1564xt "2000,15000,7400,16000"
1565st "reset_synch_i"
1566blo "2000,15800"
1567tm "WireNameMgr"
1568)
1569)
1570on &27
1571)
1572*45 (Wire
1573uid 566,0
1574shape (OrthoPolyLine
1575uid 567,0
1576va (VaSet
1577vasetType 3
1578)
1579xt "3000,17000,8250,17000"
1580pts [
1581"3000,17000"
1582"8250,17000"
1583]
1584)
1585end &22
1586sat 16
1587eat 32
1588st 0
1589sf 1
1590si 0
1591tg (WTG
1592uid 570,0
1593ps "ConnStartEndStrategy"
1594stg "STSignalDisplayStrategy"
1595f (Text
1596uid 571,0
1597va (VaSet
1598)
1599xt "4000,16000,7200,17000"
1600st "enable_i"
1601blo "4000,16800"
1602tm "WireNameMgr"
1603)
1604)
1605on &4
1606)
1607*46 (Wire
1608uid 572,0
1609shape (OrthoPolyLine
1610uid 573,0
1611va (VaSet
1612vasetType 3
1613lineWidth 2
1614)
1615xt "29750,14000,43000,14000"
1616pts [
1617"29750,14000"
1618"43000,14000"
1619]
1620)
1621start &18
1622sat 32
1623eat 16
1624sty 1
1625st 0
1626sf 1
1627si 0
1628tg (WTG
1629uid 576,0
1630ps "ConnStartEndStrategy"
1631stg "STSignalDisplayStrategy"
1632f (Text
1633uid 577,0
1634va (VaSet
1635)
1636xt "31000,13000,42400,14000"
1637st "time_o : (TIMER_WIDTH-1:0)"
1638blo "31000,13800"
1639tm "WireNameMgr"
1640)
1641)
1642on &1
1643)
1644*47 (Wire
1645uid 578,0
1646shape (OrthoPolyLine
1647uid 579,0
1648va (VaSet
1649vasetType 3
1650)
1651xt "29750,15000,36000,15000"
1652pts [
1653"29750,15000"
1654"36000,15000"
1655]
1656)
1657start &20
1658sat 32
1659eat 16
1660st 0
1661sf 1
1662si 0
1663tg (WTG
1664uid 582,0
1665ps "ConnStartEndStrategy"
1666stg "STSignalDisplayStrategy"
1667f (Text
1668uid 583,0
1669va (VaSet
1670)
1671xt "31000,14000,34900,15000"
1672st "synched_o"
1673blo "31000,14800"
1674tm "WireNameMgr"
1675)
1676)
1677on &2
1678)
1679*48 (Wire
1680uid 622,0
1681shape (OrthoPolyLine
1682uid 623,0
1683va (VaSet
1684vasetType 3
1685)
1686xt "34750,30000,38000,30000"
1687pts [
1688"34750,30000"
1689"38000,30000"
1690]
1691)
1692start &33
1693sat 32
1694eat 16
1695stc 0
1696st 0
1697si 0
1698tg (WTG
1699uid 626,0
1700ps "ConnStartEndStrategy"
1701stg "STSignalDisplayStrategy"
1702f (Text
1703uid 627,0
1704va (VaSet
1705)
1706xt "36000,29000,37300,30000"
1707st "clk"
1708blo "36000,29800"
1709tm "WireNameMgr"
1710)
1711)
1712on &26
1713)
1714*49 (Wire
1715uid 628,0
1716shape (OrthoPolyLine
1717uid 629,0
1718va (VaSet
1719vasetType 3
1720)
1721xt "34750,31000,42000,31000"
1722pts [
1723"34750,31000"
1724"42000,31000"
1725]
1726)
1727start &34
1728sat 32
1729eat 16
1730stc 0
1731st 0
1732si 0
1733tg (WTG
1734uid 632,0
1735ps "ConnStartEndStrategy"
1736stg "STSignalDisplayStrategy"
1737f (Text
1738uid 633,0
1739va (VaSet
1740)
1741xt "36000,30000,41400,31000"
1742st "reset_synch_i"
1743blo "36000,30800"
1744tm "WireNameMgr"
1745)
1746)
1747on &27
1748)
1749]
1750bg "65535,65535,65535"
1751grid (Grid
1752origin "0,0"
1753isVisible 1
1754isActive 1
1755xSpacing 1000
1756xySpacing 1000
1757xShown 1
1758yShown 1
1759color "26368,26368,26368"
1760)
1761packageList *50 (PackageList
1762uid 175,0
1763stg "VerticalLayoutStrategy"
1764textVec [
1765*51 (Text
1766uid 176,0
1767va (VaSet
1768font "arial,8,1"
1769)
1770xt "0,0,5400,1000"
1771st "Package List"
1772blo "0,800"
1773)
1774*52 (MLText
1775uid 177,0
1776va (VaSet
1777)
1778xt "0,1000,12400,5000"
1779st "LIBRARY ieee;
1780USE ieee.std_logic_1164.ALL;
1781USE ieee.std_logic_arith.ALL;
1782USE ieee.std_logic_unsigned.all;"
1783tm "PackageList"
1784)
1785]
1786)
1787compDirBlock (MlTextGroup
1788uid 178,0
1789stg "VerticalLayoutStrategy"
1790textVec [
1791*53 (Text
1792uid 179,0
1793va (VaSet
1794isHidden 1
1795font "Arial,8,1"
1796)
1797xt "20000,0,28100,1000"
1798st "Compiler Directives"
1799blo "20000,800"
1800)
1801*54 (Text
1802uid 180,0
1803va (VaSet
1804isHidden 1
1805font "Arial,8,1"
1806)
1807xt "20000,1000,29600,2000"
1808st "Pre-module directives:"
1809blo "20000,1800"
1810)
1811*55 (MLText
1812uid 181,0
1813va (VaSet
1814isHidden 1
1815)
1816xt "20000,2000,27500,4000"
1817st "`resetall
1818`timescale 1ns/10ps"
1819tm "BdCompilerDirectivesTextMgr"
1820)
1821*56 (Text
1822uid 182,0
1823va (VaSet
1824isHidden 1
1825font "Arial,8,1"
1826)
1827xt "20000,4000,30100,5000"
1828st "Post-module directives:"
1829blo "20000,4800"
1830)
1831*57 (MLText
1832uid 183,0
1833va (VaSet
1834isHidden 1
1835)
1836xt "20000,0,20000,0"
1837tm "BdCompilerDirectivesTextMgr"
1838)
1839*58 (Text
1840uid 184,0
1841va (VaSet
1842isHidden 1
1843font "Arial,8,1"
1844)
1845xt "20000,5000,29900,6000"
1846st "End-module directives:"
1847blo "20000,5800"
1848)
1849*59 (MLText
1850uid 185,0
1851va (VaSet
1852isHidden 1
1853)
1854xt "20000,6000,20000,6000"
1855tm "BdCompilerDirectivesTextMgr"
1856)
1857]
1858associable 1
1859)
1860windowSize "0,22,1281,1024"
1861viewArea "-21800,-200,74751,77423"
1862cachedDiagramExtent "0,0,66000,49000"
1863hasePageBreakOrigin 1
1864pageBreakOrigin "-7000,0"
1865lastUid 654,0
1866defaultCommentText (CommentText
1867shape (Rectangle
1868layer 0
1869va (VaSet
1870vasetType 1
1871fg "65280,65280,46080"
1872lineColor "0,0,32768"
1873)
1874xt "0,0,15000,5000"
1875)
1876text (MLText
1877va (VaSet
1878fg "0,0,32768"
1879)
1880xt "200,200,2000,1200"
1881st "
1882Text
1883"
1884tm "CommentText"
1885wrapOption 3
1886visibleHeight 4600
1887visibleWidth 14600
1888)
1889)
1890defaultPanel (Panel
1891shape (RectFrame
1892va (VaSet
1893vasetType 1
1894fg "65535,65535,65535"
1895lineColor "32768,0,0"
1896lineWidth 3
1897)
1898xt "0,0,20000,20000"
1899)
1900title (TextAssociate
1901ps "TopLeftStrategy"
1902text (Text
1903va (VaSet
1904font "Arial,8,1"
1905)
1906xt "1000,1000,3800,2000"
1907st "Panel0"
1908blo "1000,1800"
1909tm "PanelText"
1910)
1911)
1912)
1913defaultBlk (Blk
1914shape (Rectangle
1915va (VaSet
1916vasetType 1
1917fg "39936,56832,65280"
1918lineColor "0,0,32768"
1919lineWidth 2
1920)
1921xt "0,0,8000,10000"
1922)
1923ttg (MlTextGroup
1924ps "CenterOffsetStrategy"
1925stg "VerticalLayoutStrategy"
1926textVec [
1927*60 (Text
1928va (VaSet
1929font "Arial,8,1"
1930)
1931xt "2200,3500,5800,4500"
1932st "<library>"
1933blo "2200,4300"
1934tm "BdLibraryNameMgr"
1935)
1936*61 (Text
1937va (VaSet
1938font "Arial,8,1"
1939)
1940xt "2200,4500,5600,5500"
1941st "<block>"
1942blo "2200,5300"
1943tm "BlkNameMgr"
1944)
1945*62 (Text
1946va (VaSet
1947font "Arial,8,1"
1948)
1949xt "2200,5500,4000,6500"
1950st "U_0"
1951blo "2200,6300"
1952tm "InstanceNameMgr"
1953)
1954]
1955)
1956ga (GenericAssociation
1957ps "EdgeToEdgeStrategy"
1958matrix (Matrix
1959text (MLText
1960va (VaSet
1961font "Courier New,8,0"
1962)
1963xt "2200,13500,2200,13500"
1964)
1965header ""
1966)
1967elements [
1968]
1969)
1970viewicon (ZoomableIcon
1971sl 0
1972va (VaSet
1973vasetType 1
1974fg "49152,49152,49152"
1975)
1976xt "0,0,1500,1500"
1977iconName "UnknownFile.png"
1978iconMaskName "UnknownFile.msk"
1979)
1980viewiconposition 0
1981)
1982defaultMWComponent (MWC
1983shape (Rectangle
1984va (VaSet
1985vasetType 1
1986fg "0,65535,0"
1987lineColor "0,32896,0"
1988lineWidth 2
1989)
1990xt "0,0,8000,10000"
1991)
1992ttg (MlTextGroup
1993ps "CenterOffsetStrategy"
1994stg "VerticalLayoutStrategy"
1995textVec [
1996*63 (Text
1997va (VaSet
1998font "Arial,8,1"
1999)
2000xt "550,3500,3450,4500"
2001st "Library"
2002blo "550,4300"
2003)
2004*64 (Text
2005va (VaSet
2006font "Arial,8,1"
2007)
2008xt "550,4500,7450,5500"
2009st "MWComponent"
2010blo "550,5300"
2011)
2012*65 (Text
2013va (VaSet
2014font "Arial,8,1"
2015)
2016xt "550,5500,2350,6500"
2017st "U_0"
2018blo "550,6300"
2019tm "InstanceNameMgr"
2020)
2021]
2022)
2023ga (GenericAssociation
2024ps "EdgeToEdgeStrategy"
2025matrix (Matrix
2026text (MLText
2027va (VaSet
2028font "Courier New,8,0"
2029)
2030xt "-6450,1500,-6450,1500"
2031)
2032header ""
2033)
2034elements [
2035]
2036)
2037portVis (PortSigDisplay
2038)
2039prms (Property
2040pclass "params"
2041pname "params"
2042ptn "String"
2043)
2044visOptions (mwParamsVisibilityOptions
2045)
2046)
2047defaultSaComponent (SaComponent
2048shape (Rectangle
2049va (VaSet
2050vasetType 1
2051fg "0,65535,0"
2052lineColor "0,32896,0"
2053lineWidth 2
2054)
2055xt "0,0,8000,10000"
2056)
2057ttg (MlTextGroup
2058ps "CenterOffsetStrategy"
2059stg "VerticalLayoutStrategy"
2060textVec [
2061*66 (Text
2062va (VaSet
2063font "Arial,8,1"
2064)
2065xt "900,3500,3800,4500"
2066st "Library"
2067blo "900,4300"
2068tm "BdLibraryNameMgr"
2069)
2070*67 (Text
2071va (VaSet
2072font "Arial,8,1"
2073)
2074xt "900,4500,7100,5500"
2075st "SaComponent"
2076blo "900,5300"
2077tm "CptNameMgr"
2078)
2079*68 (Text
2080va (VaSet
2081font "Arial,8,1"
2082)
2083xt "900,5500,2700,6500"
2084st "U_0"
2085blo "900,6300"
2086tm "InstanceNameMgr"
2087)
2088]
2089)
2090ga (GenericAssociation
2091ps "EdgeToEdgeStrategy"
2092matrix (Matrix
2093text (MLText
2094va (VaSet
2095font "Courier New,8,0"
2096)
2097xt "-6100,1500,-6100,1500"
2098)
2099header ""
2100)
2101elements [
2102]
2103)
2104viewicon (ZoomableIcon
2105sl 0
2106va (VaSet
2107vasetType 1
2108fg "49152,49152,49152"
2109)
2110xt "0,0,1500,1500"
2111iconName "UnknownFile.png"
2112iconMaskName "UnknownFile.msk"
2113)
2114viewiconposition 0
2115portVis (PortSigDisplay
2116)
2117archFileType "UNKNOWN"
2118)
2119defaultVhdlComponent (VhdlComponent
2120shape (Rectangle
2121va (VaSet
2122vasetType 1
2123fg "0,65535,0"
2124lineColor "0,32896,0"
2125lineWidth 2
2126)
2127xt "0,0,8000,10000"
2128)
2129ttg (MlTextGroup
2130ps "CenterOffsetStrategy"
2131stg "VerticalLayoutStrategy"
2132textVec [
2133*69 (Text
2134va (VaSet
2135font "Arial,8,1"
2136)
2137xt "500,3500,3400,4500"
2138st "Library"
2139blo "500,4300"
2140)
2141*70 (Text
2142va (VaSet
2143font "Arial,8,1"
2144)
2145xt "500,4500,7500,5500"
2146st "VhdlComponent"
2147blo "500,5300"
2148)
2149*71 (Text
2150va (VaSet
2151font "Arial,8,1"
2152)
2153xt "500,5500,2300,6500"
2154st "U_0"
2155blo "500,6300"
2156tm "InstanceNameMgr"
2157)
2158]
2159)
2160ga (GenericAssociation
2161ps "EdgeToEdgeStrategy"
2162matrix (Matrix
2163text (MLText
2164va (VaSet
2165font "Courier New,8,0"
2166)
2167xt "-6500,1500,-6500,1500"
2168)
2169header ""
2170)
2171elements [
2172]
2173)
2174portVis (PortSigDisplay
2175)
2176entityPath ""
2177archName ""
2178archPath ""
2179)
2180defaultVerilogComponent (VerilogComponent
2181shape (Rectangle
2182va (VaSet
2183vasetType 1
2184fg "0,65535,0"
2185lineColor "0,32896,0"
2186lineWidth 2
2187)
2188xt "-450,0,8450,10000"
2189)
2190ttg (MlTextGroup
2191ps "CenterOffsetStrategy"
2192stg "VerticalLayoutStrategy"
2193textVec [
2194*72 (Text
2195va (VaSet
2196font "Arial,8,1"
2197)
2198xt "50,3500,2950,4500"
2199st "Library"
2200blo "50,4300"
2201)
2202*73 (Text
2203va (VaSet
2204font "Arial,8,1"
2205)
2206xt "50,4500,7950,5500"
2207st "VerilogComponent"
2208blo "50,5300"
2209)
2210*74 (Text
2211va (VaSet
2212font "Arial,8,1"
2213)
2214xt "50,5500,1850,6500"
2215st "U_0"
2216blo "50,6300"
2217tm "InstanceNameMgr"
2218)
2219]
2220)
2221ga (GenericAssociation
2222ps "EdgeToEdgeStrategy"
2223matrix (Matrix
2224text (MLText
2225va (VaSet
2226font "Courier New,8,0"
2227)
2228xt "-6950,1500,-6950,1500"
2229)
2230header ""
2231)
2232elements [
2233]
2234)
2235entityPath ""
2236)
2237defaultHdlText (HdlText
2238shape (Rectangle
2239va (VaSet
2240vasetType 1
2241fg "65535,65535,37120"
2242lineColor "0,0,32768"
2243lineWidth 2
2244)
2245xt "0,0,8000,10000"
2246)
2247ttg (MlTextGroup
2248ps "CenterOffsetStrategy"
2249stg "VerticalLayoutStrategy"
2250textVec [
2251*75 (Text
2252va (VaSet
2253font "Arial,8,1"
2254)
2255xt "3150,4000,4850,5000"
2256st "eb1"
2257blo "3150,4800"
2258tm "HdlTextNameMgr"
2259)
2260*76 (Text
2261va (VaSet
2262font "Arial,8,1"
2263)
2264xt "3150,5000,3950,6000"
2265st "1"
2266blo "3150,5800"
2267tm "HdlTextNumberMgr"
2268)
2269]
2270)
2271viewicon (ZoomableIcon
2272sl 0
2273va (VaSet
2274vasetType 1
2275fg "49152,49152,49152"
2276)
2277xt "0,0,1500,1500"
2278iconName "UnknownFile.png"
2279iconMaskName "UnknownFile.msk"
2280)
2281viewiconposition 0
2282)
2283defaultEmbeddedText (EmbeddedText
2284commentText (CommentText
2285ps "CenterOffsetStrategy"
2286shape (Rectangle
2287va (VaSet
2288vasetType 1
2289fg "65535,65535,65535"
2290lineColor "0,0,32768"
2291lineWidth 2
2292)
2293xt "0,0,18000,5000"
2294)
2295text (MLText
2296va (VaSet
2297)
2298xt "200,200,2000,1200"
2299st "
2300Text
2301"
2302tm "HdlTextMgr"
2303wrapOption 3
2304visibleHeight 4600
2305visibleWidth 17600
2306)
2307)
2308)
2309defaultGlobalConnector (GlobalConnector
2310shape (Circle
2311va (VaSet
2312vasetType 1
2313fg "65535,65535,0"
2314)
2315xt "-1000,-1000,1000,1000"
2316radius 1000
2317)
2318name (Text
2319va (VaSet
2320font "Arial,8,1"
2321)
2322xt "-500,-500,500,500"
2323st "G"
2324blo "-500,300"
2325)
2326)
2327defaultRipper (Ripper
2328ps "OnConnectorStrategy"
2329shape (Line2D
2330pts [
2331"0,0"
2332"1000,1000"
2333]
2334va (VaSet
2335vasetType 1
2336)
2337xt "0,0,1000,1000"
2338)
2339)
2340defaultBdJunction (BdJunction
2341ps "OnConnectorStrategy"
2342shape (Circle
2343va (VaSet
2344vasetType 1
2345)
2346xt "-400,-400,400,400"
2347radius 400
2348)
2349)
2350defaultPortIoIn (PortIoIn
2351shape (CompositeShape
2352va (VaSet
2353vasetType 1
2354fg "0,0,32768"
2355)
2356optionalChildren [
2357(Pentagon
2358sl 0
2359ro 270
2360xt "-2000,-375,-500,375"
2361)
2362(Line
2363sl 0
2364ro 270
2365xt "-500,0,0,0"
2366pts [
2367"-500,0"
2368"0,0"
2369]
2370)
2371]
2372)
2373stc 0
2374sf 1
2375tg (WTG
2376ps "PortIoTextPlaceStrategy"
2377stg "STSignalDisplayStrategy"
2378f (Text
2379va (VaSet
2380)
2381xt "-1375,-1000,-1375,-1000"
2382ju 2
2383blo "-1375,-1000"
2384tm "WireNameMgr"
2385)
2386)
2387)
2388defaultPortIoOut (PortIoOut
2389shape (CompositeShape
2390va (VaSet
2391vasetType 1
2392fg "0,0,32768"
2393)
2394optionalChildren [
2395(Pentagon
2396sl 0
2397ro 270
2398xt "500,-375,2000,375"
2399)
2400(Line
2401sl 0
2402ro 270
2403xt "0,0,500,0"
2404pts [
2405"0,0"
2406"500,0"
2407]
2408)
2409]
2410)
2411stc 0
2412sf 1
2413tg (WTG
2414ps "PortIoTextPlaceStrategy"
2415stg "STSignalDisplayStrategy"
2416f (Text
2417va (VaSet
2418)
2419xt "625,-1000,625,-1000"
2420blo "625,-1000"
2421tm "WireNameMgr"
2422)
2423)
2424)
2425defaultPortIoInOut (PortIoInOut
2426shape (CompositeShape
2427va (VaSet
2428vasetType 1
2429fg "0,0,32768"
2430)
2431optionalChildren [
2432(Hexagon
2433sl 0
2434xt "500,-375,2000,375"
2435)
2436(Line
2437sl 0
2438xt "0,0,500,0"
2439pts [
2440"0,0"
2441"500,0"
2442]
2443)
2444]
2445)
2446stc 0
2447sf 1
2448tg (WTG
2449ps "PortIoTextPlaceStrategy"
2450stg "STSignalDisplayStrategy"
2451f (Text
2452va (VaSet
2453)
2454xt "0,-375,0,-375"
2455blo "0,-375"
2456tm "WireNameMgr"
2457)
2458)
2459)
2460defaultPortIoBuffer (PortIoBuffer
2461shape (CompositeShape
2462va (VaSet
2463vasetType 1
2464fg "65535,65535,65535"
2465lineColor "0,0,32768"
2466)
2467optionalChildren [
2468(Hexagon
2469sl 0
2470xt "500,-375,2000,375"
2471)
2472(Line
2473sl 0
2474xt "0,0,500,0"
2475pts [
2476"0,0"
2477"500,0"
2478]
2479)
2480]
2481)
2482stc 0
2483sf 1
2484tg (WTG
2485ps "PortIoTextPlaceStrategy"
2486stg "STSignalDisplayStrategy"
2487f (Text
2488va (VaSet
2489)
2490xt "0,-375,0,-375"
2491blo "0,-375"
2492tm "WireNameMgr"
2493)
2494)
2495)
2496defaultSignal (Wire
2497shape (OrthoPolyLine
2498va (VaSet
2499vasetType 3
2500)
2501pts [
2502"0,0"
2503"0,0"
2504]
2505)
2506ss 0
2507es 0
2508sat 32
2509eat 32
2510st 0
2511sf 1
2512si 0
2513tg (WTG
2514ps "ConnStartEndStrategy"
2515stg "STSignalDisplayStrategy"
2516f (Text
2517va (VaSet
2518)
2519xt "0,0,1900,1000"
2520st "sig0"
2521blo "0,800"
2522tm "WireNameMgr"
2523)
2524)
2525)
2526defaultBus (Wire
2527shape (OrthoPolyLine
2528va (VaSet
2529vasetType 3
2530lineWidth 2
2531)
2532pts [
2533"0,0"
2534"0,0"
2535]
2536)
2537ss 0
2538es 0
2539sat 32
2540eat 32
2541sty 1
2542st 0
2543sf 1
2544si 0
2545tg (WTG
2546ps "ConnStartEndStrategy"
2547stg "STSignalDisplayStrategy"
2548f (Text
2549va (VaSet
2550)
2551xt "0,0,2400,1000"
2552st "dbus0"
2553blo "0,800"
2554tm "WireNameMgr"
2555)
2556)
2557)
2558defaultBundle (Bundle
2559shape (OrthoPolyLine
2560va (VaSet
2561vasetType 3
2562lineColor "32768,0,0"
2563lineWidth 2
2564)
2565pts [
2566"0,0"
2567"0,0"
2568]
2569)
2570ss 0
2571es 0
2572sat 32
2573eat 32
2574textGroup (BiTextGroup
2575ps "ConnStartEndStrategy"
2576stg "VerticalLayoutStrategy"
2577first (Text
2578va (VaSet
2579)
2580xt "0,0,3000,1000"
2581st "bundle0"
2582blo "0,800"
2583tm "BundleNameMgr"
2584)
2585second (MLText
2586va (VaSet
2587)
2588xt "0,1000,1000,2000"
2589st "()"
2590tm "BundleContentsMgr"
2591)
2592)
2593bundleNet &0
2594)
2595defaultPortMapFrame (PortMapFrame
2596ps "PortMapFrameStrategy"
2597shape (RectFrame
2598va (VaSet
2599vasetType 1
2600fg "65535,65535,65535"
2601lineColor "0,0,32768"
2602lineWidth 2
2603)
2604xt "0,0,10000,12000"
2605)
2606portMapText (BiTextGroup
2607ps "BottomRightOffsetStrategy"
2608stg "VerticalLayoutStrategy"
2609first (MLText
2610va (VaSet
2611)
2612)
2613second (MLText
2614va (VaSet
2615)
2616tm "PortMapTextMgr"
2617)
2618)
2619)
2620defaultGenFrame (Frame
2621shape (RectFrame
2622va (VaSet
2623vasetType 1
2624fg "65535,65535,65535"
2625lineColor "26368,26368,26368"
2626lineStyle 2
2627lineWidth 3
2628)
2629xt "0,0,20000,20000"
2630)
2631title (TextAssociate
2632ps "TopLeftStrategy"
2633text (MLText
2634va (VaSet
2635)
2636xt "0,-1100,12600,-100"
2637st "g0: FOR i IN 0 TO n GENERATE"
2638tm "FrameTitleTextMgr"
2639)
2640)
2641seqNum (FrameSequenceNumber
2642ps "TopLeftStrategy"
2643shape (Rectangle
2644va (VaSet
2645vasetType 1
2646fg "65535,65535,65535"
2647)
2648xt "50,50,1250,1450"
2649)
2650num (Text
2651va (VaSet
2652)
2653xt "250,250,1050,1250"
2654st "1"
2655blo "250,1050"
2656tm "FrameSeqNumMgr"
2657)
2658)
2659decls (MlTextGroup
2660ps "BottomRightOffsetStrategy"
2661stg "VerticalLayoutStrategy"
2662textVec [
2663*77 (Text
2664va (VaSet
2665font "Arial,8,1"
2666)
2667xt "14100,20000,22000,21000"
2668st "Frame Declarations"
2669blo "14100,20800"
2670)
2671*78 (MLText
2672va (VaSet
2673)
2674xt "14100,21000,14100,21000"
2675tm "BdFrameDeclTextMgr"
2676)
2677]
2678)
2679)
2680defaultBlockFrame (Frame
2681shape (RectFrame
2682va (VaSet
2683vasetType 1
2684fg "65535,65535,65535"
2685lineColor "26368,26368,26368"
2686lineStyle 1
2687lineWidth 3
2688)
2689xt "0,0,20000,20000"
2690)
2691title (TextAssociate
2692ps "TopLeftStrategy"
2693text (MLText
2694va (VaSet
2695)
2696xt "0,-1100,7400,-100"
2697st "b0: BLOCK (guard)"
2698tm "FrameTitleTextMgr"
2699)
2700)
2701seqNum (FrameSequenceNumber
2702ps "TopLeftStrategy"
2703shape (Rectangle
2704va (VaSet
2705vasetType 1
2706fg "65535,65535,65535"
2707)
2708xt "50,50,1250,1450"
2709)
2710num (Text
2711va (VaSet
2712)
2713xt "250,250,1050,1250"
2714st "1"
2715blo "250,1050"
2716tm "FrameSeqNumMgr"
2717)
2718)
2719decls (MlTextGroup
2720ps "BottomRightOffsetStrategy"
2721stg "VerticalLayoutStrategy"
2722textVec [
2723*79 (Text
2724va (VaSet
2725font "Arial,8,1"
2726)
2727xt "14100,20000,22000,21000"
2728st "Frame Declarations"
2729blo "14100,20800"
2730)
2731*80 (MLText
2732va (VaSet
2733)
2734xt "14100,21000,14100,21000"
2735tm "BdFrameDeclTextMgr"
2736)
2737]
2738)
2739style 3
2740)
2741defaultSaCptPort (CptPort
2742ps "OnEdgeStrategy"
2743shape (Triangle
2744ro 90
2745va (VaSet
2746vasetType 1
2747fg "0,65535,0"
2748)
2749xt "0,0,750,750"
2750)
2751tg (CPTG
2752ps "CptPortTextPlaceStrategy"
2753stg "VerticalLayoutStrategy"
2754f (Text
2755va (VaSet
2756)
2757xt "0,750,1800,1750"
2758st "Port"
2759blo "0,1550"
2760)
2761)
2762thePort (LogicalPort
2763decl (Decl
2764n "Port"
2765t ""
2766o 0
2767)
2768)
2769)
2770defaultSaCptPortBuffer (CptPort
2771ps "OnEdgeStrategy"
2772shape (Diamond
2773va (VaSet
2774vasetType 1
2775fg "65535,65535,65535"
2776)
2777xt "0,0,750,750"
2778)
2779tg (CPTG
2780ps "CptPortTextPlaceStrategy"
2781stg "VerticalLayoutStrategy"
2782f (Text
2783va (VaSet
2784)
2785xt "0,750,1800,1750"
2786st "Port"
2787blo "0,1550"
2788)
2789)
2790thePort (LogicalPort
2791m 3
2792decl (Decl
2793n "Port"
2794t ""
2795o 0
2796)
2797)
2798)
2799defaultDeclText (MLText
2800va (VaSet
2801font "Courier New,8,0"
2802)
2803)
2804archDeclarativeBlock (BdArchDeclBlock
2805uid 1,0
2806stg "BdArchDeclBlockLS"
2807declLabel (Text
2808uid 2,0
2809va (VaSet
2810font "Arial,8,1"
2811)
2812xt "20000,0,25400,1000"
2813st "Declarations"
2814blo "20000,800"
2815)
2816portLabel (Text
2817uid 3,0
2818va (VaSet
2819font "Arial,8,1"
2820)
2821xt "20000,1000,22700,2000"
2822st "Ports:"
2823blo "20000,1800"
2824)
2825preUserLabel (Text
2826uid 4,0
2827va (VaSet
2828isHidden 1
2829font "Arial,8,1"
2830)
2831xt "20000,0,23800,1000"
2832st "Pre User:"
2833blo "20000,800"
2834)
2835preUserText (MLText
2836uid 5,0
2837va (VaSet
2838isHidden 1
2839font "Courier New,8,0"
2840)
2841xt "20000,0,20000,0"
2842tm "BdDeclarativeTextMgr"
2843)
2844diagSignalLabel (Text
2845uid 6,0
2846va (VaSet
2847font "Arial,8,1"
2848)
2849xt "20000,2000,27100,3000"
2850st "Diagram Signals:"
2851blo "20000,2800"
2852)
2853postUserLabel (Text
2854uid 7,0
2855va (VaSet
2856isHidden 1
2857font "Arial,8,1"
2858)
2859xt "20000,0,24700,1000"
2860st "Post User:"
2861blo "20000,800"
2862)
2863postUserText (MLText
2864uid 8,0
2865va (VaSet
2866isHidden 1
2867font "Courier New,8,0"
2868)
2869xt "20000,0,20000,0"
2870tm "BdDeclarativeTextMgr"
2871)
2872)
2873commonDM (CommonDM
2874ldm (LogicalDM
2875suid 9,0
2876usingSuid 1
2877emptyRow *81 (LEmptyRow
2878)
2879uid 188,0
2880optionalChildren [
2881*82 (RefLabelRowHdr
2882)
2883*83 (TitleRowHdr
2884)
2885*84 (FilterRowHdr
2886)
2887*85 (RefLabelColHdr
2888tm "RefLabelColHdrMgr"
2889)
2890*86 (RowExpandColHdr
2891tm "RowExpandColHdrMgr"
2892)
2893*87 (GroupColHdr
2894tm "GroupColHdrMgr"
2895)
2896*88 (NameColHdr
2897tm "BlockDiagramNameColHdrMgr"
2898)
2899*89 (ModeColHdr
2900tm "BlockDiagramModeColHdrMgr"
2901)
2902*90 (TypeColHdr
2903tm "BlockDiagramTypeColHdrMgr"
2904)
2905*91 (BoundsColHdr
2906tm "BlockDiagramBoundsColHdrMgr"
2907)
2908*92 (InitColHdr
2909tm "BlockDiagramInitColHdrMgr"
2910)
2911*93 (EolColHdr
2912tm "BlockDiagramEolColHdrMgr"
2913)
2914*94 (LeafLogPort
2915port (LogicalPort
2916m 4
2917decl (Decl
2918n "time_o"
2919t "std_logic_vector"
2920b "( TIMER_WIDTH-1 downto 0)"
2921o 1
2922suid 1,0
2923)
2924)
2925uid 165,0
2926)
2927*95 (LeafLogPort
2928port (LogicalPort
2929m 4
2930decl (Decl
2931n "synched_o"
2932t "std_logic"
2933o 2
2934suid 2,0
2935i "'0'"
2936)
2937)
2938uid 167,0
2939)
2940*96 (LeafLogPort
2941port (LogicalPort
2942m 4
2943decl (Decl
2944n "synch_i"
2945t "std_logic"
2946o 4
2947suid 4,0
2948)
2949)
2950uid 171,0
2951)
2952*97 (LeafLogPort
2953port (LogicalPort
2954m 4
2955decl (Decl
2956n "enable_i"
2957t "std_logic"
2958o 5
2959suid 5,0
2960)
2961)
2962uid 173,0
2963)
2964*98 (LeafLogPort
2965port (LogicalPort
2966m 4
2967decl (Decl
2968n "clk"
2969t "std_logic"
2970o 5
2971suid 8,0
2972)
2973)
2974uid 584,0
2975)
2976*99 (LeafLogPort
2977port (LogicalPort
2978m 4
2979decl (Decl
2980n "reset_synch_i"
2981t "std_logic"
2982o 6
2983suid 9,0
2984)
2985)
2986uid 586,0
2987)
2988]
2989)
2990pdm (PhysicalDM
2991displayShortBounds 1
2992editShortBounds 1
2993uid 201,0
2994optionalChildren [
2995*100 (Sheet
2996sheetRow (SheetRow
2997headerVa (MVa
2998cellColor "49152,49152,49152"
2999fontColor "0,0,0"
3000font "Tahoma,10,0"
3001)
3002cellVa (MVa
3003cellColor "65535,65535,65535"
3004fontColor "0,0,0"
3005font "Tahoma,10,0"
3006)
3007groupVa (MVa
3008cellColor "39936,56832,65280"
3009fontColor "0,0,0"
3010font "Tahoma,10,0"
3011)
3012emptyMRCItem *101 (MRCItem
3013litem &81
3014pos 6
3015dimension 20
3016)
3017uid 203,0
3018optionalChildren [
3019*102 (MRCItem
3020litem &82
3021pos 0
3022dimension 20
3023uid 204,0
3024)
3025*103 (MRCItem
3026litem &83
3027pos 1
3028dimension 23
3029uid 205,0
3030)
3031*104 (MRCItem
3032litem &84
3033pos 2
3034hidden 1
3035dimension 20
3036uid 206,0
3037)
3038*105 (MRCItem
3039litem &94
3040pos 0
3041dimension 20
3042uid 166,0
3043)
3044*106 (MRCItem
3045litem &95
3046pos 1
3047dimension 20
3048uid 168,0
3049)
3050*107 (MRCItem
3051litem &96
3052pos 2
3053dimension 20
3054uid 172,0
3055)
3056*108 (MRCItem
3057litem &97
3058pos 3
3059dimension 20
3060uid 174,0
3061)
3062*109 (MRCItem
3063litem &98
3064pos 4
3065dimension 20
3066uid 585,0
3067)
3068*110 (MRCItem
3069litem &99
3070pos 5
3071dimension 20
3072uid 587,0
3073)
3074]
3075)
3076sheetCol (SheetCol
3077propVa (MVa
3078cellColor "0,49152,49152"
3079fontColor "0,0,0"
3080font "Tahoma,10,0"
3081textAngle 90
3082)
3083uid 207,0
3084optionalChildren [
3085*111 (MRCItem
3086litem &85
3087pos 0
3088dimension 20
3089uid 208,0
3090)
3091*112 (MRCItem
3092litem &87
3093pos 1
3094dimension 50
3095uid 209,0
3096)
3097*113 (MRCItem
3098litem &88
3099pos 2
3100dimension 100
3101uid 210,0
3102)
3103*114 (MRCItem
3104litem &89
3105pos 3
3106dimension 50
3107uid 211,0
3108)
3109*115 (MRCItem
3110litem &90
3111pos 4
3112dimension 100
3113uid 212,0
3114)
3115*116 (MRCItem
3116litem &91
3117pos 5
3118dimension 100
3119uid 213,0
3120)
3121*117 (MRCItem
3122litem &92
3123pos 6
3124dimension 50
3125uid 214,0
3126)
3127*118 (MRCItem
3128litem &93
3129pos 7
3130dimension 80
3131uid 215,0
3132)
3133]
3134)
3135fixedCol 4
3136fixedRow 2
3137name "Ports"
3138uid 202,0
3139vaOverrides [
3140]
3141)
3142]
3143)
3144uid 187,0
3145)
3146genericsCommonDM (CommonDM
3147ldm (LogicalDM
3148emptyRow *119 (LEmptyRow
3149)
3150uid 217,0
3151optionalChildren [
3152*120 (RefLabelRowHdr
3153)
3154*121 (TitleRowHdr
3155)
3156*122 (FilterRowHdr
3157)
3158*123 (RefLabelColHdr
3159tm "RefLabelColHdrMgr"
3160)
3161*124 (RowExpandColHdr
3162tm "RowExpandColHdrMgr"
3163)
3164*125 (GroupColHdr
3165tm "GroupColHdrMgr"
3166)
3167*126 (NameColHdr
3168tm "GenericNameColHdrMgr"
3169)
3170*127 (TypeColHdr
3171tm "GenericTypeColHdrMgr"
3172)
3173*128 (InitColHdr
3174tm "GenericValueColHdrMgr"
3175)
3176*129 (PragmaColHdr
3177tm "GenericPragmaColHdrMgr"
3178)
3179*130 (EolColHdr
3180tm "GenericEolColHdrMgr"
3181)
3182*131 (LogGeneric
3183generic (GiElement
3184name "TIMER_WIDTH"
3185type "integer"
3186value "32"
3187)
3188uid 9,0
3189)
3190*132 (LogGeneric
3191generic (GiElement
3192name "PRESCALER"
3193type "integer"
3194value "2500"
3195)
3196uid 11,0
3197)
3198]
3199)
3200pdm (PhysicalDM
3201displayShortBounds 1
3202editShortBounds 1
3203uid 229,0
3204optionalChildren [
3205*133 (Sheet
3206sheetRow (SheetRow
3207headerVa (MVa
3208cellColor "49152,49152,49152"
3209fontColor "0,0,0"
3210font "Tahoma,10,0"
3211)
3212cellVa (MVa
3213cellColor "65535,65535,65535"
3214fontColor "0,0,0"
3215font "Tahoma,10,0"
3216)
3217groupVa (MVa
3218cellColor "39936,56832,65280"
3219fontColor "0,0,0"
3220font "Tahoma,10,0"
3221)
3222emptyMRCItem *134 (MRCItem
3223litem &119
3224pos 2
3225dimension 20
3226)
3227uid 231,0
3228optionalChildren [
3229*135 (MRCItem
3230litem &120
3231pos 0
3232dimension 20
3233uid 232,0
3234)
3235*136 (MRCItem
3236litem &121
3237pos 1
3238dimension 23
3239uid 233,0
3240)
3241*137 (MRCItem
3242litem &122
3243pos 2
3244hidden 1
3245dimension 20
3246uid 234,0
3247)
3248*138 (MRCItem
3249litem &131
3250pos 0
3251dimension 20
3252uid 10,0
3253)
3254*139 (MRCItem
3255litem &132
3256pos 1
3257dimension 20
3258uid 12,0
3259)
3260]
3261)
3262sheetCol (SheetCol
3263propVa (MVa
3264cellColor "0,49152,49152"
3265fontColor "0,0,0"
3266font "Tahoma,10,0"
3267textAngle 90
3268)
3269uid 235,0
3270optionalChildren [
3271*140 (MRCItem
3272litem &123
3273pos 0
3274dimension 20
3275uid 236,0
3276)
3277*141 (MRCItem
3278litem &125
3279pos 1
3280dimension 50
3281uid 237,0
3282)
3283*142 (MRCItem
3284litem &126
3285pos 2
3286dimension 100
3287uid 238,0
3288)
3289*143 (MRCItem
3290litem &127
3291pos 3
3292dimension 100
3293uid 239,0
3294)
3295*144 (MRCItem
3296litem &128
3297pos 4
3298dimension 50
3299uid 240,0
3300)
3301*145 (MRCItem
3302litem &129
3303pos 5
3304dimension 50
3305uid 241,0
3306)
3307*146 (MRCItem
3308litem &130
3309pos 6
3310dimension 80
3311uid 242,0
3312)
3313]
3314)
3315fixedCol 3
3316fixedRow 2
3317name "Ports"
3318uid 230,0
3319vaOverrides [
3320]
3321)
3322]
3323)
3324uid 216,0
3325type 1
3326)
3327activeModelName "BlockDiag"
3328)
Note: See TracBrowser for help on using the repository browser.