| 1 | DocumentHdrVersion "1.1"
|
|---|
| 2 | Header (DocumentHdr
|
|---|
| 3 | version 2
|
|---|
| 4 | dmPackageRefs [
|
|---|
| 5 | (DmPackageRef
|
|---|
| 6 | library "ieee"
|
|---|
| 7 | unitName "std_logic_1164"
|
|---|
| 8 | itemName "ALL"
|
|---|
| 9 | )
|
|---|
| 10 | (DmPackageRef
|
|---|
| 11 | library "ieee"
|
|---|
| 12 | unitName "std_logic_arith"
|
|---|
| 13 | itemName "ALL"
|
|---|
| 14 | )
|
|---|
| 15 | (DmPackageRef
|
|---|
| 16 | library "ieee"
|
|---|
| 17 | unitName "std_logic_unsigned"
|
|---|
| 18 | )
|
|---|
| 19 | ]
|
|---|
| 20 | instances [
|
|---|
| 21 | (Instance
|
|---|
| 22 | name "U_0"
|
|---|
| 23 | duLibraryName "FACT_FAD_lib"
|
|---|
| 24 | duName "timer"
|
|---|
| 25 | elements [
|
|---|
| 26 | (GiElement
|
|---|
| 27 | name "TIMER_WIDTH"
|
|---|
| 28 | type "integer"
|
|---|
| 29 | value "32"
|
|---|
| 30 | )
|
|---|
| 31 | (GiElement
|
|---|
| 32 | name "PRESCALER"
|
|---|
| 33 | type "integer"
|
|---|
| 34 | value "5000"
|
|---|
| 35 | )
|
|---|
| 36 | ]
|
|---|
| 37 | mwi 0
|
|---|
| 38 | uid 534,0
|
|---|
| 39 | )
|
|---|
| 40 | (Instance
|
|---|
| 41 | name "U_1"
|
|---|
| 42 | duLibraryName "FACT_FAD_TB_lib"
|
|---|
| 43 | duName "timer_tester"
|
|---|
| 44 | elements [
|
|---|
| 45 | ]
|
|---|
| 46 | mwi 0
|
|---|
| 47 | uid 604,0
|
|---|
| 48 | )
|
|---|
| 49 | ]
|
|---|
| 50 | libraryRefs [
|
|---|
| 51 | "ieee"
|
|---|
| 52 | ]
|
|---|
| 53 | )
|
|---|
| 54 | version "29.1"
|
|---|
| 55 | appVersion "2009.2 (Build 10)"
|
|---|
| 56 | noEmbeddedEditors 1
|
|---|
| 57 | model (BlockDiag
|
|---|
| 58 | VExpander (VariableExpander
|
|---|
| 59 | vvMap [
|
|---|
| 60 | (vvPair
|
|---|
| 61 | variable "HDLDir"
|
|---|
| 62 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
|
|---|
| 63 | )
|
|---|
| 64 | (vvPair
|
|---|
| 65 | variable "HDSDir"
|
|---|
| 66 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
|
|---|
| 67 | )
|
|---|
| 68 | (vvPair
|
|---|
| 69 | variable "SideDataDesignDir"
|
|---|
| 70 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd.info"
|
|---|
| 71 | )
|
|---|
| 72 | (vvPair
|
|---|
| 73 | variable "SideDataUserDir"
|
|---|
| 74 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd.user"
|
|---|
| 75 | )
|
|---|
| 76 | (vvPair
|
|---|
| 77 | variable "SourceDir"
|
|---|
| 78 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
|
|---|
| 79 | )
|
|---|
| 80 | (vvPair
|
|---|
| 81 | variable "appl"
|
|---|
| 82 | value "HDL Designer"
|
|---|
| 83 | )
|
|---|
| 84 | (vvPair
|
|---|
| 85 | variable "arch_name"
|
|---|
| 86 | value "struct"
|
|---|
| 87 | )
|
|---|
| 88 | (vvPair
|
|---|
| 89 | variable "config"
|
|---|
| 90 | value "%(unit)_%(view)_config"
|
|---|
| 91 | )
|
|---|
| 92 | (vvPair
|
|---|
| 93 | variable "d"
|
|---|
| 94 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
|
|---|
| 95 | )
|
|---|
| 96 | (vvPair
|
|---|
| 97 | variable "d_logical"
|
|---|
| 98 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
|
|---|
| 99 | )
|
|---|
| 100 | (vvPair
|
|---|
| 101 | variable "date"
|
|---|
| 102 | value "23.02.2011"
|
|---|
| 103 | )
|
|---|
| 104 | (vvPair
|
|---|
| 105 | variable "day"
|
|---|
| 106 | value "Mi"
|
|---|
| 107 | )
|
|---|
| 108 | (vvPair
|
|---|
| 109 | variable "day_long"
|
|---|
| 110 | value "Mittwoch"
|
|---|
| 111 | )
|
|---|
| 112 | (vvPair
|
|---|
| 113 | variable "dd"
|
|---|
| 114 | value "23"
|
|---|
| 115 | )
|
|---|
| 116 | (vvPair
|
|---|
| 117 | variable "entity_name"
|
|---|
| 118 | value "timer_tb"
|
|---|
| 119 | )
|
|---|
| 120 | (vvPair
|
|---|
| 121 | variable "ext"
|
|---|
| 122 | value "<TBD>"
|
|---|
| 123 | )
|
|---|
| 124 | (vvPair
|
|---|
| 125 | variable "f"
|
|---|
| 126 | value "struct.bd"
|
|---|
| 127 | )
|
|---|
| 128 | (vvPair
|
|---|
| 129 | variable "f_logical"
|
|---|
| 130 | value "struct.bd"
|
|---|
| 131 | )
|
|---|
| 132 | (vvPair
|
|---|
| 133 | variable "f_noext"
|
|---|
| 134 | value "struct"
|
|---|
| 135 | )
|
|---|
| 136 | (vvPair
|
|---|
| 137 | variable "group"
|
|---|
| 138 | value "UNKNOWN"
|
|---|
| 139 | )
|
|---|
| 140 | (vvPair
|
|---|
| 141 | variable "host"
|
|---|
| 142 | value "E5B-LABOR6"
|
|---|
| 143 | )
|
|---|
| 144 | (vvPair
|
|---|
| 145 | variable "language"
|
|---|
| 146 | value "VHDL"
|
|---|
| 147 | )
|
|---|
| 148 | (vvPair
|
|---|
| 149 | variable "library"
|
|---|
| 150 | value "FACT_FAD_TB_lib"
|
|---|
| 151 | )
|
|---|
| 152 | (vvPair
|
|---|
| 153 | variable "library_downstream_HdsLintPlugin"
|
|---|
| 154 | value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\designcheck"
|
|---|
| 155 | )
|
|---|
| 156 | (vvPair
|
|---|
| 157 | variable "library_downstream_ISEPARInvoke"
|
|---|
| 158 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
|
|---|
| 159 | )
|
|---|
| 160 | (vvPair
|
|---|
| 161 | variable "library_downstream_ImpactInvoke"
|
|---|
| 162 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
|
|---|
| 163 | )
|
|---|
| 164 | (vvPair
|
|---|
| 165 | variable "library_downstream_ModelSimCompiler"
|
|---|
| 166 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
|
|---|
| 167 | )
|
|---|
| 168 | (vvPair
|
|---|
| 169 | variable "library_downstream_XSTDataPrep"
|
|---|
| 170 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
|
|---|
| 171 | )
|
|---|
| 172 | (vvPair
|
|---|
| 173 | variable "mm"
|
|---|
| 174 | value "02"
|
|---|
| 175 | )
|
|---|
| 176 | (vvPair
|
|---|
| 177 | variable "module_name"
|
|---|
| 178 | value "timer_tb"
|
|---|
| 179 | )
|
|---|
| 180 | (vvPair
|
|---|
| 181 | variable "month"
|
|---|
| 182 | value "Feb"
|
|---|
| 183 | )
|
|---|
| 184 | (vvPair
|
|---|
| 185 | variable "month_long"
|
|---|
| 186 | value "Februar"
|
|---|
| 187 | )
|
|---|
| 188 | (vvPair
|
|---|
| 189 | variable "p"
|
|---|
| 190 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd"
|
|---|
| 191 | )
|
|---|
| 192 | (vvPair
|
|---|
| 193 | variable "p_logical"
|
|---|
| 194 | value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\struct.bd"
|
|---|
| 195 | )
|
|---|
| 196 | (vvPair
|
|---|
| 197 | variable "package_name"
|
|---|
| 198 | value "<Undefined Variable>"
|
|---|
| 199 | )
|
|---|
| 200 | (vvPair
|
|---|
| 201 | variable "project_name"
|
|---|
| 202 | value "FACT_FAD"
|
|---|
| 203 | )
|
|---|
| 204 | (vvPair
|
|---|
| 205 | variable "series"
|
|---|
| 206 | value "HDL Designer Series"
|
|---|
| 207 | )
|
|---|
| 208 | (vvPair
|
|---|
| 209 | variable "task_DesignCompilerPath"
|
|---|
| 210 | value "<TBD>"
|
|---|
| 211 | )
|
|---|
| 212 | (vvPair
|
|---|
| 213 | variable "task_LeonardoPath"
|
|---|
| 214 | value "<TBD>"
|
|---|
| 215 | )
|
|---|
| 216 | (vvPair
|
|---|
| 217 | variable "task_ModelSimPath"
|
|---|
| 218 | value "C:\\modeltech_6.6a\\win32"
|
|---|
| 219 | )
|
|---|
| 220 | (vvPair
|
|---|
| 221 | variable "task_NC-SimPath"
|
|---|
| 222 | value "<TBD>"
|
|---|
| 223 | )
|
|---|
| 224 | (vvPair
|
|---|
| 225 | variable "task_PrecisionRTLPath"
|
|---|
| 226 | value "<TBD>"
|
|---|
| 227 | )
|
|---|
| 228 | (vvPair
|
|---|
| 229 | variable "task_QuestaSimPath"
|
|---|
| 230 | value "<TBD>"
|
|---|
| 231 | )
|
|---|
| 232 | (vvPair
|
|---|
| 233 | variable "task_VCSPath"
|
|---|
| 234 | value "<TBD>"
|
|---|
| 235 | )
|
|---|
| 236 | (vvPair
|
|---|
| 237 | variable "this_ext"
|
|---|
| 238 | value "bd"
|
|---|
| 239 | )
|
|---|
| 240 | (vvPair
|
|---|
| 241 | variable "this_file"
|
|---|
| 242 | value "struct"
|
|---|
| 243 | )
|
|---|
| 244 | (vvPair
|
|---|
| 245 | variable "this_file_logical"
|
|---|
| 246 | value "struct"
|
|---|
| 247 | )
|
|---|
| 248 | (vvPair
|
|---|
| 249 | variable "time"
|
|---|
| 250 | value "12:23:43"
|
|---|
| 251 | )
|
|---|
| 252 | (vvPair
|
|---|
| 253 | variable "unit"
|
|---|
| 254 | value "timer_tb"
|
|---|
| 255 | )
|
|---|
| 256 | (vvPair
|
|---|
| 257 | variable "user"
|
|---|
| 258 | value "dneise"
|
|---|
| 259 | )
|
|---|
| 260 | (vvPair
|
|---|
| 261 | variable "version"
|
|---|
| 262 | value "2009.2 (Build 10)"
|
|---|
| 263 | )
|
|---|
| 264 | (vvPair
|
|---|
| 265 | variable "view"
|
|---|
| 266 | value "struct"
|
|---|
| 267 | )
|
|---|
| 268 | (vvPair
|
|---|
| 269 | variable "year"
|
|---|
| 270 | value "2011"
|
|---|
| 271 | )
|
|---|
| 272 | (vvPair
|
|---|
| 273 | variable "yy"
|
|---|
| 274 | value "11"
|
|---|
| 275 | )
|
|---|
| 276 | ]
|
|---|
| 277 | )
|
|---|
| 278 | LanguageMgr "VhdlLangMgr"
|
|---|
| 279 | uid 186,0
|
|---|
| 280 | optionalChildren [
|
|---|
| 281 | *1 (Net
|
|---|
| 282 | uid 43,0
|
|---|
| 283 | decl (Decl
|
|---|
| 284 | n "time_o"
|
|---|
| 285 | t "std_logic_vector"
|
|---|
| 286 | b "( TIMER_WIDTH-1 downto 0)"
|
|---|
| 287 | o 1
|
|---|
| 288 | suid 1,0
|
|---|
| 289 | )
|
|---|
| 290 | declText (MLText
|
|---|
| 291 | uid 44,0
|
|---|
| 292 | va (VaSet
|
|---|
| 293 | font "Courier New,8,0"
|
|---|
| 294 | )
|
|---|
| 295 | xt "22000,7000,56000,7800"
|
|---|
| 296 | st "SIGNAL time_o : std_logic_vector( TIMER_WIDTH-1 downto 0)
|
|---|
| 297 | "
|
|---|
| 298 | )
|
|---|
| 299 | )
|
|---|
| 300 | *2 (Net
|
|---|
| 301 | uid 51,0
|
|---|
| 302 | decl (Decl
|
|---|
| 303 | n "synched_o"
|
|---|
| 304 | t "std_logic"
|
|---|
| 305 | o 2
|
|---|
| 306 | suid 2,0
|
|---|
| 307 | i "'0'"
|
|---|
| 308 | )
|
|---|
| 309 | declText (MLText
|
|---|
| 310 | uid 52,0
|
|---|
| 311 | va (VaSet
|
|---|
| 312 | font "Courier New,8,0"
|
|---|
| 313 | )
|
|---|
| 314 | xt "22000,6200,43000,7000"
|
|---|
| 315 | st "SIGNAL synched_o : std_logic := '0'
|
|---|
| 316 | "
|
|---|
| 317 | )
|
|---|
| 318 | )
|
|---|
| 319 | *3 (Net
|
|---|
| 320 | uid 67,0
|
|---|
| 321 | decl (Decl
|
|---|
| 322 | n "synch_i"
|
|---|
| 323 | t "std_logic"
|
|---|
| 324 | o 4
|
|---|
| 325 | suid 4,0
|
|---|
| 326 | )
|
|---|
| 327 | declText (MLText
|
|---|
| 328 | uid 68,0
|
|---|
| 329 | va (VaSet
|
|---|
| 330 | font "Courier New,8,0"
|
|---|
| 331 | )
|
|---|
| 332 | xt "22000,5400,39500,6200"
|
|---|
| 333 | st "SIGNAL synch_i : std_logic
|
|---|
| 334 | "
|
|---|
| 335 | )
|
|---|
| 336 | )
|
|---|
| 337 | *4 (Net
|
|---|
| 338 | uid 75,0
|
|---|
| 339 | decl (Decl
|
|---|
| 340 | n "enable_i"
|
|---|
| 341 | t "std_logic"
|
|---|
| 342 | o 5
|
|---|
| 343 | suid 5,0
|
|---|
| 344 | )
|
|---|
| 345 | declText (MLText
|
|---|
| 346 | uid 76,0
|
|---|
| 347 | va (VaSet
|
|---|
| 348 | font "Courier New,8,0"
|
|---|
| 349 | )
|
|---|
| 350 | xt "22000,3800,39500,4600"
|
|---|
| 351 | st "SIGNAL enable_i : std_logic
|
|---|
| 352 | "
|
|---|
| 353 | )
|
|---|
| 354 | )
|
|---|
| 355 | *5 (Grouping
|
|---|
| 356 | uid 133,0
|
|---|
| 357 | optionalChildren [
|
|---|
| 358 | *6 (CommentText
|
|---|
| 359 | uid 135,0
|
|---|
| 360 | shape (Rectangle
|
|---|
| 361 | uid 136,0
|
|---|
| 362 | sl 0
|
|---|
| 363 | va (VaSet
|
|---|
| 364 | vasetType 1
|
|---|
| 365 | fg "65280,65280,46080"
|
|---|
| 366 | )
|
|---|
| 367 | xt "29000,48000,46000,49000"
|
|---|
| 368 | )
|
|---|
| 369 | oxt "18000,70000,35000,71000"
|
|---|
| 370 | text (MLText
|
|---|
| 371 | uid 137,0
|
|---|
| 372 | va (VaSet
|
|---|
| 373 | fg "0,0,32768"
|
|---|
| 374 | bg "0,0,32768"
|
|---|
| 375 | )
|
|---|
| 376 | xt "29200,48000,38800,49000"
|
|---|
| 377 | st "
|
|---|
| 378 | by %user on %dd %month %year
|
|---|
| 379 | "
|
|---|
| 380 | tm "CommentText"
|
|---|
| 381 | wrapOption 3
|
|---|
| 382 | visibleHeight 1000
|
|---|
| 383 | visibleWidth 17000
|
|---|
| 384 | )
|
|---|
| 385 | position 1
|
|---|
| 386 | ignorePrefs 1
|
|---|
| 387 | titleBlock 1
|
|---|
| 388 | )
|
|---|
| 389 | *7 (CommentText
|
|---|
| 390 | uid 138,0
|
|---|
| 391 | shape (Rectangle
|
|---|
| 392 | uid 139,0
|
|---|
| 393 | sl 0
|
|---|
| 394 | va (VaSet
|
|---|
| 395 | vasetType 1
|
|---|
| 396 | fg "65280,65280,46080"
|
|---|
| 397 | )
|
|---|
| 398 | xt "46000,44000,50000,45000"
|
|---|
| 399 | )
|
|---|
| 400 | oxt "35000,66000,39000,67000"
|
|---|
| 401 | text (MLText
|
|---|
| 402 | uid 140,0
|
|---|
| 403 | va (VaSet
|
|---|
| 404 | fg "0,0,32768"
|
|---|
| 405 | bg "0,0,32768"
|
|---|
| 406 | )
|
|---|
| 407 | xt "46200,44000,49200,45000"
|
|---|
| 408 | st "
|
|---|
| 409 | Project:
|
|---|
| 410 | "
|
|---|
| 411 | tm "CommentText"
|
|---|
| 412 | wrapOption 3
|
|---|
| 413 | visibleHeight 1000
|
|---|
| 414 | visibleWidth 4000
|
|---|
| 415 | )
|
|---|
| 416 | position 1
|
|---|
| 417 | ignorePrefs 1
|
|---|
| 418 | titleBlock 1
|
|---|
| 419 | )
|
|---|
| 420 | *8 (CommentText
|
|---|
| 421 | uid 141,0
|
|---|
| 422 | shape (Rectangle
|
|---|
| 423 | uid 142,0
|
|---|
| 424 | sl 0
|
|---|
| 425 | va (VaSet
|
|---|
| 426 | vasetType 1
|
|---|
| 427 | fg "65280,65280,46080"
|
|---|
| 428 | )
|
|---|
| 429 | xt "29000,46000,46000,47000"
|
|---|
| 430 | )
|
|---|
| 431 | oxt "18000,68000,35000,69000"
|
|---|
| 432 | text (MLText
|
|---|
| 433 | uid 143,0
|
|---|
| 434 | va (VaSet
|
|---|
| 435 | fg "0,0,32768"
|
|---|
| 436 | bg "0,0,32768"
|
|---|
| 437 | )
|
|---|
| 438 | xt "29200,46000,39200,47000"
|
|---|
| 439 | st "
|
|---|
| 440 | <enter diagram title here>
|
|---|
| 441 | "
|
|---|
| 442 | tm "CommentText"
|
|---|
| 443 | wrapOption 3
|
|---|
| 444 | visibleHeight 1000
|
|---|
| 445 | visibleWidth 17000
|
|---|
| 446 | )
|
|---|
| 447 | position 1
|
|---|
| 448 | ignorePrefs 1
|
|---|
| 449 | titleBlock 1
|
|---|
| 450 | )
|
|---|
| 451 | *9 (CommentText
|
|---|
| 452 | uid 144,0
|
|---|
| 453 | shape (Rectangle
|
|---|
| 454 | uid 145,0
|
|---|
| 455 | sl 0
|
|---|
| 456 | va (VaSet
|
|---|
| 457 | vasetType 1
|
|---|
| 458 | fg "65280,65280,46080"
|
|---|
| 459 | )
|
|---|
| 460 | xt "25000,46000,29000,47000"
|
|---|
| 461 | )
|
|---|
| 462 | oxt "14000,68000,18000,69000"
|
|---|
| 463 | text (MLText
|
|---|
| 464 | uid 146,0
|
|---|
| 465 | va (VaSet
|
|---|
| 466 | fg "0,0,32768"
|
|---|
| 467 | bg "0,0,32768"
|
|---|
| 468 | )
|
|---|
| 469 | xt "25200,46000,27300,47000"
|
|---|
| 470 | st "
|
|---|
| 471 | Title:
|
|---|
| 472 | "
|
|---|
| 473 | tm "CommentText"
|
|---|
| 474 | wrapOption 3
|
|---|
| 475 | visibleHeight 1000
|
|---|
| 476 | visibleWidth 4000
|
|---|
| 477 | )
|
|---|
| 478 | position 1
|
|---|
| 479 | ignorePrefs 1
|
|---|
| 480 | titleBlock 1
|
|---|
| 481 | )
|
|---|
| 482 | *10 (CommentText
|
|---|
| 483 | uid 147,0
|
|---|
| 484 | shape (Rectangle
|
|---|
| 485 | uid 148,0
|
|---|
| 486 | sl 0
|
|---|
| 487 | va (VaSet
|
|---|
| 488 | vasetType 1
|
|---|
| 489 | fg "65280,65280,46080"
|
|---|
| 490 | )
|
|---|
| 491 | xt "46000,45000,66000,49000"
|
|---|
| 492 | )
|
|---|
| 493 | oxt "35000,67000,55000,71000"
|
|---|
| 494 | text (MLText
|
|---|
| 495 | uid 149,0
|
|---|
| 496 | va (VaSet
|
|---|
| 497 | fg "0,0,32768"
|
|---|
| 498 | bg "0,0,32768"
|
|---|
| 499 | )
|
|---|
| 500 | xt "46200,45200,55400,46200"
|
|---|
| 501 | st "
|
|---|
| 502 | <enter comments here>
|
|---|
| 503 | "
|
|---|
| 504 | tm "CommentText"
|
|---|
| 505 | wrapOption 3
|
|---|
| 506 | visibleHeight 4000
|
|---|
| 507 | visibleWidth 20000
|
|---|
| 508 | )
|
|---|
| 509 | ignorePrefs 1
|
|---|
| 510 | titleBlock 1
|
|---|
| 511 | )
|
|---|
| 512 | *11 (CommentText
|
|---|
| 513 | uid 150,0
|
|---|
| 514 | shape (Rectangle
|
|---|
| 515 | uid 151,0
|
|---|
| 516 | sl 0
|
|---|
| 517 | va (VaSet
|
|---|
| 518 | vasetType 1
|
|---|
| 519 | fg "65280,65280,46080"
|
|---|
| 520 | )
|
|---|
| 521 | xt "50000,44000,66000,45000"
|
|---|
| 522 | )
|
|---|
| 523 | oxt "39000,66000,55000,67000"
|
|---|
| 524 | text (MLText
|
|---|
| 525 | uid 152,0
|
|---|
| 526 | va (VaSet
|
|---|
| 527 | fg "0,0,32768"
|
|---|
| 528 | bg "0,0,32768"
|
|---|
| 529 | )
|
|---|
| 530 | xt "50200,44000,54700,45000"
|
|---|
| 531 | st "
|
|---|
| 532 | %project_name
|
|---|
| 533 | "
|
|---|
| 534 | tm "CommentText"
|
|---|
| 535 | wrapOption 3
|
|---|
| 536 | visibleHeight 1000
|
|---|
| 537 | visibleWidth 16000
|
|---|
| 538 | )
|
|---|
| 539 | position 1
|
|---|
| 540 | ignorePrefs 1
|
|---|
| 541 | titleBlock 1
|
|---|
| 542 | )
|
|---|
| 543 | *12 (CommentText
|
|---|
| 544 | uid 153,0
|
|---|
| 545 | shape (Rectangle
|
|---|
| 546 | uid 154,0
|
|---|
| 547 | sl 0
|
|---|
| 548 | va (VaSet
|
|---|
| 549 | vasetType 1
|
|---|
| 550 | fg "65280,65280,46080"
|
|---|
| 551 | )
|
|---|
| 552 | xt "25000,44000,46000,46000"
|
|---|
| 553 | )
|
|---|
| 554 | oxt "14000,66000,35000,68000"
|
|---|
| 555 | text (MLText
|
|---|
| 556 | uid 155,0
|
|---|
| 557 | va (VaSet
|
|---|
| 558 | fg "32768,0,0"
|
|---|
| 559 | )
|
|---|
| 560 | xt "32150,44500,38850,45500"
|
|---|
| 561 | st "
|
|---|
| 562 | <company name>
|
|---|
| 563 | "
|
|---|
| 564 | ju 0
|
|---|
| 565 | tm "CommentText"
|
|---|
| 566 | wrapOption 3
|
|---|
| 567 | visibleHeight 2000
|
|---|
| 568 | visibleWidth 21000
|
|---|
| 569 | )
|
|---|
| 570 | position 1
|
|---|
| 571 | ignorePrefs 1
|
|---|
| 572 | titleBlock 1
|
|---|
| 573 | )
|
|---|
| 574 | *13 (CommentText
|
|---|
| 575 | uid 156,0
|
|---|
| 576 | shape (Rectangle
|
|---|
| 577 | uid 157,0
|
|---|
| 578 | sl 0
|
|---|
| 579 | va (VaSet
|
|---|
| 580 | vasetType 1
|
|---|
| 581 | fg "65280,65280,46080"
|
|---|
| 582 | )
|
|---|
| 583 | xt "25000,47000,29000,48000"
|
|---|
| 584 | )
|
|---|
| 585 | oxt "14000,69000,18000,70000"
|
|---|
| 586 | text (MLText
|
|---|
| 587 | uid 158,0
|
|---|
| 588 | va (VaSet
|
|---|
| 589 | fg "0,0,32768"
|
|---|
| 590 | bg "0,0,32768"
|
|---|
| 591 | )
|
|---|
| 592 | xt "25200,47000,27300,48000"
|
|---|
| 593 | st "
|
|---|
| 594 | Path:
|
|---|
| 595 | "
|
|---|
| 596 | tm "CommentText"
|
|---|
| 597 | wrapOption 3
|
|---|
| 598 | visibleHeight 1000
|
|---|
| 599 | visibleWidth 4000
|
|---|
| 600 | )
|
|---|
| 601 | position 1
|
|---|
| 602 | ignorePrefs 1
|
|---|
| 603 | titleBlock 1
|
|---|
| 604 | )
|
|---|
| 605 | *14 (CommentText
|
|---|
| 606 | uid 159,0
|
|---|
| 607 | shape (Rectangle
|
|---|
| 608 | uid 160,0
|
|---|
| 609 | sl 0
|
|---|
| 610 | va (VaSet
|
|---|
| 611 | vasetType 1
|
|---|
| 612 | fg "65280,65280,46080"
|
|---|
| 613 | )
|
|---|
| 614 | xt "25000,48000,29000,49000"
|
|---|
| 615 | )
|
|---|
| 616 | oxt "14000,70000,18000,71000"
|
|---|
| 617 | text (MLText
|
|---|
| 618 | uid 161,0
|
|---|
| 619 | va (VaSet
|
|---|
| 620 | fg "0,0,32768"
|
|---|
| 621 | bg "0,0,32768"
|
|---|
| 622 | )
|
|---|
| 623 | xt "25200,48000,27900,49000"
|
|---|
| 624 | st "
|
|---|
| 625 | Edited:
|
|---|
| 626 | "
|
|---|
| 627 | tm "CommentText"
|
|---|
| 628 | wrapOption 3
|
|---|
| 629 | visibleHeight 1000
|
|---|
| 630 | visibleWidth 4000
|
|---|
| 631 | )
|
|---|
| 632 | position 1
|
|---|
| 633 | ignorePrefs 1
|
|---|
| 634 | titleBlock 1
|
|---|
| 635 | )
|
|---|
| 636 | *15 (CommentText
|
|---|
| 637 | uid 162,0
|
|---|
| 638 | shape (Rectangle
|
|---|
| 639 | uid 163,0
|
|---|
| 640 | sl 0
|
|---|
| 641 | va (VaSet
|
|---|
| 642 | vasetType 1
|
|---|
| 643 | fg "65280,65280,46080"
|
|---|
| 644 | )
|
|---|
| 645 | xt "29000,47000,46000,48000"
|
|---|
| 646 | )
|
|---|
| 647 | oxt "18000,69000,35000,70000"
|
|---|
| 648 | text (MLText
|
|---|
| 649 | uid 164,0
|
|---|
| 650 | va (VaSet
|
|---|
| 651 | fg "0,0,32768"
|
|---|
| 652 | bg "0,0,32768"
|
|---|
| 653 | )
|
|---|
| 654 | xt "29200,47000,42100,48000"
|
|---|
| 655 | st "
|
|---|
| 656 | %library/%unit/%view
|
|---|
| 657 | "
|
|---|
| 658 | tm "CommentText"
|
|---|
| 659 | wrapOption 3
|
|---|
| 660 | visibleHeight 1000
|
|---|
| 661 | visibleWidth 17000
|
|---|
| 662 | )
|
|---|
| 663 | position 1
|
|---|
| 664 | ignorePrefs 1
|
|---|
| 665 | titleBlock 1
|
|---|
| 666 | )
|
|---|
| 667 | ]
|
|---|
| 668 | shape (GroupingShape
|
|---|
| 669 | uid 134,0
|
|---|
| 670 | va (VaSet
|
|---|
| 671 | vasetType 1
|
|---|
| 672 | fg "65535,65535,65535"
|
|---|
| 673 | lineStyle 2
|
|---|
| 674 | lineWidth 2
|
|---|
| 675 | )
|
|---|
| 676 | xt "25000,44000,66000,49000"
|
|---|
| 677 | )
|
|---|
| 678 | oxt "14000,66000,55000,71000"
|
|---|
| 679 | )
|
|---|
| 680 | *16 (SaComponent
|
|---|
| 681 | uid 534,0
|
|---|
| 682 | optionalChildren [
|
|---|
| 683 | *17 (CptPort
|
|---|
| 684 | uid 510,0
|
|---|
| 685 | ps "OnEdgeStrategy"
|
|---|
| 686 | shape (Triangle
|
|---|
| 687 | uid 511,0
|
|---|
| 688 | ro 90
|
|---|
| 689 | va (VaSet
|
|---|
| 690 | vasetType 1
|
|---|
| 691 | fg "0,65535,0"
|
|---|
| 692 | )
|
|---|
| 693 | xt "8250,13625,9000,14375"
|
|---|
| 694 | )
|
|---|
| 695 | tg (CPTG
|
|---|
| 696 | uid 512,0
|
|---|
| 697 | ps "CptPortTextPlaceStrategy"
|
|---|
| 698 | stg "VerticalLayoutStrategy"
|
|---|
| 699 | f (Text
|
|---|
| 700 | uid 513,0
|
|---|
| 701 | va (VaSet
|
|---|
| 702 | )
|
|---|
| 703 | xt "10000,13500,11300,14500"
|
|---|
| 704 | st "clk"
|
|---|
| 705 | blo "10000,14300"
|
|---|
| 706 | )
|
|---|
| 707 | )
|
|---|
| 708 | thePort (LogicalPort
|
|---|
| 709 | decl (Decl
|
|---|
| 710 | n "clk"
|
|---|
| 711 | t "std_logic"
|
|---|
| 712 | o 1
|
|---|
| 713 | )
|
|---|
| 714 | )
|
|---|
| 715 | )
|
|---|
| 716 | *18 (CptPort
|
|---|
| 717 | uid 514,0
|
|---|
| 718 | ps "OnEdgeStrategy"
|
|---|
| 719 | shape (Triangle
|
|---|
| 720 | uid 515,0
|
|---|
| 721 | ro 90
|
|---|
| 722 | va (VaSet
|
|---|
| 723 | vasetType 1
|
|---|
| 724 | fg "0,65535,0"
|
|---|
| 725 | )
|
|---|
| 726 | xt "29000,13625,29750,14375"
|
|---|
| 727 | )
|
|---|
| 728 | tg (CPTG
|
|---|
| 729 | uid 516,0
|
|---|
| 730 | ps "CptPortTextPlaceStrategy"
|
|---|
| 731 | stg "RightVerticalLayoutStrategy"
|
|---|
| 732 | f (Text
|
|---|
| 733 | uid 517,0
|
|---|
| 734 | va (VaSet
|
|---|
| 735 | )
|
|---|
| 736 | xt "16600,13500,28000,14500"
|
|---|
| 737 | st "time_o : (TIMER_WIDTH-1:0)"
|
|---|
| 738 | ju 2
|
|---|
| 739 | blo "28000,14300"
|
|---|
| 740 | )
|
|---|
| 741 | )
|
|---|
| 742 | thePort (LogicalPort
|
|---|
| 743 | m 1
|
|---|
| 744 | decl (Decl
|
|---|
| 745 | n "time_o"
|
|---|
| 746 | t "std_logic_vector"
|
|---|
| 747 | b "( TIMER_WIDTH-1 downto 0)"
|
|---|
| 748 | o 2
|
|---|
| 749 | )
|
|---|
| 750 | )
|
|---|
| 751 | )
|
|---|
| 752 | *19 (CptPort
|
|---|
| 753 | uid 518,0
|
|---|
| 754 | ps "OnEdgeStrategy"
|
|---|
| 755 | shape (Triangle
|
|---|
| 756 | uid 519,0
|
|---|
| 757 | ro 90
|
|---|
| 758 | va (VaSet
|
|---|
| 759 | vasetType 1
|
|---|
| 760 | fg "0,65535,0"
|
|---|
| 761 | )
|
|---|
| 762 | xt "8250,14625,9000,15375"
|
|---|
| 763 | )
|
|---|
| 764 | tg (CPTG
|
|---|
| 765 | uid 520,0
|
|---|
| 766 | ps "CptPortTextPlaceStrategy"
|
|---|
| 767 | stg "VerticalLayoutStrategy"
|
|---|
| 768 | f (Text
|
|---|
| 769 | uid 521,0
|
|---|
| 770 | va (VaSet
|
|---|
| 771 | )
|
|---|
| 772 | xt "10000,14500,12900,15500"
|
|---|
| 773 | st "synch_i"
|
|---|
| 774 | blo "10000,15300"
|
|---|
| 775 | )
|
|---|
| 776 | )
|
|---|
| 777 | thePort (LogicalPort
|
|---|
| 778 | decl (Decl
|
|---|
| 779 | n "synch_i"
|
|---|
| 780 | t "std_logic"
|
|---|
| 781 | o 3
|
|---|
| 782 | )
|
|---|
| 783 | )
|
|---|
| 784 | )
|
|---|
| 785 | *20 (CptPort
|
|---|
| 786 | uid 522,0
|
|---|
| 787 | ps "OnEdgeStrategy"
|
|---|
| 788 | shape (Triangle
|
|---|
| 789 | uid 523,0
|
|---|
| 790 | ro 90
|
|---|
| 791 | va (VaSet
|
|---|
| 792 | vasetType 1
|
|---|
| 793 | fg "0,65535,0"
|
|---|
| 794 | )
|
|---|
| 795 | xt "29000,14625,29750,15375"
|
|---|
| 796 | )
|
|---|
| 797 | tg (CPTG
|
|---|
| 798 | uid 524,0
|
|---|
| 799 | ps "CptPortTextPlaceStrategy"
|
|---|
| 800 | stg "RightVerticalLayoutStrategy"
|
|---|
| 801 | f (Text
|
|---|
| 802 | uid 525,0
|
|---|
| 803 | va (VaSet
|
|---|
| 804 | )
|
|---|
| 805 | xt "24100,14500,28000,15500"
|
|---|
| 806 | st "synched_o"
|
|---|
| 807 | ju 2
|
|---|
| 808 | blo "28000,15300"
|
|---|
| 809 | )
|
|---|
| 810 | )
|
|---|
| 811 | thePort (LogicalPort
|
|---|
| 812 | m 1
|
|---|
| 813 | decl (Decl
|
|---|
| 814 | n "synched_o"
|
|---|
| 815 | t "std_logic"
|
|---|
| 816 | o 4
|
|---|
| 817 | i "'0'"
|
|---|
| 818 | )
|
|---|
| 819 | )
|
|---|
| 820 | )
|
|---|
| 821 | *21 (CptPort
|
|---|
| 822 | uid 526,0
|
|---|
| 823 | ps "OnEdgeStrategy"
|
|---|
| 824 | shape (Triangle
|
|---|
| 825 | uid 527,0
|
|---|
| 826 | ro 90
|
|---|
| 827 | va (VaSet
|
|---|
| 828 | vasetType 1
|
|---|
| 829 | fg "0,65535,0"
|
|---|
| 830 | )
|
|---|
| 831 | xt "8250,15625,9000,16375"
|
|---|
| 832 | )
|
|---|
| 833 | tg (CPTG
|
|---|
| 834 | uid 528,0
|
|---|
| 835 | ps "CptPortTextPlaceStrategy"
|
|---|
| 836 | stg "VerticalLayoutStrategy"
|
|---|
| 837 | f (Text
|
|---|
| 838 | uid 529,0
|
|---|
| 839 | va (VaSet
|
|---|
| 840 | )
|
|---|
| 841 | xt "10000,15500,15400,16500"
|
|---|
| 842 | st "reset_synch_i"
|
|---|
| 843 | blo "10000,16300"
|
|---|
| 844 | )
|
|---|
| 845 | )
|
|---|
| 846 | thePort (LogicalPort
|
|---|
| 847 | decl (Decl
|
|---|
| 848 | n "reset_synch_i"
|
|---|
| 849 | t "std_logic"
|
|---|
| 850 | o 5
|
|---|
| 851 | )
|
|---|
| 852 | )
|
|---|
| 853 | )
|
|---|
| 854 | *22 (CptPort
|
|---|
| 855 | uid 530,0
|
|---|
| 856 | ps "OnEdgeStrategy"
|
|---|
| 857 | shape (Triangle
|
|---|
| 858 | uid 531,0
|
|---|
| 859 | ro 90
|
|---|
| 860 | va (VaSet
|
|---|
| 861 | vasetType 1
|
|---|
| 862 | fg "0,65535,0"
|
|---|
| 863 | )
|
|---|
| 864 | xt "8250,16625,9000,17375"
|
|---|
| 865 | )
|
|---|
| 866 | tg (CPTG
|
|---|
| 867 | uid 532,0
|
|---|
| 868 | ps "CptPortTextPlaceStrategy"
|
|---|
| 869 | stg "VerticalLayoutStrategy"
|
|---|
| 870 | f (Text
|
|---|
| 871 | uid 533,0
|
|---|
| 872 | va (VaSet
|
|---|
| 873 | )
|
|---|
| 874 | xt "10000,16500,13200,17500"
|
|---|
| 875 | st "enable_i"
|
|---|
| 876 | blo "10000,17300"
|
|---|
| 877 | )
|
|---|
| 878 | )
|
|---|
| 879 | thePort (LogicalPort
|
|---|
| 880 | decl (Decl
|
|---|
| 881 | n "enable_i"
|
|---|
| 882 | t "std_logic"
|
|---|
| 883 | o 6
|
|---|
| 884 | )
|
|---|
| 885 | )
|
|---|
| 886 | )
|
|---|
| 887 | ]
|
|---|
| 888 | shape (Rectangle
|
|---|
| 889 | uid 535,0
|
|---|
| 890 | va (VaSet
|
|---|
| 891 | vasetType 1
|
|---|
| 892 | fg "0,65535,0"
|
|---|
| 893 | lineColor "0,32896,0"
|
|---|
| 894 | lineWidth 2
|
|---|
| 895 | )
|
|---|
| 896 | xt "9000,13000,29000,18000"
|
|---|
| 897 | )
|
|---|
| 898 | oxt "0,0,8000,10000"
|
|---|
| 899 | ttg (MlTextGroup
|
|---|
| 900 | uid 536,0
|
|---|
| 901 | ps "CenterOffsetStrategy"
|
|---|
| 902 | stg "VerticalLayoutStrategy"
|
|---|
| 903 | textVec [
|
|---|
| 904 | *23 (Text
|
|---|
| 905 | uid 537,0
|
|---|
| 906 | va (VaSet
|
|---|
| 907 | font "Arial,8,1"
|
|---|
| 908 | )
|
|---|
| 909 | xt "15900,18000,22100,19000"
|
|---|
| 910 | st "FACT_FAD_lib"
|
|---|
| 911 | blo "15900,18800"
|
|---|
| 912 | tm "BdLibraryNameMgr"
|
|---|
| 913 | )
|
|---|
| 914 | *24 (Text
|
|---|
| 915 | uid 538,0
|
|---|
| 916 | va (VaSet
|
|---|
| 917 | font "Arial,8,1"
|
|---|
| 918 | )
|
|---|
| 919 | xt "15900,19000,18200,20000"
|
|---|
| 920 | st "timer"
|
|---|
| 921 | blo "15900,19800"
|
|---|
| 922 | tm "CptNameMgr"
|
|---|
| 923 | )
|
|---|
| 924 | *25 (Text
|
|---|
| 925 | uid 539,0
|
|---|
| 926 | va (VaSet
|
|---|
| 927 | font "Arial,8,1"
|
|---|
| 928 | )
|
|---|
| 929 | xt "15900,20000,17700,21000"
|
|---|
| 930 | st "U_0"
|
|---|
| 931 | blo "15900,20800"
|
|---|
| 932 | tm "InstanceNameMgr"
|
|---|
| 933 | )
|
|---|
| 934 | ]
|
|---|
| 935 | )
|
|---|
| 936 | ga (GenericAssociation
|
|---|
| 937 | uid 540,0
|
|---|
| 938 | ps "EdgeToEdgeStrategy"
|
|---|
| 939 | matrix (Matrix
|
|---|
| 940 | uid 541,0
|
|---|
| 941 | text (MLText
|
|---|
| 942 | uid 542,0
|
|---|
| 943 | va (VaSet
|
|---|
| 944 | font "Courier New,8,0"
|
|---|
| 945 | )
|
|---|
| 946 | xt "9500,11400,28500,13000"
|
|---|
| 947 | st "TIMER_WIDTH = 32 ( integer )
|
|---|
| 948 | PRESCALER = 5000 ( integer ) "
|
|---|
| 949 | )
|
|---|
| 950 | header ""
|
|---|
| 951 | )
|
|---|
| 952 | elements [
|
|---|
| 953 | (GiElement
|
|---|
| 954 | name "TIMER_WIDTH"
|
|---|
| 955 | type "integer"
|
|---|
| 956 | value "32"
|
|---|
| 957 | )
|
|---|
| 958 | (GiElement
|
|---|
| 959 | name "PRESCALER"
|
|---|
| 960 | type "integer"
|
|---|
| 961 | value "5000"
|
|---|
| 962 | )
|
|---|
| 963 | ]
|
|---|
| 964 | )
|
|---|
| 965 | viewicon (ZoomableIcon
|
|---|
| 966 | uid 543,0
|
|---|
| 967 | sl 0
|
|---|
| 968 | va (VaSet
|
|---|
| 969 | vasetType 1
|
|---|
| 970 | fg "49152,49152,49152"
|
|---|
| 971 | )
|
|---|
| 972 | xt "9250,16250,10750,17750"
|
|---|
| 973 | iconName "VhdlFileViewIcon.png"
|
|---|
| 974 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 975 | ftype 10
|
|---|
| 976 | )
|
|---|
| 977 | ordering 1
|
|---|
| 978 | viewiconposition 0
|
|---|
| 979 | portVis (PortSigDisplay
|
|---|
| 980 | )
|
|---|
| 981 | archFileType "UNKNOWN"
|
|---|
| 982 | )
|
|---|
| 983 | *26 (Net
|
|---|
| 984 | uid 544,0
|
|---|
| 985 | decl (Decl
|
|---|
| 986 | n "clk"
|
|---|
| 987 | t "std_logic"
|
|---|
| 988 | o 5
|
|---|
| 989 | suid 8,0
|
|---|
| 990 | )
|
|---|
| 991 | declText (MLText
|
|---|
| 992 | uid 545,0
|
|---|
| 993 | va (VaSet
|
|---|
| 994 | font "Courier New,8,0"
|
|---|
| 995 | )
|
|---|
| 996 | xt "22000,3000,39500,3800"
|
|---|
| 997 | st "SIGNAL clk : std_logic
|
|---|
| 998 | "
|
|---|
| 999 | )
|
|---|
| 1000 | )
|
|---|
| 1001 | *27 (Net
|
|---|
| 1002 | uid 558,0
|
|---|
| 1003 | decl (Decl
|
|---|
| 1004 | n "reset_synch_i"
|
|---|
| 1005 | t "std_logic"
|
|---|
| 1006 | o 6
|
|---|
| 1007 | suid 9,0
|
|---|
| 1008 | )
|
|---|
| 1009 | declText (MLText
|
|---|
| 1010 | uid 559,0
|
|---|
| 1011 | va (VaSet
|
|---|
| 1012 | font "Courier New,8,0"
|
|---|
| 1013 | )
|
|---|
| 1014 | xt "22000,4600,39500,5400"
|
|---|
| 1015 | st "SIGNAL reset_synch_i : std_logic
|
|---|
| 1016 | "
|
|---|
| 1017 | )
|
|---|
| 1018 | )
|
|---|
| 1019 | *28 (SaComponent
|
|---|
| 1020 | uid 604,0
|
|---|
| 1021 | optionalChildren [
|
|---|
| 1022 | *29 (CptPort
|
|---|
| 1023 | uid 588,0
|
|---|
| 1024 | ps "OnEdgeStrategy"
|
|---|
| 1025 | shape (Triangle
|
|---|
| 1026 | uid 589,0
|
|---|
| 1027 | ro 270
|
|---|
| 1028 | va (VaSet
|
|---|
| 1029 | vasetType 1
|
|---|
| 1030 | fg "0,65535,0"
|
|---|
| 1031 | )
|
|---|
| 1032 | xt "15250,29625,16000,30375"
|
|---|
| 1033 | )
|
|---|
| 1034 | tg (CPTG
|
|---|
| 1035 | uid 590,0
|
|---|
| 1036 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1037 | stg "VerticalLayoutStrategy"
|
|---|
| 1038 | f (Text
|
|---|
| 1039 | uid 591,0
|
|---|
| 1040 | va (VaSet
|
|---|
| 1041 | )
|
|---|
| 1042 | xt "17000,29500,20200,30500"
|
|---|
| 1043 | st "enable_i"
|
|---|
| 1044 | blo "17000,30300"
|
|---|
| 1045 | )
|
|---|
| 1046 | )
|
|---|
| 1047 | thePort (LogicalPort
|
|---|
| 1048 | lang 10
|
|---|
| 1049 | m 1
|
|---|
| 1050 | decl (Decl
|
|---|
| 1051 | n "enable_i"
|
|---|
| 1052 | t "std_logic"
|
|---|
| 1053 | o 3
|
|---|
| 1054 | suid 16,0
|
|---|
| 1055 | )
|
|---|
| 1056 | )
|
|---|
| 1057 | )
|
|---|
| 1058 | *30 (CptPort
|
|---|
| 1059 | uid 592,0
|
|---|
| 1060 | ps "OnEdgeStrategy"
|
|---|
| 1061 | shape (Triangle
|
|---|
| 1062 | uid 593,0
|
|---|
| 1063 | ro 270
|
|---|
| 1064 | va (VaSet
|
|---|
| 1065 | vasetType 1
|
|---|
| 1066 | fg "0,65535,0"
|
|---|
| 1067 | )
|
|---|
| 1068 | xt "15250,28625,16000,29375"
|
|---|
| 1069 | )
|
|---|
| 1070 | tg (CPTG
|
|---|
| 1071 | uid 594,0
|
|---|
| 1072 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1073 | stg "VerticalLayoutStrategy"
|
|---|
| 1074 | f (Text
|
|---|
| 1075 | uid 595,0
|
|---|
| 1076 | va (VaSet
|
|---|
| 1077 | )
|
|---|
| 1078 | xt "17000,28500,19900,29500"
|
|---|
| 1079 | st "synch_i"
|
|---|
| 1080 | blo "17000,29300"
|
|---|
| 1081 | )
|
|---|
| 1082 | )
|
|---|
| 1083 | thePort (LogicalPort
|
|---|
| 1084 | lang 10
|
|---|
| 1085 | m 1
|
|---|
| 1086 | decl (Decl
|
|---|
| 1087 | n "synch_i"
|
|---|
| 1088 | t "std_logic"
|
|---|
| 1089 | o 6
|
|---|
| 1090 | suid 17,0
|
|---|
| 1091 | )
|
|---|
| 1092 | )
|
|---|
| 1093 | )
|
|---|
| 1094 | *31 (CptPort
|
|---|
| 1095 | uid 596,0
|
|---|
| 1096 | ps "OnEdgeStrategy"
|
|---|
| 1097 | shape (Triangle
|
|---|
| 1098 | uid 597,0
|
|---|
| 1099 | ro 270
|
|---|
| 1100 | va (VaSet
|
|---|
| 1101 | vasetType 1
|
|---|
| 1102 | fg "0,65535,0"
|
|---|
| 1103 | )
|
|---|
| 1104 | xt "34000,28625,34750,29375"
|
|---|
| 1105 | )
|
|---|
| 1106 | tg (CPTG
|
|---|
| 1107 | uid 598,0
|
|---|
| 1108 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1109 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1110 | f (Text
|
|---|
| 1111 | uid 599,0
|
|---|
| 1112 | va (VaSet
|
|---|
| 1113 | )
|
|---|
| 1114 | xt "29100,28500,33000,29500"
|
|---|
| 1115 | st "synched_o"
|
|---|
| 1116 | ju 2
|
|---|
| 1117 | blo "33000,29300"
|
|---|
| 1118 | )
|
|---|
| 1119 | )
|
|---|
| 1120 | thePort (LogicalPort
|
|---|
| 1121 | lang 10
|
|---|
| 1122 | decl (Decl
|
|---|
| 1123 | n "synched_o"
|
|---|
| 1124 | t "std_logic"
|
|---|
| 1125 | o 1
|
|---|
| 1126 | suid 18,0
|
|---|
| 1127 | )
|
|---|
| 1128 | )
|
|---|
| 1129 | )
|
|---|
| 1130 | *32 (CptPort
|
|---|
| 1131 | uid 600,0
|
|---|
| 1132 | ps "OnEdgeStrategy"
|
|---|
| 1133 | shape (Triangle
|
|---|
| 1134 | uid 601,0
|
|---|
| 1135 | ro 270
|
|---|
| 1136 | va (VaSet
|
|---|
| 1137 | vasetType 1
|
|---|
| 1138 | fg "0,65535,0"
|
|---|
| 1139 | )
|
|---|
| 1140 | xt "34000,27625,34750,28375"
|
|---|
| 1141 | )
|
|---|
| 1142 | tg (CPTG
|
|---|
| 1143 | uid 602,0
|
|---|
| 1144 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1145 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1146 | f (Text
|
|---|
| 1147 | uid 603,0
|
|---|
| 1148 | va (VaSet
|
|---|
| 1149 | )
|
|---|
| 1150 | xt "30400,27500,33000,28500"
|
|---|
| 1151 | st "time_o"
|
|---|
| 1152 | ju 2
|
|---|
| 1153 | blo "33000,28300"
|
|---|
| 1154 | )
|
|---|
| 1155 | )
|
|---|
| 1156 | thePort (LogicalPort
|
|---|
| 1157 | lang 10
|
|---|
| 1158 | decl (Decl
|
|---|
| 1159 | n "time_o"
|
|---|
| 1160 | t "std_logic_vector"
|
|---|
| 1161 | b "( 31 DOWNTO 0)"
|
|---|
| 1162 | o 2
|
|---|
| 1163 | suid 19,0
|
|---|
| 1164 | )
|
|---|
| 1165 | )
|
|---|
| 1166 | )
|
|---|
| 1167 | *33 (CptPort
|
|---|
| 1168 | uid 614,0
|
|---|
| 1169 | ps "OnEdgeStrategy"
|
|---|
| 1170 | shape (Triangle
|
|---|
| 1171 | uid 615,0
|
|---|
| 1172 | ro 90
|
|---|
| 1173 | va (VaSet
|
|---|
| 1174 | vasetType 1
|
|---|
| 1175 | fg "0,65535,0"
|
|---|
| 1176 | )
|
|---|
| 1177 | xt "34000,29625,34750,30375"
|
|---|
| 1178 | )
|
|---|
| 1179 | tg (CPTG
|
|---|
| 1180 | uid 616,0
|
|---|
| 1181 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1182 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1183 | f (Text
|
|---|
| 1184 | uid 617,0
|
|---|
| 1185 | va (VaSet
|
|---|
| 1186 | )
|
|---|
| 1187 | xt "31700,29500,33000,30500"
|
|---|
| 1188 | st "clk"
|
|---|
| 1189 | ju 2
|
|---|
| 1190 | blo "33000,30300"
|
|---|
| 1191 | )
|
|---|
| 1192 | )
|
|---|
| 1193 | thePort (LogicalPort
|
|---|
| 1194 | lang 10
|
|---|
| 1195 | m 1
|
|---|
| 1196 | decl (Decl
|
|---|
| 1197 | n "clk"
|
|---|
| 1198 | t "std_logic"
|
|---|
| 1199 | o 5
|
|---|
| 1200 | )
|
|---|
| 1201 | )
|
|---|
| 1202 | )
|
|---|
| 1203 | *34 (CptPort
|
|---|
| 1204 | uid 618,0
|
|---|
| 1205 | ps "OnEdgeStrategy"
|
|---|
| 1206 | shape (Triangle
|
|---|
| 1207 | uid 619,0
|
|---|
| 1208 | ro 90
|
|---|
| 1209 | va (VaSet
|
|---|
| 1210 | vasetType 1
|
|---|
| 1211 | fg "0,65535,0"
|
|---|
| 1212 | )
|
|---|
| 1213 | xt "34000,30625,34750,31375"
|
|---|
| 1214 | )
|
|---|
| 1215 | tg (CPTG
|
|---|
| 1216 | uid 620,0
|
|---|
| 1217 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1218 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1219 | f (Text
|
|---|
| 1220 | uid 621,0
|
|---|
| 1221 | va (VaSet
|
|---|
| 1222 | )
|
|---|
| 1223 | xt "27600,30500,33000,31500"
|
|---|
| 1224 | st "reset_synch_i"
|
|---|
| 1225 | ju 2
|
|---|
| 1226 | blo "33000,31300"
|
|---|
| 1227 | )
|
|---|
| 1228 | )
|
|---|
| 1229 | thePort (LogicalPort
|
|---|
| 1230 | lang 10
|
|---|
| 1231 | m 1
|
|---|
| 1232 | decl (Decl
|
|---|
| 1233 | n "reset_synch_i"
|
|---|
| 1234 | t "std_logic"
|
|---|
| 1235 | o 4
|
|---|
| 1236 | )
|
|---|
| 1237 | )
|
|---|
| 1238 | )
|
|---|
| 1239 | ]
|
|---|
| 1240 | shape (Rectangle
|
|---|
| 1241 | uid 605,0
|
|---|
| 1242 | va (VaSet
|
|---|
| 1243 | vasetType 1
|
|---|
| 1244 | fg "0,65535,0"
|
|---|
| 1245 | lineColor "0,32896,0"
|
|---|
| 1246 | lineWidth 2
|
|---|
| 1247 | )
|
|---|
| 1248 | xt "16000,27000,34000,32000"
|
|---|
| 1249 | )
|
|---|
| 1250 | oxt "15000,6000,33000,10000"
|
|---|
| 1251 | ttg (MlTextGroup
|
|---|
| 1252 | uid 606,0
|
|---|
| 1253 | ps "CenterOffsetStrategy"
|
|---|
| 1254 | stg "VerticalLayoutStrategy"
|
|---|
| 1255 | textVec [
|
|---|
| 1256 | *35 (Text
|
|---|
| 1257 | uid 607,0
|
|---|
| 1258 | va (VaSet
|
|---|
| 1259 | font "Arial,8,1"
|
|---|
| 1260 | )
|
|---|
| 1261 | xt "21150,28000,28850,29000"
|
|---|
| 1262 | st "FACT_FAD_TB_lib"
|
|---|
| 1263 | blo "21150,28800"
|
|---|
| 1264 | tm "BdLibraryNameMgr"
|
|---|
| 1265 | )
|
|---|
| 1266 | *36 (Text
|
|---|
| 1267 | uid 608,0
|
|---|
| 1268 | va (VaSet
|
|---|
| 1269 | font "Arial,8,1"
|
|---|
| 1270 | )
|
|---|
| 1271 | xt "21150,29000,26350,30000"
|
|---|
| 1272 | st "timer_tester"
|
|---|
| 1273 | blo "21150,29800"
|
|---|
| 1274 | tm "CptNameMgr"
|
|---|
| 1275 | )
|
|---|
| 1276 | *37 (Text
|
|---|
| 1277 | uid 609,0
|
|---|
| 1278 | va (VaSet
|
|---|
| 1279 | font "Arial,8,1"
|
|---|
| 1280 | )
|
|---|
| 1281 | xt "21150,30000,22950,31000"
|
|---|
| 1282 | st "U_1"
|
|---|
| 1283 | blo "21150,30800"
|
|---|
| 1284 | tm "InstanceNameMgr"
|
|---|
| 1285 | )
|
|---|
| 1286 | ]
|
|---|
| 1287 | )
|
|---|
| 1288 | ga (GenericAssociation
|
|---|
| 1289 | uid 610,0
|
|---|
| 1290 | ps "EdgeToEdgeStrategy"
|
|---|
| 1291 | matrix (Matrix
|
|---|
| 1292 | uid 611,0
|
|---|
| 1293 | text (MLText
|
|---|
| 1294 | uid 612,0
|
|---|
| 1295 | va (VaSet
|
|---|
| 1296 | font "Courier New,8,0"
|
|---|
| 1297 | )
|
|---|
| 1298 | xt "1000,25800,1000,25800"
|
|---|
| 1299 | )
|
|---|
| 1300 | header ""
|
|---|
| 1301 | )
|
|---|
| 1302 | elements [
|
|---|
| 1303 | ]
|
|---|
| 1304 | )
|
|---|
| 1305 | viewicon (ZoomableIcon
|
|---|
| 1306 | uid 613,0
|
|---|
| 1307 | sl 0
|
|---|
| 1308 | va (VaSet
|
|---|
| 1309 | vasetType 1
|
|---|
| 1310 | fg "49152,49152,49152"
|
|---|
| 1311 | )
|
|---|
| 1312 | xt "16250,30250,17750,31750"
|
|---|
| 1313 | iconName "VhdlFileViewIcon.png"
|
|---|
| 1314 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 1315 | ftype 10
|
|---|
| 1316 | )
|
|---|
| 1317 | ordering 1
|
|---|
| 1318 | viewiconposition 0
|
|---|
| 1319 | portVis (PortSigDisplay
|
|---|
| 1320 | sTC 0
|
|---|
| 1321 | sF 0
|
|---|
| 1322 | )
|
|---|
| 1323 | archFileType "UNKNOWN"
|
|---|
| 1324 | )
|
|---|
| 1325 | *38 (Wire
|
|---|
| 1326 | uid 93,0
|
|---|
| 1327 | shape (OrthoPolyLine
|
|---|
| 1328 | uid 94,0
|
|---|
| 1329 | va (VaSet
|
|---|
| 1330 | vasetType 3
|
|---|
| 1331 | )
|
|---|
| 1332 | xt "10000,30000,15250,30000"
|
|---|
| 1333 | pts [
|
|---|
| 1334 | "10000,30000"
|
|---|
| 1335 | "15250,30000"
|
|---|
| 1336 | ]
|
|---|
| 1337 | )
|
|---|
| 1338 | end &29
|
|---|
| 1339 | sat 16
|
|---|
| 1340 | eat 32
|
|---|
| 1341 | st 0
|
|---|
| 1342 | sf 1
|
|---|
| 1343 | si 0
|
|---|
| 1344 | tg (WTG
|
|---|
| 1345 | uid 99,0
|
|---|
| 1346 | ps "ConnStartEndStrategy"
|
|---|
| 1347 | stg "STSignalDisplayStrategy"
|
|---|
| 1348 | f (Text
|
|---|
| 1349 | uid 100,0
|
|---|
| 1350 | va (VaSet
|
|---|
| 1351 | )
|
|---|
| 1352 | xt "11000,29000,14200,30000"
|
|---|
| 1353 | st "enable_i"
|
|---|
| 1354 | blo "11000,29800"
|
|---|
| 1355 | tm "WireNameMgr"
|
|---|
| 1356 | )
|
|---|
| 1357 | )
|
|---|
| 1358 | on &4
|
|---|
| 1359 | )
|
|---|
| 1360 | *39 (Wire
|
|---|
| 1361 | uid 109,0
|
|---|
| 1362 | shape (OrthoPolyLine
|
|---|
| 1363 | uid 110,0
|
|---|
| 1364 | va (VaSet
|
|---|
| 1365 | vasetType 3
|
|---|
| 1366 | )
|
|---|
| 1367 | xt "10000,29000,15250,29000"
|
|---|
| 1368 | pts [
|
|---|
| 1369 | "10000,29000"
|
|---|
| 1370 | "15250,29000"
|
|---|
| 1371 | ]
|
|---|
| 1372 | )
|
|---|
| 1373 | end &30
|
|---|
| 1374 | sat 16
|
|---|
| 1375 | eat 32
|
|---|
| 1376 | st 0
|
|---|
| 1377 | sf 1
|
|---|
| 1378 | si 0
|
|---|
| 1379 | tg (WTG
|
|---|
| 1380 | uid 115,0
|
|---|
| 1381 | ps "ConnStartEndStrategy"
|
|---|
| 1382 | stg "STSignalDisplayStrategy"
|
|---|
| 1383 | f (Text
|
|---|
| 1384 | uid 116,0
|
|---|
| 1385 | va (VaSet
|
|---|
| 1386 | )
|
|---|
| 1387 | xt "11000,28000,13900,29000"
|
|---|
| 1388 | st "synch_i"
|
|---|
| 1389 | blo "11000,28800"
|
|---|
| 1390 | tm "WireNameMgr"
|
|---|
| 1391 | )
|
|---|
| 1392 | )
|
|---|
| 1393 | on &3
|
|---|
| 1394 | )
|
|---|
| 1395 | *40 (Wire
|
|---|
| 1396 | uid 117,0
|
|---|
| 1397 | shape (OrthoPolyLine
|
|---|
| 1398 | uid 118,0
|
|---|
| 1399 | va (VaSet
|
|---|
| 1400 | vasetType 3
|
|---|
| 1401 | lineWidth 2
|
|---|
| 1402 | )
|
|---|
| 1403 | xt "34750,28000,48000,28000"
|
|---|
| 1404 | pts [
|
|---|
| 1405 | "34750,28000"
|
|---|
| 1406 | "48000,28000"
|
|---|
| 1407 | ]
|
|---|
| 1408 | )
|
|---|
| 1409 | start &32
|
|---|
| 1410 | sat 32
|
|---|
| 1411 | eat 16
|
|---|
| 1412 | sty 1
|
|---|
| 1413 | st 0
|
|---|
| 1414 | sf 1
|
|---|
| 1415 | si 0
|
|---|
| 1416 | tg (WTG
|
|---|
| 1417 | uid 123,0
|
|---|
| 1418 | ps "ConnStartEndStrategy"
|
|---|
| 1419 | stg "STSignalDisplayStrategy"
|
|---|
| 1420 | f (Text
|
|---|
| 1421 | uid 124,0
|
|---|
| 1422 | va (VaSet
|
|---|
| 1423 | )
|
|---|
| 1424 | xt "36000,27000,47400,28000"
|
|---|
| 1425 | st "time_o : (TIMER_WIDTH-1:0)"
|
|---|
| 1426 | blo "36000,27800"
|
|---|
| 1427 | tm "WireNameMgr"
|
|---|
| 1428 | )
|
|---|
| 1429 | )
|
|---|
| 1430 | on &1
|
|---|
| 1431 | )
|
|---|
| 1432 | *41 (Wire
|
|---|
| 1433 | uid 125,0
|
|---|
| 1434 | shape (OrthoPolyLine
|
|---|
| 1435 | uid 126,0
|
|---|
| 1436 | va (VaSet
|
|---|
| 1437 | vasetType 3
|
|---|
| 1438 | )
|
|---|
| 1439 | xt "34750,29000,48000,29000"
|
|---|
| 1440 | pts [
|
|---|
| 1441 | "34750,29000"
|
|---|
| 1442 | "48000,29000"
|
|---|
| 1443 | ]
|
|---|
| 1444 | )
|
|---|
| 1445 | start &31
|
|---|
| 1446 | sat 32
|
|---|
| 1447 | eat 16
|
|---|
| 1448 | st 0
|
|---|
| 1449 | sf 1
|
|---|
| 1450 | si 0
|
|---|
| 1451 | tg (WTG
|
|---|
| 1452 | uid 131,0
|
|---|
| 1453 | ps "ConnStartEndStrategy"
|
|---|
| 1454 | stg "STSignalDisplayStrategy"
|
|---|
| 1455 | f (Text
|
|---|
| 1456 | uid 132,0
|
|---|
| 1457 | va (VaSet
|
|---|
| 1458 | )
|
|---|
| 1459 | xt "36000,28000,39900,29000"
|
|---|
| 1460 | st "synched_o"
|
|---|
| 1461 | blo "36000,28800"
|
|---|
| 1462 | tm "WireNameMgr"
|
|---|
| 1463 | )
|
|---|
| 1464 | )
|
|---|
| 1465 | on &2
|
|---|
| 1466 | )
|
|---|
| 1467 | *42 (Wire
|
|---|
| 1468 | uid 546,0
|
|---|
| 1469 | shape (OrthoPolyLine
|
|---|
| 1470 | uid 547,0
|
|---|
| 1471 | va (VaSet
|
|---|
| 1472 | vasetType 3
|
|---|
| 1473 | )
|
|---|
| 1474 | xt "5000,14000,8250,14000"
|
|---|
| 1475 | pts [
|
|---|
| 1476 | "5000,14000"
|
|---|
| 1477 | "8250,14000"
|
|---|
| 1478 | ]
|
|---|
| 1479 | )
|
|---|
| 1480 | end &17
|
|---|
| 1481 | sat 16
|
|---|
| 1482 | eat 32
|
|---|
| 1483 | st 0
|
|---|
| 1484 | sf 1
|
|---|
| 1485 | si 0
|
|---|
| 1486 | tg (WTG
|
|---|
| 1487 | uid 550,0
|
|---|
| 1488 | ps "ConnStartEndStrategy"
|
|---|
| 1489 | stg "STSignalDisplayStrategy"
|
|---|
| 1490 | f (Text
|
|---|
| 1491 | uid 551,0
|
|---|
| 1492 | va (VaSet
|
|---|
| 1493 | )
|
|---|
| 1494 | xt "6000,13000,7300,14000"
|
|---|
| 1495 | st "clk"
|
|---|
| 1496 | blo "6000,13800"
|
|---|
| 1497 | tm "WireNameMgr"
|
|---|
| 1498 | )
|
|---|
| 1499 | )
|
|---|
| 1500 | on &26
|
|---|
| 1501 | )
|
|---|
| 1502 | *43 (Wire
|
|---|
| 1503 | uid 552,0
|
|---|
| 1504 | shape (OrthoPolyLine
|
|---|
| 1505 | uid 553,0
|
|---|
| 1506 | va (VaSet
|
|---|
| 1507 | vasetType 3
|
|---|
| 1508 | )
|
|---|
| 1509 | xt "3000,15000,8250,15000"
|
|---|
| 1510 | pts [
|
|---|
| 1511 | "3000,15000"
|
|---|
| 1512 | "8250,15000"
|
|---|
| 1513 | ]
|
|---|
| 1514 | )
|
|---|
| 1515 | end &19
|
|---|
| 1516 | sat 16
|
|---|
| 1517 | eat 32
|
|---|
| 1518 | st 0
|
|---|
| 1519 | sf 1
|
|---|
| 1520 | si 0
|
|---|
| 1521 | tg (WTG
|
|---|
| 1522 | uid 556,0
|
|---|
| 1523 | ps "ConnStartEndStrategy"
|
|---|
| 1524 | stg "STSignalDisplayStrategy"
|
|---|
| 1525 | f (Text
|
|---|
| 1526 | uid 557,0
|
|---|
| 1527 | va (VaSet
|
|---|
| 1528 | )
|
|---|
| 1529 | xt "4000,14000,6900,15000"
|
|---|
| 1530 | st "synch_i"
|
|---|
| 1531 | blo "4000,14800"
|
|---|
| 1532 | tm "WireNameMgr"
|
|---|
| 1533 | )
|
|---|
| 1534 | )
|
|---|
| 1535 | on &3
|
|---|
| 1536 | )
|
|---|
| 1537 | *44 (Wire
|
|---|
| 1538 | uid 560,0
|
|---|
| 1539 | shape (OrthoPolyLine
|
|---|
| 1540 | uid 561,0
|
|---|
| 1541 | va (VaSet
|
|---|
| 1542 | vasetType 3
|
|---|
| 1543 | )
|
|---|
| 1544 | xt "1000,16000,8250,16000"
|
|---|
| 1545 | pts [
|
|---|
| 1546 | "1000,16000"
|
|---|
| 1547 | "8250,16000"
|
|---|
| 1548 | ]
|
|---|
| 1549 | )
|
|---|
| 1550 | end &21
|
|---|
| 1551 | sat 16
|
|---|
| 1552 | eat 32
|
|---|
| 1553 | st 0
|
|---|
| 1554 | sf 1
|
|---|
| 1555 | si 0
|
|---|
| 1556 | tg (WTG
|
|---|
| 1557 | uid 564,0
|
|---|
| 1558 | ps "ConnStartEndStrategy"
|
|---|
| 1559 | stg "STSignalDisplayStrategy"
|
|---|
| 1560 | f (Text
|
|---|
| 1561 | uid 565,0
|
|---|
| 1562 | va (VaSet
|
|---|
| 1563 | )
|
|---|
| 1564 | xt "2000,15000,7400,16000"
|
|---|
| 1565 | st "reset_synch_i"
|
|---|
| 1566 | blo "2000,15800"
|
|---|
| 1567 | tm "WireNameMgr"
|
|---|
| 1568 | )
|
|---|
| 1569 | )
|
|---|
| 1570 | on &27
|
|---|
| 1571 | )
|
|---|
| 1572 | *45 (Wire
|
|---|
| 1573 | uid 566,0
|
|---|
| 1574 | shape (OrthoPolyLine
|
|---|
| 1575 | uid 567,0
|
|---|
| 1576 | va (VaSet
|
|---|
| 1577 | vasetType 3
|
|---|
| 1578 | )
|
|---|
| 1579 | xt "3000,17000,8250,17000"
|
|---|
| 1580 | pts [
|
|---|
| 1581 | "3000,17000"
|
|---|
| 1582 | "8250,17000"
|
|---|
| 1583 | ]
|
|---|
| 1584 | )
|
|---|
| 1585 | end &22
|
|---|
| 1586 | sat 16
|
|---|
| 1587 | eat 32
|
|---|
| 1588 | st 0
|
|---|
| 1589 | sf 1
|
|---|
| 1590 | si 0
|
|---|
| 1591 | tg (WTG
|
|---|
| 1592 | uid 570,0
|
|---|
| 1593 | ps "ConnStartEndStrategy"
|
|---|
| 1594 | stg "STSignalDisplayStrategy"
|
|---|
| 1595 | f (Text
|
|---|
| 1596 | uid 571,0
|
|---|
| 1597 | va (VaSet
|
|---|
| 1598 | )
|
|---|
| 1599 | xt "4000,16000,7200,17000"
|
|---|
| 1600 | st "enable_i"
|
|---|
| 1601 | blo "4000,16800"
|
|---|
| 1602 | tm "WireNameMgr"
|
|---|
| 1603 | )
|
|---|
| 1604 | )
|
|---|
| 1605 | on &4
|
|---|
| 1606 | )
|
|---|
| 1607 | *46 (Wire
|
|---|
| 1608 | uid 572,0
|
|---|
| 1609 | shape (OrthoPolyLine
|
|---|
| 1610 | uid 573,0
|
|---|
| 1611 | va (VaSet
|
|---|
| 1612 | vasetType 3
|
|---|
| 1613 | lineWidth 2
|
|---|
| 1614 | )
|
|---|
| 1615 | xt "29750,14000,43000,14000"
|
|---|
| 1616 | pts [
|
|---|
| 1617 | "29750,14000"
|
|---|
| 1618 | "43000,14000"
|
|---|
| 1619 | ]
|
|---|
| 1620 | )
|
|---|
| 1621 | start &18
|
|---|
| 1622 | sat 32
|
|---|
| 1623 | eat 16
|
|---|
| 1624 | sty 1
|
|---|
| 1625 | st 0
|
|---|
| 1626 | sf 1
|
|---|
| 1627 | si 0
|
|---|
| 1628 | tg (WTG
|
|---|
| 1629 | uid 576,0
|
|---|
| 1630 | ps "ConnStartEndStrategy"
|
|---|
| 1631 | stg "STSignalDisplayStrategy"
|
|---|
| 1632 | f (Text
|
|---|
| 1633 | uid 577,0
|
|---|
| 1634 | va (VaSet
|
|---|
| 1635 | )
|
|---|
| 1636 | xt "31000,13000,42400,14000"
|
|---|
| 1637 | st "time_o : (TIMER_WIDTH-1:0)"
|
|---|
| 1638 | blo "31000,13800"
|
|---|
| 1639 | tm "WireNameMgr"
|
|---|
| 1640 | )
|
|---|
| 1641 | )
|
|---|
| 1642 | on &1
|
|---|
| 1643 | )
|
|---|
| 1644 | *47 (Wire
|
|---|
| 1645 | uid 578,0
|
|---|
| 1646 | shape (OrthoPolyLine
|
|---|
| 1647 | uid 579,0
|
|---|
| 1648 | va (VaSet
|
|---|
| 1649 | vasetType 3
|
|---|
| 1650 | )
|
|---|
| 1651 | xt "29750,15000,36000,15000"
|
|---|
| 1652 | pts [
|
|---|
| 1653 | "29750,15000"
|
|---|
| 1654 | "36000,15000"
|
|---|
| 1655 | ]
|
|---|
| 1656 | )
|
|---|
| 1657 | start &20
|
|---|
| 1658 | sat 32
|
|---|
| 1659 | eat 16
|
|---|
| 1660 | st 0
|
|---|
| 1661 | sf 1
|
|---|
| 1662 | si 0
|
|---|
| 1663 | tg (WTG
|
|---|
| 1664 | uid 582,0
|
|---|
| 1665 | ps "ConnStartEndStrategy"
|
|---|
| 1666 | stg "STSignalDisplayStrategy"
|
|---|
| 1667 | f (Text
|
|---|
| 1668 | uid 583,0
|
|---|
| 1669 | va (VaSet
|
|---|
| 1670 | )
|
|---|
| 1671 | xt "31000,14000,34900,15000"
|
|---|
| 1672 | st "synched_o"
|
|---|
| 1673 | blo "31000,14800"
|
|---|
| 1674 | tm "WireNameMgr"
|
|---|
| 1675 | )
|
|---|
| 1676 | )
|
|---|
| 1677 | on &2
|
|---|
| 1678 | )
|
|---|
| 1679 | *48 (Wire
|
|---|
| 1680 | uid 622,0
|
|---|
| 1681 | shape (OrthoPolyLine
|
|---|
| 1682 | uid 623,0
|
|---|
| 1683 | va (VaSet
|
|---|
| 1684 | vasetType 3
|
|---|
| 1685 | )
|
|---|
| 1686 | xt "34750,30000,38000,30000"
|
|---|
| 1687 | pts [
|
|---|
| 1688 | "34750,30000"
|
|---|
| 1689 | "38000,30000"
|
|---|
| 1690 | ]
|
|---|
| 1691 | )
|
|---|
| 1692 | start &33
|
|---|
| 1693 | sat 32
|
|---|
| 1694 | eat 16
|
|---|
| 1695 | stc 0
|
|---|
| 1696 | st 0
|
|---|
| 1697 | si 0
|
|---|
| 1698 | tg (WTG
|
|---|
| 1699 | uid 626,0
|
|---|
| 1700 | ps "ConnStartEndStrategy"
|
|---|
| 1701 | stg "STSignalDisplayStrategy"
|
|---|
| 1702 | f (Text
|
|---|
| 1703 | uid 627,0
|
|---|
| 1704 | va (VaSet
|
|---|
| 1705 | )
|
|---|
| 1706 | xt "36000,29000,37300,30000"
|
|---|
| 1707 | st "clk"
|
|---|
| 1708 | blo "36000,29800"
|
|---|
| 1709 | tm "WireNameMgr"
|
|---|
| 1710 | )
|
|---|
| 1711 | )
|
|---|
| 1712 | on &26
|
|---|
| 1713 | )
|
|---|
| 1714 | *49 (Wire
|
|---|
| 1715 | uid 628,0
|
|---|
| 1716 | shape (OrthoPolyLine
|
|---|
| 1717 | uid 629,0
|
|---|
| 1718 | va (VaSet
|
|---|
| 1719 | vasetType 3
|
|---|
| 1720 | )
|
|---|
| 1721 | xt "34750,31000,42000,31000"
|
|---|
| 1722 | pts [
|
|---|
| 1723 | "34750,31000"
|
|---|
| 1724 | "42000,31000"
|
|---|
| 1725 | ]
|
|---|
| 1726 | )
|
|---|
| 1727 | start &34
|
|---|
| 1728 | sat 32
|
|---|
| 1729 | eat 16
|
|---|
| 1730 | stc 0
|
|---|
| 1731 | st 0
|
|---|
| 1732 | si 0
|
|---|
| 1733 | tg (WTG
|
|---|
| 1734 | uid 632,0
|
|---|
| 1735 | ps "ConnStartEndStrategy"
|
|---|
| 1736 | stg "STSignalDisplayStrategy"
|
|---|
| 1737 | f (Text
|
|---|
| 1738 | uid 633,0
|
|---|
| 1739 | va (VaSet
|
|---|
| 1740 | )
|
|---|
| 1741 | xt "36000,30000,41400,31000"
|
|---|
| 1742 | st "reset_synch_i"
|
|---|
| 1743 | blo "36000,30800"
|
|---|
| 1744 | tm "WireNameMgr"
|
|---|
| 1745 | )
|
|---|
| 1746 | )
|
|---|
| 1747 | on &27
|
|---|
| 1748 | )
|
|---|
| 1749 | ]
|
|---|
| 1750 | bg "65535,65535,65535"
|
|---|
| 1751 | grid (Grid
|
|---|
| 1752 | origin "0,0"
|
|---|
| 1753 | isVisible 1
|
|---|
| 1754 | isActive 1
|
|---|
| 1755 | xSpacing 1000
|
|---|
| 1756 | xySpacing 1000
|
|---|
| 1757 | xShown 1
|
|---|
| 1758 | yShown 1
|
|---|
| 1759 | color "26368,26368,26368"
|
|---|
| 1760 | )
|
|---|
| 1761 | packageList *50 (PackageList
|
|---|
| 1762 | uid 175,0
|
|---|
| 1763 | stg "VerticalLayoutStrategy"
|
|---|
| 1764 | textVec [
|
|---|
| 1765 | *51 (Text
|
|---|
| 1766 | uid 176,0
|
|---|
| 1767 | va (VaSet
|
|---|
| 1768 | font "arial,8,1"
|
|---|
| 1769 | )
|
|---|
| 1770 | xt "0,0,5400,1000"
|
|---|
| 1771 | st "Package List"
|
|---|
| 1772 | blo "0,800"
|
|---|
| 1773 | )
|
|---|
| 1774 | *52 (MLText
|
|---|
| 1775 | uid 177,0
|
|---|
| 1776 | va (VaSet
|
|---|
| 1777 | )
|
|---|
| 1778 | xt "0,1000,12400,5000"
|
|---|
| 1779 | st "LIBRARY ieee;
|
|---|
| 1780 | USE ieee.std_logic_1164.ALL;
|
|---|
| 1781 | USE ieee.std_logic_arith.ALL;
|
|---|
| 1782 | USE ieee.std_logic_unsigned.all;"
|
|---|
| 1783 | tm "PackageList"
|
|---|
| 1784 | )
|
|---|
| 1785 | ]
|
|---|
| 1786 | )
|
|---|
| 1787 | compDirBlock (MlTextGroup
|
|---|
| 1788 | uid 178,0
|
|---|
| 1789 | stg "VerticalLayoutStrategy"
|
|---|
| 1790 | textVec [
|
|---|
| 1791 | *53 (Text
|
|---|
| 1792 | uid 179,0
|
|---|
| 1793 | va (VaSet
|
|---|
| 1794 | isHidden 1
|
|---|
| 1795 | font "Arial,8,1"
|
|---|
| 1796 | )
|
|---|
| 1797 | xt "20000,0,28100,1000"
|
|---|
| 1798 | st "Compiler Directives"
|
|---|
| 1799 | blo "20000,800"
|
|---|
| 1800 | )
|
|---|
| 1801 | *54 (Text
|
|---|
| 1802 | uid 180,0
|
|---|
| 1803 | va (VaSet
|
|---|
| 1804 | isHidden 1
|
|---|
| 1805 | font "Arial,8,1"
|
|---|
| 1806 | )
|
|---|
| 1807 | xt "20000,1000,29600,2000"
|
|---|
| 1808 | st "Pre-module directives:"
|
|---|
| 1809 | blo "20000,1800"
|
|---|
| 1810 | )
|
|---|
| 1811 | *55 (MLText
|
|---|
| 1812 | uid 181,0
|
|---|
| 1813 | va (VaSet
|
|---|
| 1814 | isHidden 1
|
|---|
| 1815 | )
|
|---|
| 1816 | xt "20000,2000,27500,4000"
|
|---|
| 1817 | st "`resetall
|
|---|
| 1818 | `timescale 1ns/10ps"
|
|---|
| 1819 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 1820 | )
|
|---|
| 1821 | *56 (Text
|
|---|
| 1822 | uid 182,0
|
|---|
| 1823 | va (VaSet
|
|---|
| 1824 | isHidden 1
|
|---|
| 1825 | font "Arial,8,1"
|
|---|
| 1826 | )
|
|---|
| 1827 | xt "20000,4000,30100,5000"
|
|---|
| 1828 | st "Post-module directives:"
|
|---|
| 1829 | blo "20000,4800"
|
|---|
| 1830 | )
|
|---|
| 1831 | *57 (MLText
|
|---|
| 1832 | uid 183,0
|
|---|
| 1833 | va (VaSet
|
|---|
| 1834 | isHidden 1
|
|---|
| 1835 | )
|
|---|
| 1836 | xt "20000,0,20000,0"
|
|---|
| 1837 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 1838 | )
|
|---|
| 1839 | *58 (Text
|
|---|
| 1840 | uid 184,0
|
|---|
| 1841 | va (VaSet
|
|---|
| 1842 | isHidden 1
|
|---|
| 1843 | font "Arial,8,1"
|
|---|
| 1844 | )
|
|---|
| 1845 | xt "20000,5000,29900,6000"
|
|---|
| 1846 | st "End-module directives:"
|
|---|
| 1847 | blo "20000,5800"
|
|---|
| 1848 | )
|
|---|
| 1849 | *59 (MLText
|
|---|
| 1850 | uid 185,0
|
|---|
| 1851 | va (VaSet
|
|---|
| 1852 | isHidden 1
|
|---|
| 1853 | )
|
|---|
| 1854 | xt "20000,6000,20000,6000"
|
|---|
| 1855 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 1856 | )
|
|---|
| 1857 | ]
|
|---|
| 1858 | associable 1
|
|---|
| 1859 | )
|
|---|
| 1860 | windowSize "0,22,1281,1024"
|
|---|
| 1861 | viewArea "-21800,-200,74751,77423"
|
|---|
| 1862 | cachedDiagramExtent "0,0,66000,49000"
|
|---|
| 1863 | hasePageBreakOrigin 1
|
|---|
| 1864 | pageBreakOrigin "-7000,0"
|
|---|
| 1865 | lastUid 654,0
|
|---|
| 1866 | defaultCommentText (CommentText
|
|---|
| 1867 | shape (Rectangle
|
|---|
| 1868 | layer 0
|
|---|
| 1869 | va (VaSet
|
|---|
| 1870 | vasetType 1
|
|---|
| 1871 | fg "65280,65280,46080"
|
|---|
| 1872 | lineColor "0,0,32768"
|
|---|
| 1873 | )
|
|---|
| 1874 | xt "0,0,15000,5000"
|
|---|
| 1875 | )
|
|---|
| 1876 | text (MLText
|
|---|
| 1877 | va (VaSet
|
|---|
| 1878 | fg "0,0,32768"
|
|---|
| 1879 | )
|
|---|
| 1880 | xt "200,200,2000,1200"
|
|---|
| 1881 | st "
|
|---|
| 1882 | Text
|
|---|
| 1883 | "
|
|---|
| 1884 | tm "CommentText"
|
|---|
| 1885 | wrapOption 3
|
|---|
| 1886 | visibleHeight 4600
|
|---|
| 1887 | visibleWidth 14600
|
|---|
| 1888 | )
|
|---|
| 1889 | )
|
|---|
| 1890 | defaultPanel (Panel
|
|---|
| 1891 | shape (RectFrame
|
|---|
| 1892 | va (VaSet
|
|---|
| 1893 | vasetType 1
|
|---|
| 1894 | fg "65535,65535,65535"
|
|---|
| 1895 | lineColor "32768,0,0"
|
|---|
| 1896 | lineWidth 3
|
|---|
| 1897 | )
|
|---|
| 1898 | xt "0,0,20000,20000"
|
|---|
| 1899 | )
|
|---|
| 1900 | title (TextAssociate
|
|---|
| 1901 | ps "TopLeftStrategy"
|
|---|
| 1902 | text (Text
|
|---|
| 1903 | va (VaSet
|
|---|
| 1904 | font "Arial,8,1"
|
|---|
| 1905 | )
|
|---|
| 1906 | xt "1000,1000,3800,2000"
|
|---|
| 1907 | st "Panel0"
|
|---|
| 1908 | blo "1000,1800"
|
|---|
| 1909 | tm "PanelText"
|
|---|
| 1910 | )
|
|---|
| 1911 | )
|
|---|
| 1912 | )
|
|---|
| 1913 | defaultBlk (Blk
|
|---|
| 1914 | shape (Rectangle
|
|---|
| 1915 | va (VaSet
|
|---|
| 1916 | vasetType 1
|
|---|
| 1917 | fg "39936,56832,65280"
|
|---|
| 1918 | lineColor "0,0,32768"
|
|---|
| 1919 | lineWidth 2
|
|---|
| 1920 | )
|
|---|
| 1921 | xt "0,0,8000,10000"
|
|---|
| 1922 | )
|
|---|
| 1923 | ttg (MlTextGroup
|
|---|
| 1924 | ps "CenterOffsetStrategy"
|
|---|
| 1925 | stg "VerticalLayoutStrategy"
|
|---|
| 1926 | textVec [
|
|---|
| 1927 | *60 (Text
|
|---|
| 1928 | va (VaSet
|
|---|
| 1929 | font "Arial,8,1"
|
|---|
| 1930 | )
|
|---|
| 1931 | xt "2200,3500,5800,4500"
|
|---|
| 1932 | st "<library>"
|
|---|
| 1933 | blo "2200,4300"
|
|---|
| 1934 | tm "BdLibraryNameMgr"
|
|---|
| 1935 | )
|
|---|
| 1936 | *61 (Text
|
|---|
| 1937 | va (VaSet
|
|---|
| 1938 | font "Arial,8,1"
|
|---|
| 1939 | )
|
|---|
| 1940 | xt "2200,4500,5600,5500"
|
|---|
| 1941 | st "<block>"
|
|---|
| 1942 | blo "2200,5300"
|
|---|
| 1943 | tm "BlkNameMgr"
|
|---|
| 1944 | )
|
|---|
| 1945 | *62 (Text
|
|---|
| 1946 | va (VaSet
|
|---|
| 1947 | font "Arial,8,1"
|
|---|
| 1948 | )
|
|---|
| 1949 | xt "2200,5500,4000,6500"
|
|---|
| 1950 | st "U_0"
|
|---|
| 1951 | blo "2200,6300"
|
|---|
| 1952 | tm "InstanceNameMgr"
|
|---|
| 1953 | )
|
|---|
| 1954 | ]
|
|---|
| 1955 | )
|
|---|
| 1956 | ga (GenericAssociation
|
|---|
| 1957 | ps "EdgeToEdgeStrategy"
|
|---|
| 1958 | matrix (Matrix
|
|---|
| 1959 | text (MLText
|
|---|
| 1960 | va (VaSet
|
|---|
| 1961 | font "Courier New,8,0"
|
|---|
| 1962 | )
|
|---|
| 1963 | xt "2200,13500,2200,13500"
|
|---|
| 1964 | )
|
|---|
| 1965 | header ""
|
|---|
| 1966 | )
|
|---|
| 1967 | elements [
|
|---|
| 1968 | ]
|
|---|
| 1969 | )
|
|---|
| 1970 | viewicon (ZoomableIcon
|
|---|
| 1971 | sl 0
|
|---|
| 1972 | va (VaSet
|
|---|
| 1973 | vasetType 1
|
|---|
| 1974 | fg "49152,49152,49152"
|
|---|
| 1975 | )
|
|---|
| 1976 | xt "0,0,1500,1500"
|
|---|
| 1977 | iconName "UnknownFile.png"
|
|---|
| 1978 | iconMaskName "UnknownFile.msk"
|
|---|
| 1979 | )
|
|---|
| 1980 | viewiconposition 0
|
|---|
| 1981 | )
|
|---|
| 1982 | defaultMWComponent (MWC
|
|---|
| 1983 | shape (Rectangle
|
|---|
| 1984 | va (VaSet
|
|---|
| 1985 | vasetType 1
|
|---|
| 1986 | fg "0,65535,0"
|
|---|
| 1987 | lineColor "0,32896,0"
|
|---|
| 1988 | lineWidth 2
|
|---|
| 1989 | )
|
|---|
| 1990 | xt "0,0,8000,10000"
|
|---|
| 1991 | )
|
|---|
| 1992 | ttg (MlTextGroup
|
|---|
| 1993 | ps "CenterOffsetStrategy"
|
|---|
| 1994 | stg "VerticalLayoutStrategy"
|
|---|
| 1995 | textVec [
|
|---|
| 1996 | *63 (Text
|
|---|
| 1997 | va (VaSet
|
|---|
| 1998 | font "Arial,8,1"
|
|---|
| 1999 | )
|
|---|
| 2000 | xt "550,3500,3450,4500"
|
|---|
| 2001 | st "Library"
|
|---|
| 2002 | blo "550,4300"
|
|---|
| 2003 | )
|
|---|
| 2004 | *64 (Text
|
|---|
| 2005 | va (VaSet
|
|---|
| 2006 | font "Arial,8,1"
|
|---|
| 2007 | )
|
|---|
| 2008 | xt "550,4500,7450,5500"
|
|---|
| 2009 | st "MWComponent"
|
|---|
| 2010 | blo "550,5300"
|
|---|
| 2011 | )
|
|---|
| 2012 | *65 (Text
|
|---|
| 2013 | va (VaSet
|
|---|
| 2014 | font "Arial,8,1"
|
|---|
| 2015 | )
|
|---|
| 2016 | xt "550,5500,2350,6500"
|
|---|
| 2017 | st "U_0"
|
|---|
| 2018 | blo "550,6300"
|
|---|
| 2019 | tm "InstanceNameMgr"
|
|---|
| 2020 | )
|
|---|
| 2021 | ]
|
|---|
| 2022 | )
|
|---|
| 2023 | ga (GenericAssociation
|
|---|
| 2024 | ps "EdgeToEdgeStrategy"
|
|---|
| 2025 | matrix (Matrix
|
|---|
| 2026 | text (MLText
|
|---|
| 2027 | va (VaSet
|
|---|
| 2028 | font "Courier New,8,0"
|
|---|
| 2029 | )
|
|---|
| 2030 | xt "-6450,1500,-6450,1500"
|
|---|
| 2031 | )
|
|---|
| 2032 | header ""
|
|---|
| 2033 | )
|
|---|
| 2034 | elements [
|
|---|
| 2035 | ]
|
|---|
| 2036 | )
|
|---|
| 2037 | portVis (PortSigDisplay
|
|---|
| 2038 | )
|
|---|
| 2039 | prms (Property
|
|---|
| 2040 | pclass "params"
|
|---|
| 2041 | pname "params"
|
|---|
| 2042 | ptn "String"
|
|---|
| 2043 | )
|
|---|
| 2044 | visOptions (mwParamsVisibilityOptions
|
|---|
| 2045 | )
|
|---|
| 2046 | )
|
|---|
| 2047 | defaultSaComponent (SaComponent
|
|---|
| 2048 | shape (Rectangle
|
|---|
| 2049 | va (VaSet
|
|---|
| 2050 | vasetType 1
|
|---|
| 2051 | fg "0,65535,0"
|
|---|
| 2052 | lineColor "0,32896,0"
|
|---|
| 2053 | lineWidth 2
|
|---|
| 2054 | )
|
|---|
| 2055 | xt "0,0,8000,10000"
|
|---|
| 2056 | )
|
|---|
| 2057 | ttg (MlTextGroup
|
|---|
| 2058 | ps "CenterOffsetStrategy"
|
|---|
| 2059 | stg "VerticalLayoutStrategy"
|
|---|
| 2060 | textVec [
|
|---|
| 2061 | *66 (Text
|
|---|
| 2062 | va (VaSet
|
|---|
| 2063 | font "Arial,8,1"
|
|---|
| 2064 | )
|
|---|
| 2065 | xt "900,3500,3800,4500"
|
|---|
| 2066 | st "Library"
|
|---|
| 2067 | blo "900,4300"
|
|---|
| 2068 | tm "BdLibraryNameMgr"
|
|---|
| 2069 | )
|
|---|
| 2070 | *67 (Text
|
|---|
| 2071 | va (VaSet
|
|---|
| 2072 | font "Arial,8,1"
|
|---|
| 2073 | )
|
|---|
| 2074 | xt "900,4500,7100,5500"
|
|---|
| 2075 | st "SaComponent"
|
|---|
| 2076 | blo "900,5300"
|
|---|
| 2077 | tm "CptNameMgr"
|
|---|
| 2078 | )
|
|---|
| 2079 | *68 (Text
|
|---|
| 2080 | va (VaSet
|
|---|
| 2081 | font "Arial,8,1"
|
|---|
| 2082 | )
|
|---|
| 2083 | xt "900,5500,2700,6500"
|
|---|
| 2084 | st "U_0"
|
|---|
| 2085 | blo "900,6300"
|
|---|
| 2086 | tm "InstanceNameMgr"
|
|---|
| 2087 | )
|
|---|
| 2088 | ]
|
|---|
| 2089 | )
|
|---|
| 2090 | ga (GenericAssociation
|
|---|
| 2091 | ps "EdgeToEdgeStrategy"
|
|---|
| 2092 | matrix (Matrix
|
|---|
| 2093 | text (MLText
|
|---|
| 2094 | va (VaSet
|
|---|
| 2095 | font "Courier New,8,0"
|
|---|
| 2096 | )
|
|---|
| 2097 | xt "-6100,1500,-6100,1500"
|
|---|
| 2098 | )
|
|---|
| 2099 | header ""
|
|---|
| 2100 | )
|
|---|
| 2101 | elements [
|
|---|
| 2102 | ]
|
|---|
| 2103 | )
|
|---|
| 2104 | viewicon (ZoomableIcon
|
|---|
| 2105 | sl 0
|
|---|
| 2106 | va (VaSet
|
|---|
| 2107 | vasetType 1
|
|---|
| 2108 | fg "49152,49152,49152"
|
|---|
| 2109 | )
|
|---|
| 2110 | xt "0,0,1500,1500"
|
|---|
| 2111 | iconName "UnknownFile.png"
|
|---|
| 2112 | iconMaskName "UnknownFile.msk"
|
|---|
| 2113 | )
|
|---|
| 2114 | viewiconposition 0
|
|---|
| 2115 | portVis (PortSigDisplay
|
|---|
| 2116 | )
|
|---|
| 2117 | archFileType "UNKNOWN"
|
|---|
| 2118 | )
|
|---|
| 2119 | defaultVhdlComponent (VhdlComponent
|
|---|
| 2120 | shape (Rectangle
|
|---|
| 2121 | va (VaSet
|
|---|
| 2122 | vasetType 1
|
|---|
| 2123 | fg "0,65535,0"
|
|---|
| 2124 | lineColor "0,32896,0"
|
|---|
| 2125 | lineWidth 2
|
|---|
| 2126 | )
|
|---|
| 2127 | xt "0,0,8000,10000"
|
|---|
| 2128 | )
|
|---|
| 2129 | ttg (MlTextGroup
|
|---|
| 2130 | ps "CenterOffsetStrategy"
|
|---|
| 2131 | stg "VerticalLayoutStrategy"
|
|---|
| 2132 | textVec [
|
|---|
| 2133 | *69 (Text
|
|---|
| 2134 | va (VaSet
|
|---|
| 2135 | font "Arial,8,1"
|
|---|
| 2136 | )
|
|---|
| 2137 | xt "500,3500,3400,4500"
|
|---|
| 2138 | st "Library"
|
|---|
| 2139 | blo "500,4300"
|
|---|
| 2140 | )
|
|---|
| 2141 | *70 (Text
|
|---|
| 2142 | va (VaSet
|
|---|
| 2143 | font "Arial,8,1"
|
|---|
| 2144 | )
|
|---|
| 2145 | xt "500,4500,7500,5500"
|
|---|
| 2146 | st "VhdlComponent"
|
|---|
| 2147 | blo "500,5300"
|
|---|
| 2148 | )
|
|---|
| 2149 | *71 (Text
|
|---|
| 2150 | va (VaSet
|
|---|
| 2151 | font "Arial,8,1"
|
|---|
| 2152 | )
|
|---|
| 2153 | xt "500,5500,2300,6500"
|
|---|
| 2154 | st "U_0"
|
|---|
| 2155 | blo "500,6300"
|
|---|
| 2156 | tm "InstanceNameMgr"
|
|---|
| 2157 | )
|
|---|
| 2158 | ]
|
|---|
| 2159 | )
|
|---|
| 2160 | ga (GenericAssociation
|
|---|
| 2161 | ps "EdgeToEdgeStrategy"
|
|---|
| 2162 | matrix (Matrix
|
|---|
| 2163 | text (MLText
|
|---|
| 2164 | va (VaSet
|
|---|
| 2165 | font "Courier New,8,0"
|
|---|
| 2166 | )
|
|---|
| 2167 | xt "-6500,1500,-6500,1500"
|
|---|
| 2168 | )
|
|---|
| 2169 | header ""
|
|---|
| 2170 | )
|
|---|
| 2171 | elements [
|
|---|
| 2172 | ]
|
|---|
| 2173 | )
|
|---|
| 2174 | portVis (PortSigDisplay
|
|---|
| 2175 | )
|
|---|
| 2176 | entityPath ""
|
|---|
| 2177 | archName ""
|
|---|
| 2178 | archPath ""
|
|---|
| 2179 | )
|
|---|
| 2180 | defaultVerilogComponent (VerilogComponent
|
|---|
| 2181 | shape (Rectangle
|
|---|
| 2182 | va (VaSet
|
|---|
| 2183 | vasetType 1
|
|---|
| 2184 | fg "0,65535,0"
|
|---|
| 2185 | lineColor "0,32896,0"
|
|---|
| 2186 | lineWidth 2
|
|---|
| 2187 | )
|
|---|
| 2188 | xt "-450,0,8450,10000"
|
|---|
| 2189 | )
|
|---|
| 2190 | ttg (MlTextGroup
|
|---|
| 2191 | ps "CenterOffsetStrategy"
|
|---|
| 2192 | stg "VerticalLayoutStrategy"
|
|---|
| 2193 | textVec [
|
|---|
| 2194 | *72 (Text
|
|---|
| 2195 | va (VaSet
|
|---|
| 2196 | font "Arial,8,1"
|
|---|
| 2197 | )
|
|---|
| 2198 | xt "50,3500,2950,4500"
|
|---|
| 2199 | st "Library"
|
|---|
| 2200 | blo "50,4300"
|
|---|
| 2201 | )
|
|---|
| 2202 | *73 (Text
|
|---|
| 2203 | va (VaSet
|
|---|
| 2204 | font "Arial,8,1"
|
|---|
| 2205 | )
|
|---|
| 2206 | xt "50,4500,7950,5500"
|
|---|
| 2207 | st "VerilogComponent"
|
|---|
| 2208 | blo "50,5300"
|
|---|
| 2209 | )
|
|---|
| 2210 | *74 (Text
|
|---|
| 2211 | va (VaSet
|
|---|
| 2212 | font "Arial,8,1"
|
|---|
| 2213 | )
|
|---|
| 2214 | xt "50,5500,1850,6500"
|
|---|
| 2215 | st "U_0"
|
|---|
| 2216 | blo "50,6300"
|
|---|
| 2217 | tm "InstanceNameMgr"
|
|---|
| 2218 | )
|
|---|
| 2219 | ]
|
|---|
| 2220 | )
|
|---|
| 2221 | ga (GenericAssociation
|
|---|
| 2222 | ps "EdgeToEdgeStrategy"
|
|---|
| 2223 | matrix (Matrix
|
|---|
| 2224 | text (MLText
|
|---|
| 2225 | va (VaSet
|
|---|
| 2226 | font "Courier New,8,0"
|
|---|
| 2227 | )
|
|---|
| 2228 | xt "-6950,1500,-6950,1500"
|
|---|
| 2229 | )
|
|---|
| 2230 | header ""
|
|---|
| 2231 | )
|
|---|
| 2232 | elements [
|
|---|
| 2233 | ]
|
|---|
| 2234 | )
|
|---|
| 2235 | entityPath ""
|
|---|
| 2236 | )
|
|---|
| 2237 | defaultHdlText (HdlText
|
|---|
| 2238 | shape (Rectangle
|
|---|
| 2239 | va (VaSet
|
|---|
| 2240 | vasetType 1
|
|---|
| 2241 | fg "65535,65535,37120"
|
|---|
| 2242 | lineColor "0,0,32768"
|
|---|
| 2243 | lineWidth 2
|
|---|
| 2244 | )
|
|---|
| 2245 | xt "0,0,8000,10000"
|
|---|
| 2246 | )
|
|---|
| 2247 | ttg (MlTextGroup
|
|---|
| 2248 | ps "CenterOffsetStrategy"
|
|---|
| 2249 | stg "VerticalLayoutStrategy"
|
|---|
| 2250 | textVec [
|
|---|
| 2251 | *75 (Text
|
|---|
| 2252 | va (VaSet
|
|---|
| 2253 | font "Arial,8,1"
|
|---|
| 2254 | )
|
|---|
| 2255 | xt "3150,4000,4850,5000"
|
|---|
| 2256 | st "eb1"
|
|---|
| 2257 | blo "3150,4800"
|
|---|
| 2258 | tm "HdlTextNameMgr"
|
|---|
| 2259 | )
|
|---|
| 2260 | *76 (Text
|
|---|
| 2261 | va (VaSet
|
|---|
| 2262 | font "Arial,8,1"
|
|---|
| 2263 | )
|
|---|
| 2264 | xt "3150,5000,3950,6000"
|
|---|
| 2265 | st "1"
|
|---|
| 2266 | blo "3150,5800"
|
|---|
| 2267 | tm "HdlTextNumberMgr"
|
|---|
| 2268 | )
|
|---|
| 2269 | ]
|
|---|
| 2270 | )
|
|---|
| 2271 | viewicon (ZoomableIcon
|
|---|
| 2272 | sl 0
|
|---|
| 2273 | va (VaSet
|
|---|
| 2274 | vasetType 1
|
|---|
| 2275 | fg "49152,49152,49152"
|
|---|
| 2276 | )
|
|---|
| 2277 | xt "0,0,1500,1500"
|
|---|
| 2278 | iconName "UnknownFile.png"
|
|---|
| 2279 | iconMaskName "UnknownFile.msk"
|
|---|
| 2280 | )
|
|---|
| 2281 | viewiconposition 0
|
|---|
| 2282 | )
|
|---|
| 2283 | defaultEmbeddedText (EmbeddedText
|
|---|
| 2284 | commentText (CommentText
|
|---|
| 2285 | ps "CenterOffsetStrategy"
|
|---|
| 2286 | shape (Rectangle
|
|---|
| 2287 | va (VaSet
|
|---|
| 2288 | vasetType 1
|
|---|
| 2289 | fg "65535,65535,65535"
|
|---|
| 2290 | lineColor "0,0,32768"
|
|---|
| 2291 | lineWidth 2
|
|---|
| 2292 | )
|
|---|
| 2293 | xt "0,0,18000,5000"
|
|---|
| 2294 | )
|
|---|
| 2295 | text (MLText
|
|---|
| 2296 | va (VaSet
|
|---|
| 2297 | )
|
|---|
| 2298 | xt "200,200,2000,1200"
|
|---|
| 2299 | st "
|
|---|
| 2300 | Text
|
|---|
| 2301 | "
|
|---|
| 2302 | tm "HdlTextMgr"
|
|---|
| 2303 | wrapOption 3
|
|---|
| 2304 | visibleHeight 4600
|
|---|
| 2305 | visibleWidth 17600
|
|---|
| 2306 | )
|
|---|
| 2307 | )
|
|---|
| 2308 | )
|
|---|
| 2309 | defaultGlobalConnector (GlobalConnector
|
|---|
| 2310 | shape (Circle
|
|---|
| 2311 | va (VaSet
|
|---|
| 2312 | vasetType 1
|
|---|
| 2313 | fg "65535,65535,0"
|
|---|
| 2314 | )
|
|---|
| 2315 | xt "-1000,-1000,1000,1000"
|
|---|
| 2316 | radius 1000
|
|---|
| 2317 | )
|
|---|
| 2318 | name (Text
|
|---|
| 2319 | va (VaSet
|
|---|
| 2320 | font "Arial,8,1"
|
|---|
| 2321 | )
|
|---|
| 2322 | xt "-500,-500,500,500"
|
|---|
| 2323 | st "G"
|
|---|
| 2324 | blo "-500,300"
|
|---|
| 2325 | )
|
|---|
| 2326 | )
|
|---|
| 2327 | defaultRipper (Ripper
|
|---|
| 2328 | ps "OnConnectorStrategy"
|
|---|
| 2329 | shape (Line2D
|
|---|
| 2330 | pts [
|
|---|
| 2331 | "0,0"
|
|---|
| 2332 | "1000,1000"
|
|---|
| 2333 | ]
|
|---|
| 2334 | va (VaSet
|
|---|
| 2335 | vasetType 1
|
|---|
| 2336 | )
|
|---|
| 2337 | xt "0,0,1000,1000"
|
|---|
| 2338 | )
|
|---|
| 2339 | )
|
|---|
| 2340 | defaultBdJunction (BdJunction
|
|---|
| 2341 | ps "OnConnectorStrategy"
|
|---|
| 2342 | shape (Circle
|
|---|
| 2343 | va (VaSet
|
|---|
| 2344 | vasetType 1
|
|---|
| 2345 | )
|
|---|
| 2346 | xt "-400,-400,400,400"
|
|---|
| 2347 | radius 400
|
|---|
| 2348 | )
|
|---|
| 2349 | )
|
|---|
| 2350 | defaultPortIoIn (PortIoIn
|
|---|
| 2351 | shape (CompositeShape
|
|---|
| 2352 | va (VaSet
|
|---|
| 2353 | vasetType 1
|
|---|
| 2354 | fg "0,0,32768"
|
|---|
| 2355 | )
|
|---|
| 2356 | optionalChildren [
|
|---|
| 2357 | (Pentagon
|
|---|
| 2358 | sl 0
|
|---|
| 2359 | ro 270
|
|---|
| 2360 | xt "-2000,-375,-500,375"
|
|---|
| 2361 | )
|
|---|
| 2362 | (Line
|
|---|
| 2363 | sl 0
|
|---|
| 2364 | ro 270
|
|---|
| 2365 | xt "-500,0,0,0"
|
|---|
| 2366 | pts [
|
|---|
| 2367 | "-500,0"
|
|---|
| 2368 | "0,0"
|
|---|
| 2369 | ]
|
|---|
| 2370 | )
|
|---|
| 2371 | ]
|
|---|
| 2372 | )
|
|---|
| 2373 | stc 0
|
|---|
| 2374 | sf 1
|
|---|
| 2375 | tg (WTG
|
|---|
| 2376 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2377 | stg "STSignalDisplayStrategy"
|
|---|
| 2378 | f (Text
|
|---|
| 2379 | va (VaSet
|
|---|
| 2380 | )
|
|---|
| 2381 | xt "-1375,-1000,-1375,-1000"
|
|---|
| 2382 | ju 2
|
|---|
| 2383 | blo "-1375,-1000"
|
|---|
| 2384 | tm "WireNameMgr"
|
|---|
| 2385 | )
|
|---|
| 2386 | )
|
|---|
| 2387 | )
|
|---|
| 2388 | defaultPortIoOut (PortIoOut
|
|---|
| 2389 | shape (CompositeShape
|
|---|
| 2390 | va (VaSet
|
|---|
| 2391 | vasetType 1
|
|---|
| 2392 | fg "0,0,32768"
|
|---|
| 2393 | )
|
|---|
| 2394 | optionalChildren [
|
|---|
| 2395 | (Pentagon
|
|---|
| 2396 | sl 0
|
|---|
| 2397 | ro 270
|
|---|
| 2398 | xt "500,-375,2000,375"
|
|---|
| 2399 | )
|
|---|
| 2400 | (Line
|
|---|
| 2401 | sl 0
|
|---|
| 2402 | ro 270
|
|---|
| 2403 | xt "0,0,500,0"
|
|---|
| 2404 | pts [
|
|---|
| 2405 | "0,0"
|
|---|
| 2406 | "500,0"
|
|---|
| 2407 | ]
|
|---|
| 2408 | )
|
|---|
| 2409 | ]
|
|---|
| 2410 | )
|
|---|
| 2411 | stc 0
|
|---|
| 2412 | sf 1
|
|---|
| 2413 | tg (WTG
|
|---|
| 2414 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2415 | stg "STSignalDisplayStrategy"
|
|---|
| 2416 | f (Text
|
|---|
| 2417 | va (VaSet
|
|---|
| 2418 | )
|
|---|
| 2419 | xt "625,-1000,625,-1000"
|
|---|
| 2420 | blo "625,-1000"
|
|---|
| 2421 | tm "WireNameMgr"
|
|---|
| 2422 | )
|
|---|
| 2423 | )
|
|---|
| 2424 | )
|
|---|
| 2425 | defaultPortIoInOut (PortIoInOut
|
|---|
| 2426 | shape (CompositeShape
|
|---|
| 2427 | va (VaSet
|
|---|
| 2428 | vasetType 1
|
|---|
| 2429 | fg "0,0,32768"
|
|---|
| 2430 | )
|
|---|
| 2431 | optionalChildren [
|
|---|
| 2432 | (Hexagon
|
|---|
| 2433 | sl 0
|
|---|
| 2434 | xt "500,-375,2000,375"
|
|---|
| 2435 | )
|
|---|
| 2436 | (Line
|
|---|
| 2437 | sl 0
|
|---|
| 2438 | xt "0,0,500,0"
|
|---|
| 2439 | pts [
|
|---|
| 2440 | "0,0"
|
|---|
| 2441 | "500,0"
|
|---|
| 2442 | ]
|
|---|
| 2443 | )
|
|---|
| 2444 | ]
|
|---|
| 2445 | )
|
|---|
| 2446 | stc 0
|
|---|
| 2447 | sf 1
|
|---|
| 2448 | tg (WTG
|
|---|
| 2449 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2450 | stg "STSignalDisplayStrategy"
|
|---|
| 2451 | f (Text
|
|---|
| 2452 | va (VaSet
|
|---|
| 2453 | )
|
|---|
| 2454 | xt "0,-375,0,-375"
|
|---|
| 2455 | blo "0,-375"
|
|---|
| 2456 | tm "WireNameMgr"
|
|---|
| 2457 | )
|
|---|
| 2458 | )
|
|---|
| 2459 | )
|
|---|
| 2460 | defaultPortIoBuffer (PortIoBuffer
|
|---|
| 2461 | shape (CompositeShape
|
|---|
| 2462 | va (VaSet
|
|---|
| 2463 | vasetType 1
|
|---|
| 2464 | fg "65535,65535,65535"
|
|---|
| 2465 | lineColor "0,0,32768"
|
|---|
| 2466 | )
|
|---|
| 2467 | optionalChildren [
|
|---|
| 2468 | (Hexagon
|
|---|
| 2469 | sl 0
|
|---|
| 2470 | xt "500,-375,2000,375"
|
|---|
| 2471 | )
|
|---|
| 2472 | (Line
|
|---|
| 2473 | sl 0
|
|---|
| 2474 | xt "0,0,500,0"
|
|---|
| 2475 | pts [
|
|---|
| 2476 | "0,0"
|
|---|
| 2477 | "500,0"
|
|---|
| 2478 | ]
|
|---|
| 2479 | )
|
|---|
| 2480 | ]
|
|---|
| 2481 | )
|
|---|
| 2482 | stc 0
|
|---|
| 2483 | sf 1
|
|---|
| 2484 | tg (WTG
|
|---|
| 2485 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2486 | stg "STSignalDisplayStrategy"
|
|---|
| 2487 | f (Text
|
|---|
| 2488 | va (VaSet
|
|---|
| 2489 | )
|
|---|
| 2490 | xt "0,-375,0,-375"
|
|---|
| 2491 | blo "0,-375"
|
|---|
| 2492 | tm "WireNameMgr"
|
|---|
| 2493 | )
|
|---|
| 2494 | )
|
|---|
| 2495 | )
|
|---|
| 2496 | defaultSignal (Wire
|
|---|
| 2497 | shape (OrthoPolyLine
|
|---|
| 2498 | va (VaSet
|
|---|
| 2499 | vasetType 3
|
|---|
| 2500 | )
|
|---|
| 2501 | pts [
|
|---|
| 2502 | "0,0"
|
|---|
| 2503 | "0,0"
|
|---|
| 2504 | ]
|
|---|
| 2505 | )
|
|---|
| 2506 | ss 0
|
|---|
| 2507 | es 0
|
|---|
| 2508 | sat 32
|
|---|
| 2509 | eat 32
|
|---|
| 2510 | st 0
|
|---|
| 2511 | sf 1
|
|---|
| 2512 | si 0
|
|---|
| 2513 | tg (WTG
|
|---|
| 2514 | ps "ConnStartEndStrategy"
|
|---|
| 2515 | stg "STSignalDisplayStrategy"
|
|---|
| 2516 | f (Text
|
|---|
| 2517 | va (VaSet
|
|---|
| 2518 | )
|
|---|
| 2519 | xt "0,0,1900,1000"
|
|---|
| 2520 | st "sig0"
|
|---|
| 2521 | blo "0,800"
|
|---|
| 2522 | tm "WireNameMgr"
|
|---|
| 2523 | )
|
|---|
| 2524 | )
|
|---|
| 2525 | )
|
|---|
| 2526 | defaultBus (Wire
|
|---|
| 2527 | shape (OrthoPolyLine
|
|---|
| 2528 | va (VaSet
|
|---|
| 2529 | vasetType 3
|
|---|
| 2530 | lineWidth 2
|
|---|
| 2531 | )
|
|---|
| 2532 | pts [
|
|---|
| 2533 | "0,0"
|
|---|
| 2534 | "0,0"
|
|---|
| 2535 | ]
|
|---|
| 2536 | )
|
|---|
| 2537 | ss 0
|
|---|
| 2538 | es 0
|
|---|
| 2539 | sat 32
|
|---|
| 2540 | eat 32
|
|---|
| 2541 | sty 1
|
|---|
| 2542 | st 0
|
|---|
| 2543 | sf 1
|
|---|
| 2544 | si 0
|
|---|
| 2545 | tg (WTG
|
|---|
| 2546 | ps "ConnStartEndStrategy"
|
|---|
| 2547 | stg "STSignalDisplayStrategy"
|
|---|
| 2548 | f (Text
|
|---|
| 2549 | va (VaSet
|
|---|
| 2550 | )
|
|---|
| 2551 | xt "0,0,2400,1000"
|
|---|
| 2552 | st "dbus0"
|
|---|
| 2553 | blo "0,800"
|
|---|
| 2554 | tm "WireNameMgr"
|
|---|
| 2555 | )
|
|---|
| 2556 | )
|
|---|
| 2557 | )
|
|---|
| 2558 | defaultBundle (Bundle
|
|---|
| 2559 | shape (OrthoPolyLine
|
|---|
| 2560 | va (VaSet
|
|---|
| 2561 | vasetType 3
|
|---|
| 2562 | lineColor "32768,0,0"
|
|---|
| 2563 | lineWidth 2
|
|---|
| 2564 | )
|
|---|
| 2565 | pts [
|
|---|
| 2566 | "0,0"
|
|---|
| 2567 | "0,0"
|
|---|
| 2568 | ]
|
|---|
| 2569 | )
|
|---|
| 2570 | ss 0
|
|---|
| 2571 | es 0
|
|---|
| 2572 | sat 32
|
|---|
| 2573 | eat 32
|
|---|
| 2574 | textGroup (BiTextGroup
|
|---|
| 2575 | ps "ConnStartEndStrategy"
|
|---|
| 2576 | stg "VerticalLayoutStrategy"
|
|---|
| 2577 | first (Text
|
|---|
| 2578 | va (VaSet
|
|---|
| 2579 | )
|
|---|
| 2580 | xt "0,0,3000,1000"
|
|---|
| 2581 | st "bundle0"
|
|---|
| 2582 | blo "0,800"
|
|---|
| 2583 | tm "BundleNameMgr"
|
|---|
| 2584 | )
|
|---|
| 2585 | second (MLText
|
|---|
| 2586 | va (VaSet
|
|---|
| 2587 | )
|
|---|
| 2588 | xt "0,1000,1000,2000"
|
|---|
| 2589 | st "()"
|
|---|
| 2590 | tm "BundleContentsMgr"
|
|---|
| 2591 | )
|
|---|
| 2592 | )
|
|---|
| 2593 | bundleNet &0
|
|---|
| 2594 | )
|
|---|
| 2595 | defaultPortMapFrame (PortMapFrame
|
|---|
| 2596 | ps "PortMapFrameStrategy"
|
|---|
| 2597 | shape (RectFrame
|
|---|
| 2598 | va (VaSet
|
|---|
| 2599 | vasetType 1
|
|---|
| 2600 | fg "65535,65535,65535"
|
|---|
| 2601 | lineColor "0,0,32768"
|
|---|
| 2602 | lineWidth 2
|
|---|
| 2603 | )
|
|---|
| 2604 | xt "0,0,10000,12000"
|
|---|
| 2605 | )
|
|---|
| 2606 | portMapText (BiTextGroup
|
|---|
| 2607 | ps "BottomRightOffsetStrategy"
|
|---|
| 2608 | stg "VerticalLayoutStrategy"
|
|---|
| 2609 | first (MLText
|
|---|
| 2610 | va (VaSet
|
|---|
| 2611 | )
|
|---|
| 2612 | )
|
|---|
| 2613 | second (MLText
|
|---|
| 2614 | va (VaSet
|
|---|
| 2615 | )
|
|---|
| 2616 | tm "PortMapTextMgr"
|
|---|
| 2617 | )
|
|---|
| 2618 | )
|
|---|
| 2619 | )
|
|---|
| 2620 | defaultGenFrame (Frame
|
|---|
| 2621 | shape (RectFrame
|
|---|
| 2622 | va (VaSet
|
|---|
| 2623 | vasetType 1
|
|---|
| 2624 | fg "65535,65535,65535"
|
|---|
| 2625 | lineColor "26368,26368,26368"
|
|---|
| 2626 | lineStyle 2
|
|---|
| 2627 | lineWidth 3
|
|---|
| 2628 | )
|
|---|
| 2629 | xt "0,0,20000,20000"
|
|---|
| 2630 | )
|
|---|
| 2631 | title (TextAssociate
|
|---|
| 2632 | ps "TopLeftStrategy"
|
|---|
| 2633 | text (MLText
|
|---|
| 2634 | va (VaSet
|
|---|
| 2635 | )
|
|---|
| 2636 | xt "0,-1100,12600,-100"
|
|---|
| 2637 | st "g0: FOR i IN 0 TO n GENERATE"
|
|---|
| 2638 | tm "FrameTitleTextMgr"
|
|---|
| 2639 | )
|
|---|
| 2640 | )
|
|---|
| 2641 | seqNum (FrameSequenceNumber
|
|---|
| 2642 | ps "TopLeftStrategy"
|
|---|
| 2643 | shape (Rectangle
|
|---|
| 2644 | va (VaSet
|
|---|
| 2645 | vasetType 1
|
|---|
| 2646 | fg "65535,65535,65535"
|
|---|
| 2647 | )
|
|---|
| 2648 | xt "50,50,1250,1450"
|
|---|
| 2649 | )
|
|---|
| 2650 | num (Text
|
|---|
| 2651 | va (VaSet
|
|---|
| 2652 | )
|
|---|
| 2653 | xt "250,250,1050,1250"
|
|---|
| 2654 | st "1"
|
|---|
| 2655 | blo "250,1050"
|
|---|
| 2656 | tm "FrameSeqNumMgr"
|
|---|
| 2657 | )
|
|---|
| 2658 | )
|
|---|
| 2659 | decls (MlTextGroup
|
|---|
| 2660 | ps "BottomRightOffsetStrategy"
|
|---|
| 2661 | stg "VerticalLayoutStrategy"
|
|---|
| 2662 | textVec [
|
|---|
| 2663 | *77 (Text
|
|---|
| 2664 | va (VaSet
|
|---|
| 2665 | font "Arial,8,1"
|
|---|
| 2666 | )
|
|---|
| 2667 | xt "14100,20000,22000,21000"
|
|---|
| 2668 | st "Frame Declarations"
|
|---|
| 2669 | blo "14100,20800"
|
|---|
| 2670 | )
|
|---|
| 2671 | *78 (MLText
|
|---|
| 2672 | va (VaSet
|
|---|
| 2673 | )
|
|---|
| 2674 | xt "14100,21000,14100,21000"
|
|---|
| 2675 | tm "BdFrameDeclTextMgr"
|
|---|
| 2676 | )
|
|---|
| 2677 | ]
|
|---|
| 2678 | )
|
|---|
| 2679 | )
|
|---|
| 2680 | defaultBlockFrame (Frame
|
|---|
| 2681 | shape (RectFrame
|
|---|
| 2682 | va (VaSet
|
|---|
| 2683 | vasetType 1
|
|---|
| 2684 | fg "65535,65535,65535"
|
|---|
| 2685 | lineColor "26368,26368,26368"
|
|---|
| 2686 | lineStyle 1
|
|---|
| 2687 | lineWidth 3
|
|---|
| 2688 | )
|
|---|
| 2689 | xt "0,0,20000,20000"
|
|---|
| 2690 | )
|
|---|
| 2691 | title (TextAssociate
|
|---|
| 2692 | ps "TopLeftStrategy"
|
|---|
| 2693 | text (MLText
|
|---|
| 2694 | va (VaSet
|
|---|
| 2695 | )
|
|---|
| 2696 | xt "0,-1100,7400,-100"
|
|---|
| 2697 | st "b0: BLOCK (guard)"
|
|---|
| 2698 | tm "FrameTitleTextMgr"
|
|---|
| 2699 | )
|
|---|
| 2700 | )
|
|---|
| 2701 | seqNum (FrameSequenceNumber
|
|---|
| 2702 | ps "TopLeftStrategy"
|
|---|
| 2703 | shape (Rectangle
|
|---|
| 2704 | va (VaSet
|
|---|
| 2705 | vasetType 1
|
|---|
| 2706 | fg "65535,65535,65535"
|
|---|
| 2707 | )
|
|---|
| 2708 | xt "50,50,1250,1450"
|
|---|
| 2709 | )
|
|---|
| 2710 | num (Text
|
|---|
| 2711 | va (VaSet
|
|---|
| 2712 | )
|
|---|
| 2713 | xt "250,250,1050,1250"
|
|---|
| 2714 | st "1"
|
|---|
| 2715 | blo "250,1050"
|
|---|
| 2716 | tm "FrameSeqNumMgr"
|
|---|
| 2717 | )
|
|---|
| 2718 | )
|
|---|
| 2719 | decls (MlTextGroup
|
|---|
| 2720 | ps "BottomRightOffsetStrategy"
|
|---|
| 2721 | stg "VerticalLayoutStrategy"
|
|---|
| 2722 | textVec [
|
|---|
| 2723 | *79 (Text
|
|---|
| 2724 | va (VaSet
|
|---|
| 2725 | font "Arial,8,1"
|
|---|
| 2726 | )
|
|---|
| 2727 | xt "14100,20000,22000,21000"
|
|---|
| 2728 | st "Frame Declarations"
|
|---|
| 2729 | blo "14100,20800"
|
|---|
| 2730 | )
|
|---|
| 2731 | *80 (MLText
|
|---|
| 2732 | va (VaSet
|
|---|
| 2733 | )
|
|---|
| 2734 | xt "14100,21000,14100,21000"
|
|---|
| 2735 | tm "BdFrameDeclTextMgr"
|
|---|
| 2736 | )
|
|---|
| 2737 | ]
|
|---|
| 2738 | )
|
|---|
| 2739 | style 3
|
|---|
| 2740 | )
|
|---|
| 2741 | defaultSaCptPort (CptPort
|
|---|
| 2742 | ps "OnEdgeStrategy"
|
|---|
| 2743 | shape (Triangle
|
|---|
| 2744 | ro 90
|
|---|
| 2745 | va (VaSet
|
|---|
| 2746 | vasetType 1
|
|---|
| 2747 | fg "0,65535,0"
|
|---|
| 2748 | )
|
|---|
| 2749 | xt "0,0,750,750"
|
|---|
| 2750 | )
|
|---|
| 2751 | tg (CPTG
|
|---|
| 2752 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2753 | stg "VerticalLayoutStrategy"
|
|---|
| 2754 | f (Text
|
|---|
| 2755 | va (VaSet
|
|---|
| 2756 | )
|
|---|
| 2757 | xt "0,750,1800,1750"
|
|---|
| 2758 | st "Port"
|
|---|
| 2759 | blo "0,1550"
|
|---|
| 2760 | )
|
|---|
| 2761 | )
|
|---|
| 2762 | thePort (LogicalPort
|
|---|
| 2763 | decl (Decl
|
|---|
| 2764 | n "Port"
|
|---|
| 2765 | t ""
|
|---|
| 2766 | o 0
|
|---|
| 2767 | )
|
|---|
| 2768 | )
|
|---|
| 2769 | )
|
|---|
| 2770 | defaultSaCptPortBuffer (CptPort
|
|---|
| 2771 | ps "OnEdgeStrategy"
|
|---|
| 2772 | shape (Diamond
|
|---|
| 2773 | va (VaSet
|
|---|
| 2774 | vasetType 1
|
|---|
| 2775 | fg "65535,65535,65535"
|
|---|
| 2776 | )
|
|---|
| 2777 | xt "0,0,750,750"
|
|---|
| 2778 | )
|
|---|
| 2779 | tg (CPTG
|
|---|
| 2780 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2781 | stg "VerticalLayoutStrategy"
|
|---|
| 2782 | f (Text
|
|---|
| 2783 | va (VaSet
|
|---|
| 2784 | )
|
|---|
| 2785 | xt "0,750,1800,1750"
|
|---|
| 2786 | st "Port"
|
|---|
| 2787 | blo "0,1550"
|
|---|
| 2788 | )
|
|---|
| 2789 | )
|
|---|
| 2790 | thePort (LogicalPort
|
|---|
| 2791 | m 3
|
|---|
| 2792 | decl (Decl
|
|---|
| 2793 | n "Port"
|
|---|
| 2794 | t ""
|
|---|
| 2795 | o 0
|
|---|
| 2796 | )
|
|---|
| 2797 | )
|
|---|
| 2798 | )
|
|---|
| 2799 | defaultDeclText (MLText
|
|---|
| 2800 | va (VaSet
|
|---|
| 2801 | font "Courier New,8,0"
|
|---|
| 2802 | )
|
|---|
| 2803 | )
|
|---|
| 2804 | archDeclarativeBlock (BdArchDeclBlock
|
|---|
| 2805 | uid 1,0
|
|---|
| 2806 | stg "BdArchDeclBlockLS"
|
|---|
| 2807 | declLabel (Text
|
|---|
| 2808 | uid 2,0
|
|---|
| 2809 | va (VaSet
|
|---|
| 2810 | font "Arial,8,1"
|
|---|
| 2811 | )
|
|---|
| 2812 | xt "20000,0,25400,1000"
|
|---|
| 2813 | st "Declarations"
|
|---|
| 2814 | blo "20000,800"
|
|---|
| 2815 | )
|
|---|
| 2816 | portLabel (Text
|
|---|
| 2817 | uid 3,0
|
|---|
| 2818 | va (VaSet
|
|---|
| 2819 | font "Arial,8,1"
|
|---|
| 2820 | )
|
|---|
| 2821 | xt "20000,1000,22700,2000"
|
|---|
| 2822 | st "Ports:"
|
|---|
| 2823 | blo "20000,1800"
|
|---|
| 2824 | )
|
|---|
| 2825 | preUserLabel (Text
|
|---|
| 2826 | uid 4,0
|
|---|
| 2827 | va (VaSet
|
|---|
| 2828 | isHidden 1
|
|---|
| 2829 | font "Arial,8,1"
|
|---|
| 2830 | )
|
|---|
| 2831 | xt "20000,0,23800,1000"
|
|---|
| 2832 | st "Pre User:"
|
|---|
| 2833 | blo "20000,800"
|
|---|
| 2834 | )
|
|---|
| 2835 | preUserText (MLText
|
|---|
| 2836 | uid 5,0
|
|---|
| 2837 | va (VaSet
|
|---|
| 2838 | isHidden 1
|
|---|
| 2839 | font "Courier New,8,0"
|
|---|
| 2840 | )
|
|---|
| 2841 | xt "20000,0,20000,0"
|
|---|
| 2842 | tm "BdDeclarativeTextMgr"
|
|---|
| 2843 | )
|
|---|
| 2844 | diagSignalLabel (Text
|
|---|
| 2845 | uid 6,0
|
|---|
| 2846 | va (VaSet
|
|---|
| 2847 | font "Arial,8,1"
|
|---|
| 2848 | )
|
|---|
| 2849 | xt "20000,2000,27100,3000"
|
|---|
| 2850 | st "Diagram Signals:"
|
|---|
| 2851 | blo "20000,2800"
|
|---|
| 2852 | )
|
|---|
| 2853 | postUserLabel (Text
|
|---|
| 2854 | uid 7,0
|
|---|
| 2855 | va (VaSet
|
|---|
| 2856 | isHidden 1
|
|---|
| 2857 | font "Arial,8,1"
|
|---|
| 2858 | )
|
|---|
| 2859 | xt "20000,0,24700,1000"
|
|---|
| 2860 | st "Post User:"
|
|---|
| 2861 | blo "20000,800"
|
|---|
| 2862 | )
|
|---|
| 2863 | postUserText (MLText
|
|---|
| 2864 | uid 8,0
|
|---|
| 2865 | va (VaSet
|
|---|
| 2866 | isHidden 1
|
|---|
| 2867 | font "Courier New,8,0"
|
|---|
| 2868 | )
|
|---|
| 2869 | xt "20000,0,20000,0"
|
|---|
| 2870 | tm "BdDeclarativeTextMgr"
|
|---|
| 2871 | )
|
|---|
| 2872 | )
|
|---|
| 2873 | commonDM (CommonDM
|
|---|
| 2874 | ldm (LogicalDM
|
|---|
| 2875 | suid 9,0
|
|---|
| 2876 | usingSuid 1
|
|---|
| 2877 | emptyRow *81 (LEmptyRow
|
|---|
| 2878 | )
|
|---|
| 2879 | uid 188,0
|
|---|
| 2880 | optionalChildren [
|
|---|
| 2881 | *82 (RefLabelRowHdr
|
|---|
| 2882 | )
|
|---|
| 2883 | *83 (TitleRowHdr
|
|---|
| 2884 | )
|
|---|
| 2885 | *84 (FilterRowHdr
|
|---|
| 2886 | )
|
|---|
| 2887 | *85 (RefLabelColHdr
|
|---|
| 2888 | tm "RefLabelColHdrMgr"
|
|---|
| 2889 | )
|
|---|
| 2890 | *86 (RowExpandColHdr
|
|---|
| 2891 | tm "RowExpandColHdrMgr"
|
|---|
| 2892 | )
|
|---|
| 2893 | *87 (GroupColHdr
|
|---|
| 2894 | tm "GroupColHdrMgr"
|
|---|
| 2895 | )
|
|---|
| 2896 | *88 (NameColHdr
|
|---|
| 2897 | tm "BlockDiagramNameColHdrMgr"
|
|---|
| 2898 | )
|
|---|
| 2899 | *89 (ModeColHdr
|
|---|
| 2900 | tm "BlockDiagramModeColHdrMgr"
|
|---|
| 2901 | )
|
|---|
| 2902 | *90 (TypeColHdr
|
|---|
| 2903 | tm "BlockDiagramTypeColHdrMgr"
|
|---|
| 2904 | )
|
|---|
| 2905 | *91 (BoundsColHdr
|
|---|
| 2906 | tm "BlockDiagramBoundsColHdrMgr"
|
|---|
| 2907 | )
|
|---|
| 2908 | *92 (InitColHdr
|
|---|
| 2909 | tm "BlockDiagramInitColHdrMgr"
|
|---|
| 2910 | )
|
|---|
| 2911 | *93 (EolColHdr
|
|---|
| 2912 | tm "BlockDiagramEolColHdrMgr"
|
|---|
| 2913 | )
|
|---|
| 2914 | *94 (LeafLogPort
|
|---|
| 2915 | port (LogicalPort
|
|---|
| 2916 | m 4
|
|---|
| 2917 | decl (Decl
|
|---|
| 2918 | n "time_o"
|
|---|
| 2919 | t "std_logic_vector"
|
|---|
| 2920 | b "( TIMER_WIDTH-1 downto 0)"
|
|---|
| 2921 | o 1
|
|---|
| 2922 | suid 1,0
|
|---|
| 2923 | )
|
|---|
| 2924 | )
|
|---|
| 2925 | uid 165,0
|
|---|
| 2926 | )
|
|---|
| 2927 | *95 (LeafLogPort
|
|---|
| 2928 | port (LogicalPort
|
|---|
| 2929 | m 4
|
|---|
| 2930 | decl (Decl
|
|---|
| 2931 | n "synched_o"
|
|---|
| 2932 | t "std_logic"
|
|---|
| 2933 | o 2
|
|---|
| 2934 | suid 2,0
|
|---|
| 2935 | i "'0'"
|
|---|
| 2936 | )
|
|---|
| 2937 | )
|
|---|
| 2938 | uid 167,0
|
|---|
| 2939 | )
|
|---|
| 2940 | *96 (LeafLogPort
|
|---|
| 2941 | port (LogicalPort
|
|---|
| 2942 | m 4
|
|---|
| 2943 | decl (Decl
|
|---|
| 2944 | n "synch_i"
|
|---|
| 2945 | t "std_logic"
|
|---|
| 2946 | o 4
|
|---|
| 2947 | suid 4,0
|
|---|
| 2948 | )
|
|---|
| 2949 | )
|
|---|
| 2950 | uid 171,0
|
|---|
| 2951 | )
|
|---|
| 2952 | *97 (LeafLogPort
|
|---|
| 2953 | port (LogicalPort
|
|---|
| 2954 | m 4
|
|---|
| 2955 | decl (Decl
|
|---|
| 2956 | n "enable_i"
|
|---|
| 2957 | t "std_logic"
|
|---|
| 2958 | o 5
|
|---|
| 2959 | suid 5,0
|
|---|
| 2960 | )
|
|---|
| 2961 | )
|
|---|
| 2962 | uid 173,0
|
|---|
| 2963 | )
|
|---|
| 2964 | *98 (LeafLogPort
|
|---|
| 2965 | port (LogicalPort
|
|---|
| 2966 | m 4
|
|---|
| 2967 | decl (Decl
|
|---|
| 2968 | n "clk"
|
|---|
| 2969 | t "std_logic"
|
|---|
| 2970 | o 5
|
|---|
| 2971 | suid 8,0
|
|---|
| 2972 | )
|
|---|
| 2973 | )
|
|---|
| 2974 | uid 584,0
|
|---|
| 2975 | )
|
|---|
| 2976 | *99 (LeafLogPort
|
|---|
| 2977 | port (LogicalPort
|
|---|
| 2978 | m 4
|
|---|
| 2979 | decl (Decl
|
|---|
| 2980 | n "reset_synch_i"
|
|---|
| 2981 | t "std_logic"
|
|---|
| 2982 | o 6
|
|---|
| 2983 | suid 9,0
|
|---|
| 2984 | )
|
|---|
| 2985 | )
|
|---|
| 2986 | uid 586,0
|
|---|
| 2987 | )
|
|---|
| 2988 | ]
|
|---|
| 2989 | )
|
|---|
| 2990 | pdm (PhysicalDM
|
|---|
| 2991 | displayShortBounds 1
|
|---|
| 2992 | editShortBounds 1
|
|---|
| 2993 | uid 201,0
|
|---|
| 2994 | optionalChildren [
|
|---|
| 2995 | *100 (Sheet
|
|---|
| 2996 | sheetRow (SheetRow
|
|---|
| 2997 | headerVa (MVa
|
|---|
| 2998 | cellColor "49152,49152,49152"
|
|---|
| 2999 | fontColor "0,0,0"
|
|---|
| 3000 | font "Tahoma,10,0"
|
|---|
| 3001 | )
|
|---|
| 3002 | cellVa (MVa
|
|---|
| 3003 | cellColor "65535,65535,65535"
|
|---|
| 3004 | fontColor "0,0,0"
|
|---|
| 3005 | font "Tahoma,10,0"
|
|---|
| 3006 | )
|
|---|
| 3007 | groupVa (MVa
|
|---|
| 3008 | cellColor "39936,56832,65280"
|
|---|
| 3009 | fontColor "0,0,0"
|
|---|
| 3010 | font "Tahoma,10,0"
|
|---|
| 3011 | )
|
|---|
| 3012 | emptyMRCItem *101 (MRCItem
|
|---|
| 3013 | litem &81
|
|---|
| 3014 | pos 6
|
|---|
| 3015 | dimension 20
|
|---|
| 3016 | )
|
|---|
| 3017 | uid 203,0
|
|---|
| 3018 | optionalChildren [
|
|---|
| 3019 | *102 (MRCItem
|
|---|
| 3020 | litem &82
|
|---|
| 3021 | pos 0
|
|---|
| 3022 | dimension 20
|
|---|
| 3023 | uid 204,0
|
|---|
| 3024 | )
|
|---|
| 3025 | *103 (MRCItem
|
|---|
| 3026 | litem &83
|
|---|
| 3027 | pos 1
|
|---|
| 3028 | dimension 23
|
|---|
| 3029 | uid 205,0
|
|---|
| 3030 | )
|
|---|
| 3031 | *104 (MRCItem
|
|---|
| 3032 | litem &84
|
|---|
| 3033 | pos 2
|
|---|
| 3034 | hidden 1
|
|---|
| 3035 | dimension 20
|
|---|
| 3036 | uid 206,0
|
|---|
| 3037 | )
|
|---|
| 3038 | *105 (MRCItem
|
|---|
| 3039 | litem &94
|
|---|
| 3040 | pos 0
|
|---|
| 3041 | dimension 20
|
|---|
| 3042 | uid 166,0
|
|---|
| 3043 | )
|
|---|
| 3044 | *106 (MRCItem
|
|---|
| 3045 | litem &95
|
|---|
| 3046 | pos 1
|
|---|
| 3047 | dimension 20
|
|---|
| 3048 | uid 168,0
|
|---|
| 3049 | )
|
|---|
| 3050 | *107 (MRCItem
|
|---|
| 3051 | litem &96
|
|---|
| 3052 | pos 2
|
|---|
| 3053 | dimension 20
|
|---|
| 3054 | uid 172,0
|
|---|
| 3055 | )
|
|---|
| 3056 | *108 (MRCItem
|
|---|
| 3057 | litem &97
|
|---|
| 3058 | pos 3
|
|---|
| 3059 | dimension 20
|
|---|
| 3060 | uid 174,0
|
|---|
| 3061 | )
|
|---|
| 3062 | *109 (MRCItem
|
|---|
| 3063 | litem &98
|
|---|
| 3064 | pos 4
|
|---|
| 3065 | dimension 20
|
|---|
| 3066 | uid 585,0
|
|---|
| 3067 | )
|
|---|
| 3068 | *110 (MRCItem
|
|---|
| 3069 | litem &99
|
|---|
| 3070 | pos 5
|
|---|
| 3071 | dimension 20
|
|---|
| 3072 | uid 587,0
|
|---|
| 3073 | )
|
|---|
| 3074 | ]
|
|---|
| 3075 | )
|
|---|
| 3076 | sheetCol (SheetCol
|
|---|
| 3077 | propVa (MVa
|
|---|
| 3078 | cellColor "0,49152,49152"
|
|---|
| 3079 | fontColor "0,0,0"
|
|---|
| 3080 | font "Tahoma,10,0"
|
|---|
| 3081 | textAngle 90
|
|---|
| 3082 | )
|
|---|
| 3083 | uid 207,0
|
|---|
| 3084 | optionalChildren [
|
|---|
| 3085 | *111 (MRCItem
|
|---|
| 3086 | litem &85
|
|---|
| 3087 | pos 0
|
|---|
| 3088 | dimension 20
|
|---|
| 3089 | uid 208,0
|
|---|
| 3090 | )
|
|---|
| 3091 | *112 (MRCItem
|
|---|
| 3092 | litem &87
|
|---|
| 3093 | pos 1
|
|---|
| 3094 | dimension 50
|
|---|
| 3095 | uid 209,0
|
|---|
| 3096 | )
|
|---|
| 3097 | *113 (MRCItem
|
|---|
| 3098 | litem &88
|
|---|
| 3099 | pos 2
|
|---|
| 3100 | dimension 100
|
|---|
| 3101 | uid 210,0
|
|---|
| 3102 | )
|
|---|
| 3103 | *114 (MRCItem
|
|---|
| 3104 | litem &89
|
|---|
| 3105 | pos 3
|
|---|
| 3106 | dimension 50
|
|---|
| 3107 | uid 211,0
|
|---|
| 3108 | )
|
|---|
| 3109 | *115 (MRCItem
|
|---|
| 3110 | litem &90
|
|---|
| 3111 | pos 4
|
|---|
| 3112 | dimension 100
|
|---|
| 3113 | uid 212,0
|
|---|
| 3114 | )
|
|---|
| 3115 | *116 (MRCItem
|
|---|
| 3116 | litem &91
|
|---|
| 3117 | pos 5
|
|---|
| 3118 | dimension 100
|
|---|
| 3119 | uid 213,0
|
|---|
| 3120 | )
|
|---|
| 3121 | *117 (MRCItem
|
|---|
| 3122 | litem &92
|
|---|
| 3123 | pos 6
|
|---|
| 3124 | dimension 50
|
|---|
| 3125 | uid 214,0
|
|---|
| 3126 | )
|
|---|
| 3127 | *118 (MRCItem
|
|---|
| 3128 | litem &93
|
|---|
| 3129 | pos 7
|
|---|
| 3130 | dimension 80
|
|---|
| 3131 | uid 215,0
|
|---|
| 3132 | )
|
|---|
| 3133 | ]
|
|---|
| 3134 | )
|
|---|
| 3135 | fixedCol 4
|
|---|
| 3136 | fixedRow 2
|
|---|
| 3137 | name "Ports"
|
|---|
| 3138 | uid 202,0
|
|---|
| 3139 | vaOverrides [
|
|---|
| 3140 | ]
|
|---|
| 3141 | )
|
|---|
| 3142 | ]
|
|---|
| 3143 | )
|
|---|
| 3144 | uid 187,0
|
|---|
| 3145 | )
|
|---|
| 3146 | genericsCommonDM (CommonDM
|
|---|
| 3147 | ldm (LogicalDM
|
|---|
| 3148 | emptyRow *119 (LEmptyRow
|
|---|
| 3149 | )
|
|---|
| 3150 | uid 217,0
|
|---|
| 3151 | optionalChildren [
|
|---|
| 3152 | *120 (RefLabelRowHdr
|
|---|
| 3153 | )
|
|---|
| 3154 | *121 (TitleRowHdr
|
|---|
| 3155 | )
|
|---|
| 3156 | *122 (FilterRowHdr
|
|---|
| 3157 | )
|
|---|
| 3158 | *123 (RefLabelColHdr
|
|---|
| 3159 | tm "RefLabelColHdrMgr"
|
|---|
| 3160 | )
|
|---|
| 3161 | *124 (RowExpandColHdr
|
|---|
| 3162 | tm "RowExpandColHdrMgr"
|
|---|
| 3163 | )
|
|---|
| 3164 | *125 (GroupColHdr
|
|---|
| 3165 | tm "GroupColHdrMgr"
|
|---|
| 3166 | )
|
|---|
| 3167 | *126 (NameColHdr
|
|---|
| 3168 | tm "GenericNameColHdrMgr"
|
|---|
| 3169 | )
|
|---|
| 3170 | *127 (TypeColHdr
|
|---|
| 3171 | tm "GenericTypeColHdrMgr"
|
|---|
| 3172 | )
|
|---|
| 3173 | *128 (InitColHdr
|
|---|
| 3174 | tm "GenericValueColHdrMgr"
|
|---|
| 3175 | )
|
|---|
| 3176 | *129 (PragmaColHdr
|
|---|
| 3177 | tm "GenericPragmaColHdrMgr"
|
|---|
| 3178 | )
|
|---|
| 3179 | *130 (EolColHdr
|
|---|
| 3180 | tm "GenericEolColHdrMgr"
|
|---|
| 3181 | )
|
|---|
| 3182 | *131 (LogGeneric
|
|---|
| 3183 | generic (GiElement
|
|---|
| 3184 | name "TIMER_WIDTH"
|
|---|
| 3185 | type "integer"
|
|---|
| 3186 | value "32"
|
|---|
| 3187 | )
|
|---|
| 3188 | uid 9,0
|
|---|
| 3189 | )
|
|---|
| 3190 | *132 (LogGeneric
|
|---|
| 3191 | generic (GiElement
|
|---|
| 3192 | name "PRESCALER"
|
|---|
| 3193 | type "integer"
|
|---|
| 3194 | value "2500"
|
|---|
| 3195 | )
|
|---|
| 3196 | uid 11,0
|
|---|
| 3197 | )
|
|---|
| 3198 | ]
|
|---|
| 3199 | )
|
|---|
| 3200 | pdm (PhysicalDM
|
|---|
| 3201 | displayShortBounds 1
|
|---|
| 3202 | editShortBounds 1
|
|---|
| 3203 | uid 229,0
|
|---|
| 3204 | optionalChildren [
|
|---|
| 3205 | *133 (Sheet
|
|---|
| 3206 | sheetRow (SheetRow
|
|---|
| 3207 | headerVa (MVa
|
|---|
| 3208 | cellColor "49152,49152,49152"
|
|---|
| 3209 | fontColor "0,0,0"
|
|---|
| 3210 | font "Tahoma,10,0"
|
|---|
| 3211 | )
|
|---|
| 3212 | cellVa (MVa
|
|---|
| 3213 | cellColor "65535,65535,65535"
|
|---|
| 3214 | fontColor "0,0,0"
|
|---|
| 3215 | font "Tahoma,10,0"
|
|---|
| 3216 | )
|
|---|
| 3217 | groupVa (MVa
|
|---|
| 3218 | cellColor "39936,56832,65280"
|
|---|
| 3219 | fontColor "0,0,0"
|
|---|
| 3220 | font "Tahoma,10,0"
|
|---|
| 3221 | )
|
|---|
| 3222 | emptyMRCItem *134 (MRCItem
|
|---|
| 3223 | litem &119
|
|---|
| 3224 | pos 2
|
|---|
| 3225 | dimension 20
|
|---|
| 3226 | )
|
|---|
| 3227 | uid 231,0
|
|---|
| 3228 | optionalChildren [
|
|---|
| 3229 | *135 (MRCItem
|
|---|
| 3230 | litem &120
|
|---|
| 3231 | pos 0
|
|---|
| 3232 | dimension 20
|
|---|
| 3233 | uid 232,0
|
|---|
| 3234 | )
|
|---|
| 3235 | *136 (MRCItem
|
|---|
| 3236 | litem &121
|
|---|
| 3237 | pos 1
|
|---|
| 3238 | dimension 23
|
|---|
| 3239 | uid 233,0
|
|---|
| 3240 | )
|
|---|
| 3241 | *137 (MRCItem
|
|---|
| 3242 | litem &122
|
|---|
| 3243 | pos 2
|
|---|
| 3244 | hidden 1
|
|---|
| 3245 | dimension 20
|
|---|
| 3246 | uid 234,0
|
|---|
| 3247 | )
|
|---|
| 3248 | *138 (MRCItem
|
|---|
| 3249 | litem &131
|
|---|
| 3250 | pos 0
|
|---|
| 3251 | dimension 20
|
|---|
| 3252 | uid 10,0
|
|---|
| 3253 | )
|
|---|
| 3254 | *139 (MRCItem
|
|---|
| 3255 | litem &132
|
|---|
| 3256 | pos 1
|
|---|
| 3257 | dimension 20
|
|---|
| 3258 | uid 12,0
|
|---|
| 3259 | )
|
|---|
| 3260 | ]
|
|---|
| 3261 | )
|
|---|
| 3262 | sheetCol (SheetCol
|
|---|
| 3263 | propVa (MVa
|
|---|
| 3264 | cellColor "0,49152,49152"
|
|---|
| 3265 | fontColor "0,0,0"
|
|---|
| 3266 | font "Tahoma,10,0"
|
|---|
| 3267 | textAngle 90
|
|---|
| 3268 | )
|
|---|
| 3269 | uid 235,0
|
|---|
| 3270 | optionalChildren [
|
|---|
| 3271 | *140 (MRCItem
|
|---|
| 3272 | litem &123
|
|---|
| 3273 | pos 0
|
|---|
| 3274 | dimension 20
|
|---|
| 3275 | uid 236,0
|
|---|
| 3276 | )
|
|---|
| 3277 | *141 (MRCItem
|
|---|
| 3278 | litem &125
|
|---|
| 3279 | pos 1
|
|---|
| 3280 | dimension 50
|
|---|
| 3281 | uid 237,0
|
|---|
| 3282 | )
|
|---|
| 3283 | *142 (MRCItem
|
|---|
| 3284 | litem &126
|
|---|
| 3285 | pos 2
|
|---|
| 3286 | dimension 100
|
|---|
| 3287 | uid 238,0
|
|---|
| 3288 | )
|
|---|
| 3289 | *143 (MRCItem
|
|---|
| 3290 | litem &127
|
|---|
| 3291 | pos 3
|
|---|
| 3292 | dimension 100
|
|---|
| 3293 | uid 239,0
|
|---|
| 3294 | )
|
|---|
| 3295 | *144 (MRCItem
|
|---|
| 3296 | litem &128
|
|---|
| 3297 | pos 4
|
|---|
| 3298 | dimension 50
|
|---|
| 3299 | uid 240,0
|
|---|
| 3300 | )
|
|---|
| 3301 | *145 (MRCItem
|
|---|
| 3302 | litem &129
|
|---|
| 3303 | pos 5
|
|---|
| 3304 | dimension 50
|
|---|
| 3305 | uid 241,0
|
|---|
| 3306 | )
|
|---|
| 3307 | *146 (MRCItem
|
|---|
| 3308 | litem &130
|
|---|
| 3309 | pos 6
|
|---|
| 3310 | dimension 80
|
|---|
| 3311 | uid 242,0
|
|---|
| 3312 | )
|
|---|
| 3313 | ]
|
|---|
| 3314 | )
|
|---|
| 3315 | fixedCol 3
|
|---|
| 3316 | fixedRow 2
|
|---|
| 3317 | name "Ports"
|
|---|
| 3318 | uid 230,0
|
|---|
| 3319 | vaOverrides [
|
|---|
| 3320 | ]
|
|---|
| 3321 | )
|
|---|
| 3322 | ]
|
|---|
| 3323 | )
|
|---|
| 3324 | uid 216,0
|
|---|
| 3325 | type 1
|
|---|
| 3326 | )
|
|---|
| 3327 | activeModelName "BlockDiag"
|
|---|
| 3328 | )
|
|---|