source: firmware/FAD/FACT_FAD_TB_lib/hds/timer_tb/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 17.2 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5]
6)
7version "24.1"
8appVersion "2009.2 (Build 10)"
9model (Symbol
10commonDM (CommonDM
11ldm (LogicalDM
12usingSuid 1
13emptyRow *1 (LEmptyRow
14)
15uid 53,0
16optionalChildren [
17*2 (RefLabelRowHdr
18)
19*3 (TitleRowHdr
20)
21*4 (FilterRowHdr
22)
23*5 (RefLabelColHdr
24tm "RefLabelColHdrMgr"
25)
26*6 (RowExpandColHdr
27tm "RowExpandColHdrMgr"
28)
29*7 (GroupColHdr
30tm "GroupColHdrMgr"
31)
32*8 (NameColHdr
33tm "NameColHdrMgr"
34)
35*9 (ModeColHdr
36tm "ModeColHdrMgr"
37)
38*10 (TypeColHdr
39tm "TypeColHdrMgr"
40)
41*11 (BoundsColHdr
42tm "BoundsColHdrMgr"
43)
44*12 (InitColHdr
45tm "InitColHdrMgr"
46)
47*13 (EolColHdr
48tm "EolColHdrMgr"
49)
50]
51)
52pdm (PhysicalDM
53displayShortBounds 1
54editShortBounds 1
55uid 66,0
56optionalChildren [
57*14 (Sheet
58sheetRow (SheetRow
59headerVa (MVa
60cellColor "49152,49152,49152"
61fontColor "0,0,0"
62font "Tahoma,10,0"
63)
64cellVa (MVa
65cellColor "65535,65535,65535"
66fontColor "0,0,0"
67font "Tahoma,10,0"
68)
69groupVa (MVa
70cellColor "39936,56832,65280"
71fontColor "0,0,0"
72font "Tahoma,10,0"
73)
74emptyMRCItem *15 (MRCItem
75litem &1
76pos 3
77dimension 20
78)
79uid 68,0
80optionalChildren [
81*16 (MRCItem
82litem &2
83pos 0
84dimension 20
85uid 69,0
86)
87*17 (MRCItem
88litem &3
89pos 1
90dimension 23
91uid 70,0
92)
93*18 (MRCItem
94litem &4
95pos 2
96hidden 1
97dimension 20
98uid 71,0
99)
100]
101)
102sheetCol (SheetCol
103propVa (MVa
104cellColor "0,49152,49152"
105fontColor "0,0,0"
106font "Tahoma,10,0"
107textAngle 90
108)
109uid 72,0
110optionalChildren [
111*19 (MRCItem
112litem &5
113pos 0
114dimension 20
115uid 73,0
116)
117*20 (MRCItem
118litem &7
119pos 1
120dimension 50
121uid 74,0
122)
123*21 (MRCItem
124litem &8
125pos 2
126dimension 100
127uid 75,0
128)
129*22 (MRCItem
130litem &9
131pos 3
132dimension 50
133uid 76,0
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139uid 77,0
140)
141*24 (MRCItem
142litem &11
143pos 5
144dimension 100
145uid 78,0
146)
147*25 (MRCItem
148litem &12
149pos 6
150dimension 50
151uid 79,0
152)
153*26 (MRCItem
154litem &13
155pos 7
156dimension 80
157uid 80,0
158)
159]
160)
161fixedCol 4
162fixedRow 2
163name "Ports"
164uid 67,0
165vaOverrides [
166]
167)
168]
169)
170uid 52,0
171)
172genericsCommonDM (CommonDM
173ldm (LogicalDM
174emptyRow *27 (LEmptyRow
175)
176uid 82,0
177optionalChildren [
178*28 (RefLabelRowHdr
179)
180*29 (TitleRowHdr
181)
182*30 (FilterRowHdr
183)
184*31 (RefLabelColHdr
185tm "RefLabelColHdrMgr"
186)
187*32 (RowExpandColHdr
188tm "RowExpandColHdrMgr"
189)
190*33 (GroupColHdr
191tm "GroupColHdrMgr"
192)
193*34 (NameColHdr
194tm "GenericNameColHdrMgr"
195)
196*35 (TypeColHdr
197tm "GenericTypeColHdrMgr"
198)
199*36 (InitColHdr
200tm "GenericValueColHdrMgr"
201)
202*37 (PragmaColHdr
203tm "GenericPragmaColHdrMgr"
204)
205*38 (EolColHdr
206tm "GenericEolColHdrMgr"
207)
208*39 (LogGeneric
209generic (GiElement
210name "TIMER_WIDTH"
211type "integer"
212value "32"
213)
214uid 109,0
215)
216*40 (LogGeneric
217generic (GiElement
218name "PRESCALER"
219type "integer"
220value "2500"
221)
222uid 111,0
223)
224]
225)
226pdm (PhysicalDM
227displayShortBounds 1
228editShortBounds 1
229uid 94,0
230optionalChildren [
231*41 (Sheet
232sheetRow (SheetRow
233headerVa (MVa
234cellColor "49152,49152,49152"
235fontColor "0,0,0"
236font "Tahoma,10,0"
237)
238cellVa (MVa
239cellColor "65535,65535,65535"
240fontColor "0,0,0"
241font "Tahoma,10,0"
242)
243groupVa (MVa
244cellColor "39936,56832,65280"
245fontColor "0,0,0"
246font "Tahoma,10,0"
247)
248emptyMRCItem *42 (MRCItem
249litem &27
250pos 3
251dimension 20
252)
253uid 96,0
254optionalChildren [
255*43 (MRCItem
256litem &28
257pos 0
258dimension 20
259uid 97,0
260)
261*44 (MRCItem
262litem &29
263pos 1
264dimension 23
265uid 98,0
266)
267*45 (MRCItem
268litem &30
269pos 2
270hidden 1
271dimension 20
272uid 99,0
273)
274*46 (MRCItem
275litem &39
276pos 0
277dimension 20
278uid 108,0
279)
280*47 (MRCItem
281litem &40
282pos 1
283dimension 20
284uid 110,0
285)
286]
287)
288sheetCol (SheetCol
289propVa (MVa
290cellColor "0,49152,49152"
291fontColor "0,0,0"
292font "Tahoma,10,0"
293textAngle 90
294)
295uid 100,0
296optionalChildren [
297*48 (MRCItem
298litem &31
299pos 0
300dimension 20
301uid 101,0
302)
303*49 (MRCItem
304litem &33
305pos 1
306dimension 50
307uid 102,0
308)
309*50 (MRCItem
310litem &34
311pos 2
312dimension 100
313uid 103,0
314)
315*51 (MRCItem
316litem &35
317pos 3
318dimension 100
319uid 104,0
320)
321*52 (MRCItem
322litem &36
323pos 4
324dimension 50
325uid 105,0
326)
327*53 (MRCItem
328litem &37
329pos 5
330dimension 50
331uid 106,0
332)
333*54 (MRCItem
334litem &38
335pos 6
336dimension 80
337uid 107,0
338)
339]
340)
341fixedCol 3
342fixedRow 2
343name "Ports"
344uid 95,0
345vaOverrides [
346]
347)
348]
349)
350uid 81,0
351type 1
352)
353VExpander (VariableExpander
354vvMap [
355(vvPair
356variable "HDLDir"
357value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
358)
359(vvPair
360variable "HDSDir"
361value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
362)
363(vvPair
364variable "SideDataDesignDir"
365value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\symbol.sb.info"
366)
367(vvPair
368variable "SideDataUserDir"
369value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\symbol.sb.user"
370)
371(vvPair
372variable "SourceDir"
373value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
374)
375(vvPair
376variable "appl"
377value "HDL Designer"
378)
379(vvPair
380variable "arch_name"
381value "symbol"
382)
383(vvPair
384variable "config"
385value "%(unit)_%(view)_config"
386)
387(vvPair
388variable "d"
389value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
390)
391(vvPair
392variable "d_logical"
393value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb"
394)
395(vvPair
396variable "date"
397value "22.02.2011"
398)
399(vvPair
400variable "day"
401value "Di"
402)
403(vvPair
404variable "day_long"
405value "Dienstag"
406)
407(vvPair
408variable "dd"
409value "22"
410)
411(vvPair
412variable "entity_name"
413value "timer_tb"
414)
415(vvPair
416variable "ext"
417value "<TBD>"
418)
419(vvPair
420variable "f"
421value "symbol.sb"
422)
423(vvPair
424variable "f_logical"
425value "symbol.sb"
426)
427(vvPair
428variable "f_noext"
429value "symbol"
430)
431(vvPair
432variable "group"
433value "UNKNOWN"
434)
435(vvPair
436variable "host"
437value "E5B-LABOR6"
438)
439(vvPair
440variable "language"
441value "VHDL"
442)
443(vvPair
444variable "library"
445value "FACT_FAD_TB_lib"
446)
447(vvPair
448variable "library_downstream_ISEPARInvoke"
449value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
450)
451(vvPair
452variable "library_downstream_ImpactInvoke"
453value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
454)
455(vvPair
456variable "library_downstream_ModelSimCompiler"
457value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
458)
459(vvPair
460variable "library_downstream_XSTDataPrep"
461value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
462)
463(vvPair
464variable "mm"
465value "02"
466)
467(vvPair
468variable "module_name"
469value "timer_tb"
470)
471(vvPair
472variable "month"
473value "Feb"
474)
475(vvPair
476variable "month_long"
477value "Februar"
478)
479(vvPair
480variable "p"
481value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\symbol.sb"
482)
483(vvPair
484variable "p_logical"
485value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\timer_tb\\symbol.sb"
486)
487(vvPair
488variable "package_name"
489value "<Undefined Variable>"
490)
491(vvPair
492variable "project_name"
493value "FACT_FAD"
494)
495(vvPair
496variable "series"
497value "HDL Designer Series"
498)
499(vvPair
500variable "task_DesignCompilerPath"
501value "<TBD>"
502)
503(vvPair
504variable "task_LeonardoPath"
505value "<TBD>"
506)
507(vvPair
508variable "task_ModelSimPath"
509value "C:\\modeltech_6.6a\\win32"
510)
511(vvPair
512variable "task_NC-SimPath"
513value "<TBD>"
514)
515(vvPair
516variable "task_PrecisionRTLPath"
517value "<TBD>"
518)
519(vvPair
520variable "task_QuestaSimPath"
521value "<TBD>"
522)
523(vvPair
524variable "task_VCSPath"
525value "<TBD>"
526)
527(vvPair
528variable "this_ext"
529value "sb"
530)
531(vvPair
532variable "this_file"
533value "symbol"
534)
535(vvPair
536variable "this_file_logical"
537value "symbol"
538)
539(vvPair
540variable "time"
541value "15:30:03"
542)
543(vvPair
544variable "unit"
545value "timer_tb"
546)
547(vvPair
548variable "user"
549value "dneise"
550)
551(vvPair
552variable "version"
553value "2009.2 (Build 10)"
554)
555(vvPair
556variable "view"
557value "symbol"
558)
559(vvPair
560variable "year"
561value "2011"
562)
563(vvPair
564variable "yy"
565value "11"
566)
567]
568)
569LanguageMgr "VhdlLangMgr"
570uid 51,0
571optionalChildren [
572*55 (SymbolBody
573uid 8,0
574shape (Rectangle
575uid 9,0
576va (VaSet
577vasetType 1
578fg "0,65535,0"
579lineColor "0,32896,0"
580lineWidth 2
581)
582xt "15000,6000,33000,26000"
583)
584biTextGroup (BiTextGroup
585uid 10,0
586ps "CenterOffsetStrategy"
587stg "VerticalLayoutStrategy"
588first (Text
589uid 11,0
590va (VaSet
591font "Arial,8,1"
592)
593xt "22200,15000,29900,16000"
594st "FACT_FAD_TB_lib"
595blo "22200,15800"
596)
597second (Text
598uid 12,0
599va (VaSet
600font "Arial,8,1"
601)
602xt "22200,16000,25700,17000"
603st "timer_tb"
604blo "22200,16800"
605)
606)
607gi *56 (GenericInterface
608uid 13,0
609ps "CenterOffsetStrategy"
610matrix (Matrix
611uid 14,0
612text (MLText
613uid 15,0
614va (VaSet
615font "Courier New,8,0"
616)
617xt "0,12000,14500,15200"
618st "Generic Declarations
619
620TIMER_WIDTH integer 32
621PRESCALER integer 2500 "
622)
623header "Generic Declarations"
624showHdrWhenContentsEmpty 1
625)
626elements [
627(GiElement
628name "TIMER_WIDTH"
629type "integer"
630value "32"
631)
632(GiElement
633name "PRESCALER"
634type "integer"
635value "2500"
636)
637]
638)
639portInstanceVisAsIs 1
640portInstanceVis (PortSigDisplay
641sIVOD 1
642)
643portVis (PortSigDisplay
644sIVOD 1
645)
646)
647*57 (Grouping
648uid 16,0
649optionalChildren [
650*58 (CommentText
651uid 18,0
652shape (Rectangle
653uid 19,0
654sl 0
655va (VaSet
656vasetType 1
657fg "65280,65280,46080"
658)
659xt "36000,48000,53000,49000"
660)
661oxt "18000,70000,35000,71000"
662text (MLText
663uid 20,0
664va (VaSet
665fg "0,0,32768"
666bg "0,0,32768"
667)
668xt "36200,48000,45800,49000"
669st "
670by %user on %dd %month %year
671"
672tm "CommentText"
673wrapOption 3
674visibleHeight 1000
675visibleWidth 17000
676)
677position 1
678ignorePrefs 1
679titleBlock 1
680)
681*59 (CommentText
682uid 21,0
683shape (Rectangle
684uid 22,0
685sl 0
686va (VaSet
687vasetType 1
688fg "65280,65280,46080"
689)
690xt "53000,44000,57000,45000"
691)
692oxt "35000,66000,39000,67000"
693text (MLText
694uid 23,0
695va (VaSet
696fg "0,0,32768"
697bg "0,0,32768"
698)
699xt "53200,44000,56200,45000"
700st "
701Project:
702"
703tm "CommentText"
704wrapOption 3
705visibleHeight 1000
706visibleWidth 4000
707)
708position 1
709ignorePrefs 1
710titleBlock 1
711)
712*60 (CommentText
713uid 24,0
714shape (Rectangle
715uid 25,0
716sl 0
717va (VaSet
718vasetType 1
719fg "65280,65280,46080"
720)
721xt "36000,46000,53000,47000"
722)
723oxt "18000,68000,35000,69000"
724text (MLText
725uid 26,0
726va (VaSet
727fg "0,0,32768"
728bg "0,0,32768"
729)
730xt "36200,46000,46200,47000"
731st "
732<enter diagram title here>
733"
734tm "CommentText"
735wrapOption 3
736visibleHeight 1000
737visibleWidth 17000
738)
739position 1
740ignorePrefs 1
741titleBlock 1
742)
743*61 (CommentText
744uid 27,0
745shape (Rectangle
746uid 28,0
747sl 0
748va (VaSet
749vasetType 1
750fg "65280,65280,46080"
751)
752xt "32000,46000,36000,47000"
753)
754oxt "14000,68000,18000,69000"
755text (MLText
756uid 29,0
757va (VaSet
758fg "0,0,32768"
759bg "0,0,32768"
760)
761xt "32200,46000,34300,47000"
762st "
763Title:
764"
765tm "CommentText"
766wrapOption 3
767visibleHeight 1000
768visibleWidth 4000
769)
770position 1
771ignorePrefs 1
772titleBlock 1
773)
774*62 (CommentText
775uid 30,0
776shape (Rectangle
777uid 31,0
778sl 0
779va (VaSet
780vasetType 1
781fg "65280,65280,46080"
782)
783xt "53000,45000,73000,49000"
784)
785oxt "35000,67000,55000,71000"
786text (MLText
787uid 32,0
788va (VaSet
789fg "0,0,32768"
790bg "0,0,32768"
791)
792xt "53200,45200,62400,46200"
793st "
794<enter comments here>
795"
796tm "CommentText"
797wrapOption 3
798visibleHeight 4000
799visibleWidth 20000
800)
801ignorePrefs 1
802titleBlock 1
803)
804*63 (CommentText
805uid 33,0
806shape (Rectangle
807uid 34,0
808sl 0
809va (VaSet
810vasetType 1
811fg "65280,65280,46080"
812)
813xt "57000,44000,73000,45000"
814)
815oxt "39000,66000,55000,67000"
816text (MLText
817uid 35,0
818va (VaSet
819fg "0,0,32768"
820bg "0,0,32768"
821)
822xt "57200,44000,61700,45000"
823st "
824%project_name
825"
826tm "CommentText"
827wrapOption 3
828visibleHeight 1000
829visibleWidth 16000
830)
831position 1
832ignorePrefs 1
833titleBlock 1
834)
835*64 (CommentText
836uid 36,0
837shape (Rectangle
838uid 37,0
839sl 0
840va (VaSet
841vasetType 1
842fg "65280,65280,46080"
843)
844xt "32000,44000,53000,46000"
845)
846oxt "14000,66000,35000,68000"
847text (MLText
848uid 38,0
849va (VaSet
850fg "32768,0,0"
851)
852xt "39150,44500,45850,45500"
853st "
854<company name>
855"
856ju 0
857tm "CommentText"
858wrapOption 3
859visibleHeight 2000
860visibleWidth 21000
861)
862position 1
863ignorePrefs 1
864titleBlock 1
865)
866*65 (CommentText
867uid 39,0
868shape (Rectangle
869uid 40,0
870sl 0
871va (VaSet
872vasetType 1
873fg "65280,65280,46080"
874)
875xt "32000,47000,36000,48000"
876)
877oxt "14000,69000,18000,70000"
878text (MLText
879uid 41,0
880va (VaSet
881fg "0,0,32768"
882bg "0,0,32768"
883)
884xt "32200,47000,34300,48000"
885st "
886Path:
887"
888tm "CommentText"
889wrapOption 3
890visibleHeight 1000
891visibleWidth 4000
892)
893position 1
894ignorePrefs 1
895titleBlock 1
896)
897*66 (CommentText
898uid 42,0
899shape (Rectangle
900uid 43,0
901sl 0
902va (VaSet
903vasetType 1
904fg "65280,65280,46080"
905)
906xt "32000,48000,36000,49000"
907)
908oxt "14000,70000,18000,71000"
909text (MLText
910uid 44,0
911va (VaSet
912fg "0,0,32768"
913bg "0,0,32768"
914)
915xt "32200,48000,34900,49000"
916st "
917Edited:
918"
919tm "CommentText"
920wrapOption 3
921visibleHeight 1000
922visibleWidth 4000
923)
924position 1
925ignorePrefs 1
926titleBlock 1
927)
928*67 (CommentText
929uid 45,0
930shape (Rectangle
931uid 46,0
932sl 0
933va (VaSet
934vasetType 1
935fg "65280,65280,46080"
936)
937xt "36000,47000,53000,48000"
938)
939oxt "18000,69000,35000,70000"
940text (MLText
941uid 47,0
942va (VaSet
943fg "0,0,32768"
944bg "0,0,32768"
945)
946xt "36200,47000,49500,48000"
947st "
948%library/%unit/%view
949"
950tm "CommentText"
951wrapOption 3
952visibleHeight 1000
953visibleWidth 17000
954)
955position 1
956ignorePrefs 1
957titleBlock 1
958)
959]
960shape (GroupingShape
961uid 17,0
962va (VaSet
963vasetType 1
964fg "65535,65535,65535"
965lineStyle 2
966lineWidth 2
967)
968xt "32000,44000,73000,49000"
969)
970oxt "14000,66000,55000,71000"
971)
972]
973bg "65535,65535,65535"
974grid (Grid
975origin "0,0"
976isVisible 1
977isActive 1
978xSpacing 1000
979xySpacing 1000
980xShown 1
981yShown 1
982color "26368,26368,26368"
983)
984packageList *68 (PackageList
985uid 48,0
986stg "VerticalLayoutStrategy"
987textVec [
988*69 (Text
989uid 49,0
990va (VaSet
991font "arial,8,1"
992)
993xt "0,0,5400,1000"
994st "Package List"
995blo "0,800"
996)
997*70 (MLText
998uid 50,0
999va (VaSet
1000)
1001xt "0,1000,10900,4000"
1002tm "PackageList"
1003)
1004]
1005)
1006windowSize "0,0,1015,690"
1007viewArea "0,0,0,0"
1008cachedDiagramExtent "0,0,0,0"
1009pageBreakOrigin "0,0"
1010defaultCommentText (CommentText
1011shape (Rectangle
1012layer 0
1013va (VaSet
1014vasetType 1
1015fg "65280,65280,46080"
1016lineColor "0,0,32768"
1017)
1018xt "0,0,15000,5000"
1019)
1020text (MLText
1021va (VaSet
1022fg "0,0,32768"
1023)
1024xt "200,200,2000,1200"
1025st "
1026Text
1027"
1028tm "CommentText"
1029wrapOption 3
1030visibleHeight 4600
1031visibleWidth 14600
1032)
1033)
1034defaultPanel (Panel
1035shape (RectFrame
1036va (VaSet
1037vasetType 1
1038fg "65535,65535,65535"
1039lineColor "32768,0,0"
1040lineWidth 3
1041)
1042xt "0,0,20000,20000"
1043)
1044title (TextAssociate
1045ps "TopLeftStrategy"
1046text (Text
1047va (VaSet
1048font "Arial,8,1"
1049)
1050xt "1000,1000,3800,2000"
1051st "Panel0"
1052blo "1000,1800"
1053tm "PanelText"
1054)
1055)
1056)
1057parentGraphicsRef (HdmGraphicsRef
1058libraryName ""
1059entityName ""
1060viewName ""
1061)
1062defaultSymbolBody (SymbolBody
1063shape (Rectangle
1064va (VaSet
1065vasetType 1
1066fg "0,65535,0"
1067lineColor "0,32896,0"
1068lineWidth 2
1069)
1070xt "15000,6000,33000,26000"
1071)
1072biTextGroup (BiTextGroup
1073ps "CenterOffsetStrategy"
1074stg "VerticalLayoutStrategy"
1075first (Text
1076va (VaSet
1077font "Arial,8,1"
1078)
1079xt "22200,15000,25800,16000"
1080st "<library>"
1081blo "22200,15800"
1082)
1083second (Text
1084va (VaSet
1085font "Arial,8,1"
1086)
1087xt "22200,16000,24800,17000"
1088st "<cell>"
1089blo "22200,16800"
1090)
1091)
1092gi *71 (GenericInterface
1093ps "CenterOffsetStrategy"
1094matrix (Matrix
1095text (MLText
1096va (VaSet
1097font "Courier New,8,0"
1098)
1099xt "0,12000,11500,12800"
1100st "Generic Declarations"
1101)
1102header "Generic Declarations"
1103showHdrWhenContentsEmpty 1
1104)
1105elements [
1106]
1107)
1108portInstanceVisAsIs 1
1109portInstanceVis (PortSigDisplay
1110sIVOD 1
1111)
1112portVis (PortSigDisplay
1113sIVOD 1
1114)
1115)
1116defaultCptPort (CptPort
1117ps "OnEdgeStrategy"
1118shape (Triangle
1119ro 90
1120va (VaSet
1121vasetType 1
1122fg "0,65535,0"
1123)
1124xt "0,0,750,750"
1125)
1126tg (CPTG
1127ps "CptPortTextPlaceStrategy"
1128stg "VerticalLayoutStrategy"
1129f (Text
1130va (VaSet
1131)
1132xt "0,750,1400,1750"
1133st "In0"
1134blo "0,1550"
1135tm "CptPortNameMgr"
1136)
1137)
1138dt (MLText
1139va (VaSet
1140font "Courier New,8,0"
1141)
1142)
1143thePort (LogicalPort
1144decl (Decl
1145n "In0"
1146t "std_logic_vector"
1147b "(15 DOWNTO 0)"
1148o 0
1149)
1150)
1151)
1152defaultCptPortBuffer (CptPort
1153ps "OnEdgeStrategy"
1154shape (Diamond
1155va (VaSet
1156vasetType 1
1157fg "65535,65535,65535"
1158bg "0,0,0"
1159)
1160xt "0,0,750,750"
1161)
1162tg (CPTG
1163ps "CptPortTextPlaceStrategy"
1164stg "VerticalLayoutStrategy"
1165f (Text
1166va (VaSet
1167)
1168xt "0,750,2800,1750"
1169st "Buffer0"
1170blo "0,1550"
1171tm "CptPortNameMgr"
1172)
1173)
1174dt (MLText
1175va (VaSet
1176font "Courier New,8,0"
1177)
1178)
1179thePort (LogicalPort
1180m 3
1181decl (Decl
1182n "Buffer0"
1183t "std_logic_vector"
1184b "(15 DOWNTO 0)"
1185o 0
1186)
1187)
1188)
1189DeclarativeBlock *72 (SymDeclBlock
1190uid 1,0
1191stg "SymDeclLayoutStrategy"
1192declLabel (Text
1193uid 2,0
1194va (VaSet
1195font "Arial,8,1"
1196)
1197xt "42000,0,47400,1000"
1198st "Declarations"
1199blo "42000,800"
1200)
1201portLabel (Text
1202uid 3,0
1203va (VaSet
1204font "Arial,8,1"
1205)
1206xt "42000,1000,44700,2000"
1207st "Ports:"
1208blo "42000,1800"
1209)
1210externalLabel (Text
1211uid 4,0
1212va (VaSet
1213font "Arial,8,1"
1214)
1215xt "42000,2000,44400,3000"
1216st "User:"
1217blo "42000,2800"
1218)
1219internalLabel (Text
1220uid 6,0
1221va (VaSet
1222isHidden 1
1223font "Arial,8,1"
1224)
1225xt "42000,0,47800,1000"
1226st "Internal User:"
1227blo "42000,800"
1228)
1229externalText (MLText
1230uid 5,0
1231va (VaSet
1232font "Courier New,8,0"
1233)
1234xt "44000,3000,44000,3000"
1235tm "SyDeclarativeTextMgr"
1236)
1237internalText (MLText
1238uid 7,0
1239va (VaSet
1240isHidden 1
1241font "Courier New,8,0"
1242)
1243xt "42000,0,42000,0"
1244tm "SyDeclarativeTextMgr"
1245)
1246)
1247lastUid 134,0
1248okToSyncOnLoad 1
1249OkToSyncGenericsOnLoad 1
1250activeModelName "Symbol:CDM"
1251)
Note: See TracBrowser for help on using the repository browser.