source: firmware/FAD/FACT_FAD_TB_lib/hds/trigger_generator/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 18.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_unsigned"
16)
17(DmPackageRef
18library "FACT_FAD_lib"
19unitName "fad_definitions"
20)
21]
22libraryRefs [
23"ieee"
24"FACT_FAD_lib"
25]
26)
27version "24.1"
28appVersion "2009.2 (Build 10)"
29model (Symbol
30commonDM (CommonDM
31ldm (LogicalDM
32ordering 1
33suid 1,0
34usingSuid 1
35emptyRow *1 (LEmptyRow
36)
37uid 67,0
38optionalChildren [
39*2 (RefLabelRowHdr
40)
41*3 (TitleRowHdr
42)
43*4 (FilterRowHdr
44)
45*5 (RefLabelColHdr
46tm "RefLabelColHdrMgr"
47)
48*6 (RowExpandColHdr
49tm "RowExpandColHdrMgr"
50)
51*7 (GroupColHdr
52tm "GroupColHdrMgr"
53)
54*8 (NameColHdr
55tm "NameColHdrMgr"
56)
57*9 (ModeColHdr
58tm "ModeColHdrMgr"
59)
60*10 (TypeColHdr
61tm "TypeColHdrMgr"
62)
63*11 (BoundsColHdr
64tm "BoundsColHdrMgr"
65)
66*12 (InitColHdr
67tm "InitColHdrMgr"
68)
69*13 (EolColHdr
70tm "EolColHdrMgr"
71)
72*14 (LogPort
73port (LogicalPort
74m 1
75decl (Decl
76n "trigger"
77t "std_logic"
78preAdd 0
79posAdd 0
80o 1
81suid 1,0
82)
83)
84uid 60,0
85)
86]
87)
88pdm (PhysicalDM
89displayShortBounds 1
90editShortBounds 1
91uid 80,0
92optionalChildren [
93*15 (Sheet
94sheetRow (SheetRow
95headerVa (MVa
96cellColor "49152,49152,49152"
97fontColor "0,0,0"
98font "Tahoma,10,0"
99)
100cellVa (MVa
101cellColor "65535,65535,65535"
102fontColor "0,0,0"
103font "Tahoma,10,0"
104)
105groupVa (MVa
106cellColor "39936,56832,65280"
107fontColor "0,0,0"
108font "Tahoma,10,0"
109)
110emptyMRCItem *16 (MRCItem
111litem &1
112pos 3
113dimension 20
114)
115uid 82,0
116optionalChildren [
117*17 (MRCItem
118litem &2
119pos 0
120dimension 20
121uid 83,0
122)
123*18 (MRCItem
124litem &3
125pos 1
126dimension 23
127uid 84,0
128)
129*19 (MRCItem
130litem &4
131pos 2
132hidden 1
133dimension 20
134uid 85,0
135)
136*20 (MRCItem
137litem &14
138pos 0
139dimension 20
140uid 61,0
141)
142]
143)
144sheetCol (SheetCol
145propVa (MVa
146cellColor "0,49152,49152"
147fontColor "0,0,0"
148font "Tahoma,10,0"
149textAngle 90
150)
151uid 86,0
152optionalChildren [
153*21 (MRCItem
154litem &5
155pos 0
156dimension 20
157uid 87,0
158)
159*22 (MRCItem
160litem &7
161pos 1
162dimension 50
163uid 88,0
164)
165*23 (MRCItem
166litem &8
167pos 2
168dimension 100
169uid 89,0
170)
171*24 (MRCItem
172litem &9
173pos 3
174dimension 50
175uid 90,0
176)
177*25 (MRCItem
178litem &10
179pos 4
180dimension 100
181uid 91,0
182)
183*26 (MRCItem
184litem &11
185pos 5
186dimension 100
187uid 92,0
188)
189*27 (MRCItem
190litem &12
191pos 6
192dimension 50
193uid 93,0
194)
195*28 (MRCItem
196litem &13
197pos 7
198dimension 80
199uid 94,0
200)
201]
202)
203fixedCol 4
204fixedRow 2
205name "Ports"
206uid 81,0
207vaOverrides [
208]
209)
210]
211)
212uid 66,0
213)
214genericsCommonDM (CommonDM
215ldm (LogicalDM
216emptyRow *29 (LEmptyRow
217)
218uid 96,0
219optionalChildren [
220*30 (RefLabelRowHdr
221)
222*31 (TitleRowHdr
223)
224*32 (FilterRowHdr
225)
226*33 (RefLabelColHdr
227tm "RefLabelColHdrMgr"
228)
229*34 (RowExpandColHdr
230tm "RowExpandColHdrMgr"
231)
232*35 (GroupColHdr
233tm "GroupColHdrMgr"
234)
235*36 (NameColHdr
236tm "GenericNameColHdrMgr"
237)
238*37 (TypeColHdr
239tm "GenericTypeColHdrMgr"
240)
241*38 (InitColHdr
242tm "GenericValueColHdrMgr"
243)
244*39 (PragmaColHdr
245tm "GenericPragmaColHdrMgr"
246)
247*40 (EolColHdr
248tm "GenericEolColHdrMgr"
249)
250*41 (LogGeneric
251generic (GiElement
252name "TRIGGER_RATE"
253type "time"
254value "1 ms"
255)
256uid 122,0
257)
258*42 (LogGeneric
259generic (GiElement
260name "PULSE_WIDTH"
261type "time"
262value "20 ns"
263)
264uid 124,0
265)
266]
267)
268pdm (PhysicalDM
269displayShortBounds 1
270editShortBounds 1
271uid 108,0
272optionalChildren [
273*43 (Sheet
274sheetRow (SheetRow
275headerVa (MVa
276cellColor "49152,49152,49152"
277fontColor "0,0,0"
278font "Tahoma,10,0"
279)
280cellVa (MVa
281cellColor "65535,65535,65535"
282fontColor "0,0,0"
283font "Tahoma,10,0"
284)
285groupVa (MVa
286cellColor "39936,56832,65280"
287fontColor "0,0,0"
288font "Tahoma,10,0"
289)
290emptyMRCItem *44 (MRCItem
291litem &29
292pos 3
293dimension 20
294)
295uid 110,0
296optionalChildren [
297*45 (MRCItem
298litem &30
299pos 0
300dimension 20
301uid 111,0
302)
303*46 (MRCItem
304litem &31
305pos 1
306dimension 23
307uid 112,0
308)
309*47 (MRCItem
310litem &32
311pos 2
312hidden 1
313dimension 20
314uid 113,0
315)
316*48 (MRCItem
317litem &41
318pos 0
319dimension 20
320uid 123,0
321)
322*49 (MRCItem
323litem &42
324pos 1
325dimension 20
326uid 125,0
327)
328]
329)
330sheetCol (SheetCol
331propVa (MVa
332cellColor "0,49152,49152"
333fontColor "0,0,0"
334font "Tahoma,10,0"
335textAngle 90
336)
337uid 114,0
338optionalChildren [
339*50 (MRCItem
340litem &33
341pos 0
342dimension 20
343uid 115,0
344)
345*51 (MRCItem
346litem &35
347pos 1
348dimension 50
349uid 116,0
350)
351*52 (MRCItem
352litem &36
353pos 2
354dimension 100
355uid 117,0
356)
357*53 (MRCItem
358litem &37
359pos 3
360dimension 100
361uid 118,0
362)
363*54 (MRCItem
364litem &38
365pos 4
366dimension 50
367uid 119,0
368)
369*55 (MRCItem
370litem &39
371pos 5
372dimension 50
373uid 120,0
374)
375*56 (MRCItem
376litem &40
377pos 6
378dimension 80
379uid 121,0
380)
381]
382)
383fixedCol 3
384fixedRow 2
385name "Ports"
386uid 109,0
387vaOverrides [
388]
389)
390]
391)
392uid 95,0
393type 1
394)
395VExpander (VariableExpander
396vvMap [
397(vvPair
398variable "HDLDir"
399value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
400)
401(vvPair
402variable "HDSDir"
403value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
404)
405(vvPair
406variable "SideDataDesignDir"
407value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\trigger_generator\\symbol.sb.info"
408)
409(vvPair
410variable "SideDataUserDir"
411value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\trigger_generator\\symbol.sb.user"
412)
413(vvPair
414variable "SourceDir"
415value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
416)
417(vvPair
418variable "appl"
419value "HDL Designer"
420)
421(vvPair
422variable "arch_name"
423value "symbol"
424)
425(vvPair
426variable "config"
427value "%(unit)_config"
428)
429(vvPair
430variable "d"
431value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\trigger_generator"
432)
433(vvPair
434variable "d_logical"
435value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\trigger_generator"
436)
437(vvPair
438variable "date"
439value "23.06.2010"
440)
441(vvPair
442variable "day"
443value "Mi"
444)
445(vvPair
446variable "day_long"
447value "Mittwoch"
448)
449(vvPair
450variable "dd"
451value "23"
452)
453(vvPair
454variable "entity_name"
455value "trigger_generator"
456)
457(vvPair
458variable "ext"
459value "<TBD>"
460)
461(vvPair
462variable "f"
463value "symbol.sb"
464)
465(vvPair
466variable "f_logical"
467value "symbol.sb"
468)
469(vvPair
470variable "f_noext"
471value "symbol"
472)
473(vvPair
474variable "group"
475value "UNKNOWN"
476)
477(vvPair
478variable "host"
479value "EEPC8"
480)
481(vvPair
482variable "language"
483value "VHDL"
484)
485(vvPair
486variable "library"
487value "FACT_FAD_TB_lib"
488)
489(vvPair
490variable "mm"
491value "06"
492)
493(vvPair
494variable "module_name"
495value "trigger_generator"
496)
497(vvPair
498variable "month"
499value "Jun"
500)
501(vvPair
502variable "month_long"
503value "Juni"
504)
505(vvPair
506variable "p"
507value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\trigger_generator\\symbol.sb"
508)
509(vvPair
510variable "p_logical"
511value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\trigger_generator\\symbol.sb"
512)
513(vvPair
514variable "package_name"
515value "<Undefined Variable>"
516)
517(vvPair
518variable "project_name"
519value "FACT_FAD"
520)
521(vvPair
522variable "series"
523value "HDL Designer Series"
524)
525(vvPair
526variable "task_DesignCompilerPath"
527value "<TBD>"
528)
529(vvPair
530variable "task_LeonardoPath"
531value "<TBD>"
532)
533(vvPair
534variable "task_ModelSimPath"
535value "$HDS_HOME/../Modeltech/win32"
536)
537(vvPair
538variable "task_NC-SimPath"
539value "<TBD>"
540)
541(vvPair
542variable "task_PrecisionRTLPath"
543value "$HDS_HOME/../Precision/Mgc_home/bin"
544)
545(vvPair
546variable "task_QuestaSimPath"
547value "<TBD>"
548)
549(vvPair
550variable "task_VCSPath"
551value "<TBD>"
552)
553(vvPair
554variable "this_ext"
555value "sb"
556)
557(vvPair
558variable "this_file"
559value "symbol"
560)
561(vvPair
562variable "this_file_logical"
563value "symbol"
564)
565(vvPair
566variable "time"
567value "10:56:49"
568)
569(vvPair
570variable "unit"
571value "trigger_generator"
572)
573(vvPair
574variable "user"
575value "Benjamin Krumm"
576)
577(vvPair
578variable "version"
579value "2009.2 (Build 10)"
580)
581(vvPair
582variable "view"
583value "symbol"
584)
585(vvPair
586variable "year"
587value "2010"
588)
589(vvPair
590variable "yy"
591value "10"
592)
593]
594)
595LanguageMgr "VhdlLangMgr"
596uid 65,0
597optionalChildren [
598*57 (SymbolBody
599uid 8,0
600optionalChildren [
601*58 (CptPort
602uid 48,0
603ps "OnEdgeStrategy"
604shape (Triangle
605uid 49,0
606ro 90
607va (VaSet
608vasetType 1
609fg "0,65535,0"
610)
611xt "29000,5625,29750,6375"
612)
613tg (CPTG
614uid 50,0
615ps "CptPortTextPlaceStrategy"
616stg "RightVerticalLayoutStrategy"
617f (Text
618uid 51,0
619va (VaSet
620)
621xt "25200,5500,28000,6500"
622st "trigger"
623ju 2
624blo "28000,6300"
625tm "CptPortNameMgr"
626)
627)
628dt (MLText
629uid 52,0
630va (VaSet
631font "Courier New,8,0"
632)
633xt "2000,13000,17000,13800"
634st "trigger : OUT std_logic
635"
636)
637thePort (LogicalPort
638m 1
639decl (Decl
640n "trigger"
641t "std_logic"
642preAdd 0
643posAdd 0
644o 1
645suid 1,0
646)
647)
648)
649]
650shape (Rectangle
651uid 9,0
652va (VaSet
653vasetType 1
654fg "0,65535,0"
655lineColor "0,32896,0"
656lineWidth 2
657)
658xt "19000,4000,29000,14000"
659)
660biTextGroup (BiTextGroup
661uid 10,0
662ps "CenterOffsetStrategy"
663stg "VerticalLayoutStrategy"
664first (Text
665uid 11,0
666va (VaSet
667font "Arial,8,1"
668)
669xt "22200,8000,29900,9000"
670st "FACT_FAD_TB_lib"
671blo "22200,8800"
672)
673second (Text
674uid 12,0
675va (VaSet
676font "Arial,8,1"
677)
678xt "22200,9000,29500,10000"
679st "trigger_generator"
680blo "22200,9800"
681)
682)
683gi *59 (GenericInterface
684uid 13,0
685ps "CenterOffsetStrategy"
686matrix (Matrix
687uid 14,0
688text (MLText
689uid 15,0
690va (VaSet
691font "Courier New,8,0"
692)
693xt "19000,-200,33000,3000"
694st "Generic Declarations
695
696TRIGGER_RATE time 1 ms
697PULSE_WIDTH time 20 ns
698"
699)
700header "Generic Declarations"
701showHdrWhenContentsEmpty 1
702)
703elements [
704(GiElement
705name "TRIGGER_RATE"
706type "time"
707value "1 ms"
708)
709(GiElement
710name "PULSE_WIDTH"
711type "time"
712value "20 ns"
713)
714]
715)
716portInstanceVisAsIs 1
717portInstanceVis (PortSigDisplay
718sIVOD 1
719)
720portVis (PortSigDisplay
721sTC 0
722)
723)
724*60 (Grouping
725uid 16,0
726optionalChildren [
727*61 (CommentText
728uid 18,0
729shape (Rectangle
730uid 19,0
731sl 0
732va (VaSet
733vasetType 1
734fg "65280,65280,46080"
735)
736xt "23000,22000,40000,23000"
737)
738oxt "18000,70000,35000,71000"
739text (MLText
740uid 20,0
741va (VaSet
742fg "0,0,32768"
743bg "0,0,32768"
744)
745xt "23200,22000,36200,23000"
746st "
747by %user on %dd %month %year
748"
749tm "CommentText"
750wrapOption 3
751visibleHeight 1000
752visibleWidth 17000
753)
754position 1
755ignorePrefs 1
756titleBlock 1
757)
758*62 (CommentText
759uid 21,0
760shape (Rectangle
761uid 22,0
762sl 0
763va (VaSet
764vasetType 1
765fg "65280,65280,46080"
766)
767xt "40000,18000,44000,19000"
768)
769oxt "35000,66000,39000,67000"
770text (MLText
771uid 23,0
772va (VaSet
773fg "0,0,32768"
774bg "0,0,32768"
775)
776xt "40200,18000,43200,19000"
777st "
778Project:
779"
780tm "CommentText"
781wrapOption 3
782visibleHeight 1000
783visibleWidth 4000
784)
785position 1
786ignorePrefs 1
787titleBlock 1
788)
789*63 (CommentText
790uid 24,0
791shape (Rectangle
792uid 25,0
793sl 0
794va (VaSet
795vasetType 1
796fg "65280,65280,46080"
797)
798xt "23000,20000,40000,21000"
799)
800oxt "18000,68000,35000,69000"
801text (MLText
802uid 26,0
803va (VaSet
804fg "0,0,32768"
805bg "0,0,32768"
806)
807xt "23200,20000,33200,21000"
808st "
809<enter diagram title here>
810"
811tm "CommentText"
812wrapOption 3
813visibleHeight 1000
814visibleWidth 17000
815)
816position 1
817ignorePrefs 1
818titleBlock 1
819)
820*64 (CommentText
821uid 27,0
822shape (Rectangle
823uid 28,0
824sl 0
825va (VaSet
826vasetType 1
827fg "65280,65280,46080"
828)
829xt "19000,20000,23000,21000"
830)
831oxt "14000,68000,18000,69000"
832text (MLText
833uid 29,0
834va (VaSet
835fg "0,0,32768"
836bg "0,0,32768"
837)
838xt "19200,20000,21300,21000"
839st "
840Title:
841"
842tm "CommentText"
843wrapOption 3
844visibleHeight 1000
845visibleWidth 4000
846)
847position 1
848ignorePrefs 1
849titleBlock 1
850)
851*65 (CommentText
852uid 30,0
853shape (Rectangle
854uid 31,0
855sl 0
856va (VaSet
857vasetType 1
858fg "65280,65280,46080"
859)
860xt "40000,19000,60000,23000"
861)
862oxt "35000,67000,55000,71000"
863text (MLText
864uid 32,0
865va (VaSet
866fg "0,0,32768"
867bg "0,0,32768"
868)
869xt "40200,19200,49400,20200"
870st "
871<enter comments here>
872"
873tm "CommentText"
874wrapOption 3
875visibleHeight 4000
876visibleWidth 20000
877)
878ignorePrefs 1
879titleBlock 1
880)
881*66 (CommentText
882uid 33,0
883shape (Rectangle
884uid 34,0
885sl 0
886va (VaSet
887vasetType 1
888fg "65280,65280,46080"
889)
890xt "44000,18000,60000,19000"
891)
892oxt "39000,66000,55000,67000"
893text (MLText
894uid 35,0
895va (VaSet
896fg "0,0,32768"
897bg "0,0,32768"
898)
899xt "44200,18000,48700,19000"
900st "
901%project_name
902"
903tm "CommentText"
904wrapOption 3
905visibleHeight 1000
906visibleWidth 16000
907)
908position 1
909ignorePrefs 1
910titleBlock 1
911)
912*67 (CommentText
913uid 36,0
914shape (Rectangle
915uid 37,0
916sl 0
917va (VaSet
918vasetType 1
919fg "65280,65280,46080"
920)
921xt "19000,18000,40000,20000"
922)
923oxt "14000,66000,35000,68000"
924text (MLText
925uid 38,0
926va (VaSet
927fg "32768,0,0"
928)
929xt "26700,18000,32300,20000"
930st "
931TU Dortmund
932Physik / EE
933"
934ju 0
935tm "CommentText"
936wrapOption 3
937visibleHeight 2000
938visibleWidth 21000
939)
940position 1
941ignorePrefs 1
942titleBlock 1
943)
944*68 (CommentText
945uid 39,0
946shape (Rectangle
947uid 40,0
948sl 0
949va (VaSet
950vasetType 1
951fg "65280,65280,46080"
952)
953xt "19000,21000,23000,22000"
954)
955oxt "14000,69000,18000,70000"
956text (MLText
957uid 41,0
958va (VaSet
959fg "0,0,32768"
960bg "0,0,32768"
961)
962xt "19200,21000,21300,22000"
963st "
964Path:
965"
966tm "CommentText"
967wrapOption 3
968visibleHeight 1000
969visibleWidth 4000
970)
971position 1
972ignorePrefs 1
973titleBlock 1
974)
975*69 (CommentText
976uid 42,0
977shape (Rectangle
978uid 43,0
979sl 0
980va (VaSet
981vasetType 1
982fg "65280,65280,46080"
983)
984xt "19000,22000,23000,23000"
985)
986oxt "14000,70000,18000,71000"
987text (MLText
988uid 44,0
989va (VaSet
990fg "0,0,32768"
991bg "0,0,32768"
992)
993xt "19200,22000,21900,23000"
994st "
995Edited:
996"
997tm "CommentText"
998wrapOption 3
999visibleHeight 1000
1000visibleWidth 4000
1001)
1002position 1
1003ignorePrefs 1
1004titleBlock 1
1005)
1006*70 (CommentText
1007uid 45,0
1008shape (Rectangle
1009uid 46,0
1010sl 0
1011va (VaSet
1012vasetType 1
1013fg "65280,65280,46080"
1014)
1015xt "23000,21000,40000,22000"
1016)
1017oxt "18000,69000,35000,70000"
1018text (MLText
1019uid 47,0
1020va (VaSet
1021fg "0,0,32768"
1022bg "0,0,32768"
1023)
1024xt "23200,21000,37600,22000"
1025st "
1026%library/%unit/%view
1027"
1028tm "CommentText"
1029wrapOption 3
1030visibleHeight 1000
1031visibleWidth 17000
1032)
1033position 1
1034ignorePrefs 1
1035titleBlock 1
1036)
1037]
1038shape (GroupingShape
1039uid 17,0
1040va (VaSet
1041vasetType 1
1042fg "65535,65535,65535"
1043lineStyle 2
1044lineWidth 2
1045)
1046xt "19000,18000,60000,23000"
1047)
1048oxt "14000,66000,55000,71000"
1049)
1050*71 (CommentText
1051uid 57,0
1052shape (Rectangle
1053uid 58,0
1054layer 0
1055va (VaSet
1056vasetType 1
1057fg "65280,65280,46080"
1058lineColor "0,0,32768"
1059)
1060xt "0,-6000,33000,0"
1061)
1062text (MLText
1063uid 59,0
1064va (VaSet
1065fg "0,0,32768"
1066font "Arial,10,0"
1067)
1068xt "200,-5800,32200,-600"
1069st "
1070Created using Mentor Graphics HDL2Graphics(TM) Technology
1071on - 10:50:06 23.06.2010
1072from - D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl\\trigger__generator_beha.vhd
1073
1074"
1075tm "CommentText"
1076wrapOption 3
1077visibleHeight 5600
1078visibleWidth 32600
1079)
1080)
1081]
1082bg "65535,65535,65535"
1083grid (Grid
1084origin "0,0"
1085isVisible 1
1086isActive 1
1087xSpacing 1000
1088xySpacing 1000
1089xShown 1
1090yShown 1
1091color "26368,26368,26368"
1092)
1093packageList *72 (PackageList
1094uid 62,0
1095stg "VerticalLayoutStrategy"
1096textVec [
1097*73 (Text
1098uid 63,0
1099va (VaSet
1100font "Arial,8,1"
1101)
1102xt "0,1000,5400,2000"
1103st "Package List"
1104blo "0,1800"
1105)
1106*74 (MLText
1107uid 64,0
1108va (VaSet
1109)
1110xt "0,2000,14100,10000"
1111st "LIBRARY ieee;
1112USE ieee.std_logic_1164.all;
1113--USE ieee.NUMERIC_STD.all;
1114use ieee.std_logic_arith.all;
1115use ieee.std_logic_unsigned.all;
1116
1117library FACT_FAD_lib;
1118use FACT_FAD_lib.fad_definitions.all;"
1119tm "PackageList"
1120)
1121]
1122)
1123windowSize "0,0,1015,690"
1124viewArea "0,0,0,0"
1125cachedDiagramExtent "0,0,0,0"
1126pageBreakOrigin "0,0"
1127defaultCommentText (CommentText
1128shape (Rectangle
1129layer 0
1130va (VaSet
1131vasetType 1
1132fg "65280,65280,46080"
1133lineColor "0,0,32768"
1134)
1135xt "0,0,15000,5000"
1136)
1137text (MLText
1138va (VaSet
1139fg "0,0,32768"
1140)
1141xt "200,200,2000,1200"
1142st "
1143Text
1144"
1145tm "CommentText"
1146wrapOption 3
1147visibleHeight 4600
1148visibleWidth 14600
1149)
1150)
1151defaultPanel (Panel
1152shape (RectFrame
1153va (VaSet
1154vasetType 1
1155fg "65535,65535,65535"
1156lineColor "32768,0,0"
1157lineWidth 3
1158)
1159xt "0,0,20000,20000"
1160)
1161title (TextAssociate
1162ps "TopLeftStrategy"
1163text (Text
1164va (VaSet
1165font "Arial,8,1"
1166)
1167xt "1000,1000,3800,2000"
1168st "Panel0"
1169blo "1000,1800"
1170tm "PanelText"
1171)
1172)
1173)
1174parentGraphicsRef (HdmGraphicsRef
1175libraryName ""
1176entityName ""
1177viewName ""
1178)
1179defaultSymbolBody (SymbolBody
1180shape (Rectangle
1181va (VaSet
1182vasetType 1
1183fg "0,65535,0"
1184lineColor "0,32896,0"
1185lineWidth 2
1186)
1187xt "15000,6000,33000,26000"
1188)
1189biTextGroup (BiTextGroup
1190ps "CenterOffsetStrategy"
1191stg "VerticalLayoutStrategy"
1192first (Text
1193va (VaSet
1194font "Arial,8,1"
1195)
1196xt "22200,15000,25800,16000"
1197st "<library>"
1198blo "22200,15800"
1199)
1200second (Text
1201va (VaSet
1202font "Arial,8,1"
1203)
1204xt "22200,16000,24800,17000"
1205st "<cell>"
1206blo "22200,16800"
1207)
1208)
1209gi *75 (GenericInterface
1210ps "CenterOffsetStrategy"
1211matrix (Matrix
1212text (MLText
1213va (VaSet
1214font "Courier New,8,0"
1215)
1216xt "0,12000,11500,12800"
1217st "Generic Declarations"
1218)
1219header "Generic Declarations"
1220showHdrWhenContentsEmpty 1
1221)
1222elements [
1223]
1224)
1225portInstanceVisAsIs 1
1226portInstanceVis (PortSigDisplay
1227sIVOD 1
1228)
1229portVis (PortSigDisplay
1230sIVOD 1
1231)
1232)
1233defaultCptPort (CptPort
1234ps "OnEdgeStrategy"
1235shape (Triangle
1236ro 90
1237va (VaSet
1238vasetType 1
1239fg "0,65535,0"
1240)
1241xt "0,0,750,750"
1242)
1243tg (CPTG
1244ps "CptPortTextPlaceStrategy"
1245stg "VerticalLayoutStrategy"
1246f (Text
1247va (VaSet
1248)
1249xt "0,750,1400,1750"
1250st "In0"
1251blo "0,1550"
1252tm "CptPortNameMgr"
1253)
1254)
1255dt (MLText
1256va (VaSet
1257font "Courier New,8,0"
1258)
1259)
1260thePort (LogicalPort
1261decl (Decl
1262n "In0"
1263t "std_logic_vector"
1264b "(15 DOWNTO 0)"
1265o 0
1266)
1267)
1268)
1269defaultCptPortBuffer (CptPort
1270ps "OnEdgeStrategy"
1271shape (Diamond
1272va (VaSet
1273vasetType 1
1274fg "65535,65535,65535"
1275bg "0,0,0"
1276)
1277xt "0,0,750,750"
1278)
1279tg (CPTG
1280ps "CptPortTextPlaceStrategy"
1281stg "VerticalLayoutStrategy"
1282f (Text
1283va (VaSet
1284)
1285xt "0,750,2800,1750"
1286st "Buffer0"
1287blo "0,1550"
1288tm "CptPortNameMgr"
1289)
1290)
1291dt (MLText
1292va (VaSet
1293font "Courier New,8,0"
1294)
1295)
1296thePort (LogicalPort
1297m 3
1298decl (Decl
1299n "Buffer0"
1300t "std_logic_vector"
1301b "(15 DOWNTO 0)"
1302o 0
1303)
1304)
1305)
1306DeclarativeBlock *76 (SymDeclBlock
1307uid 1,0
1308stg "SymDeclLayoutStrategy"
1309declLabel (Text
1310uid 2,0
1311va (VaSet
1312font "Arial,8,1"
1313)
1314xt "0,11000,5400,12000"
1315st "Declarations"
1316blo "0,11800"
1317)
1318portLabel (Text
1319uid 3,0
1320va (VaSet
1321font "Arial,8,1"
1322)
1323xt "0,12000,2700,13000"
1324st "Ports:"
1325blo "0,12800"
1326)
1327externalLabel (Text
1328uid 4,0
1329va (VaSet
1330font "Arial,8,1"
1331)
1332xt "0,13800,2400,14800"
1333st "User:"
1334blo "0,14600"
1335)
1336internalLabel (Text
1337uid 6,0
1338va (VaSet
1339isHidden 1
1340font "Arial,8,1"
1341)
1342xt "0,11000,5800,12000"
1343st "Internal User:"
1344blo "0,11800"
1345)
1346externalText (MLText
1347uid 5,0
1348va (VaSet
1349font "Courier New,8,0"
1350)
1351xt "2000,14800,2000,14800"
1352tm "SyDeclarativeTextMgr"
1353)
1354internalText (MLText
1355uid 7,0
1356va (VaSet
1357isHidden 1
1358font "Courier New,8,0"
1359)
1360xt "0,11000,0,11000"
1361tm "SyDeclarativeTextMgr"
1362)
1363)
1364lastUid 125,0
1365activeModelName "Symbol:CDM"
1366)
Note: See TracBrowser for help on using the repository browser.