source: firmware/FAD/FACT_FAD_TB_lib/hds/trigger_manager_tb/struct.bd

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 41.3 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20instances [
21(Instance
22name "U_0"
23duLibraryName "FACT_FAD_lib"
24duName "trigger_manager"
25elements [
26]
27mwi 0
28uid 33,0
29)
30(Instance
31name "U_1"
32duLibraryName "FACT_FAD_TB_lib"
33duName "trigger_manager_tester"
34elements [
35]
36mwi 0
37uid 91,0
38)
39(Instance
40name "U_2"
41duLibraryName "FACT_FAD_TB_lib"
42duName "clock_generator"
43elements [
44(GiElement
45name "clock_period"
46type "time"
47value "20 ns"
48)
49(GiElement
50name "reset_time"
51type "time"
52value "50 ns"
53)
54]
55mwi 0
56uid 308,0
57)
58]
59libraryRefs [
60"ieee"
61]
62)
63version "29.1"
64appVersion "2009.1 (Build 12)"
65noEmbeddedEditors 1
66model (BlockDiag
67VExpander (VariableExpander
68vvMap [
69(vvPair
70variable "HDLDir"
71value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
72)
73(vvPair
74variable "HDSDir"
75value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
76)
77(vvPair
78variable "SideDataDesignDir"
79value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\struct.bd.info"
80)
81(vvPair
82variable "SideDataUserDir"
83value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\struct.bd.user"
84)
85(vvPair
86variable "SourceDir"
87value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
88)
89(vvPair
90variable "appl"
91value "HDL Designer"
92)
93(vvPair
94variable "arch_name"
95value "struct"
96)
97(vvPair
98variable "config"
99value "%(unit)_%(view)_config"
100)
101(vvPair
102variable "d"
103value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb"
104)
105(vvPair
106variable "d_logical"
107value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb"
108)
109(vvPair
110variable "date"
111value "20.01.2011"
112)
113(vvPair
114variable "day"
115value "Do"
116)
117(vvPair
118variable "day_long"
119value "Donnerstag"
120)
121(vvPair
122variable "dd"
123value "20"
124)
125(vvPair
126variable "entity_name"
127value "trigger_manager_tb"
128)
129(vvPair
130variable "ext"
131value "<TBD>"
132)
133(vvPair
134variable "f"
135value "struct.bd"
136)
137(vvPair
138variable "f_logical"
139value "struct.bd"
140)
141(vvPair
142variable "f_noext"
143value "struct"
144)
145(vvPair
146variable "group"
147value "UNKNOWN"
148)
149(vvPair
150variable "host"
151value "IHP110"
152)
153(vvPair
154variable "language"
155value "VHDL"
156)
157(vvPair
158variable "library"
159value "FACT_FAD_TB_lib"
160)
161(vvPair
162variable "library_downstream_ModelSimCompiler"
163value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
164)
165(vvPair
166variable "mm"
167value "01"
168)
169(vvPair
170variable "module_name"
171value "trigger_manager_tb"
172)
173(vvPair
174variable "month"
175value "Jan"
176)
177(vvPair
178variable "month_long"
179value "Januar"
180)
181(vvPair
182variable "p"
183value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\struct.bd"
184)
185(vvPair
186variable "p_logical"
187value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\struct.bd"
188)
189(vvPair
190variable "package_name"
191value "<Undefined Variable>"
192)
193(vvPair
194variable "project_name"
195value "FACT_FAD"
196)
197(vvPair
198variable "series"
199value "HDL Designer Series"
200)
201(vvPair
202variable "task_DesignCompilerPath"
203value "<TBD>"
204)
205(vvPair
206variable "task_LeonardoPath"
207value "<TBD>"
208)
209(vvPair
210variable "task_ModelSimPath"
211value "D:\\modeltech_6.5e\\win32"
212)
213(vvPair
214variable "task_NC-SimPath"
215value "<TBD>"
216)
217(vvPair
218variable "task_PrecisionRTLPath"
219value "<TBD>"
220)
221(vvPair
222variable "task_QuestaSimPath"
223value "<TBD>"
224)
225(vvPair
226variable "task_VCSPath"
227value "<TBD>"
228)
229(vvPair
230variable "this_ext"
231value "bd"
232)
233(vvPair
234variable "this_file"
235value "struct"
236)
237(vvPair
238variable "this_file_logical"
239value "struct"
240)
241(vvPair
242variable "time"
243value "09:31:34"
244)
245(vvPair
246variable "unit"
247value "trigger_manager_tb"
248)
249(vvPair
250variable "user"
251value "daqct3"
252)
253(vvPair
254variable "version"
255value "2009.1 (Build 12)"
256)
257(vvPair
258variable "view"
259value "struct"
260)
261(vvPair
262variable "year"
263value "2011"
264)
265(vvPair
266variable "yy"
267value "11"
268)
269]
270)
271LanguageMgr "VhdlLangMgr"
272uid 204,0
273optionalChildren [
274*1 (SaComponent
275uid 33,0
276optionalChildren [
277*2 (CptPort
278uid 9,0
279ps "OnEdgeStrategy"
280shape (Triangle
281uid 10,0
282ro 90
283va (VaSet
284vasetType 1
285fg "0,65535,0"
286)
287xt "18250,19625,19000,20375"
288)
289tg (CPTG
290uid 11,0
291ps "CptPortTextPlaceStrategy"
292stg "VerticalLayoutStrategy"
293f (Text
294uid 12,0
295va (VaSet
296)
297xt "20000,19500,21300,20500"
298st "clk"
299blo "20000,20300"
300)
301)
302thePort (LogicalPort
303decl (Decl
304n "clk"
305t "std_logic"
306o 1
307)
308)
309)
310*3 (CptPort
311uid 13,0
312ps "OnEdgeStrategy"
313shape (Triangle
314uid 14,0
315ro 90
316va (VaSet
317vasetType 1
318fg "0,65535,0"
319)
320xt "18250,20625,19000,21375"
321)
322tg (CPTG
323uid 15,0
324ps "CptPortTextPlaceStrategy"
325stg "VerticalLayoutStrategy"
326f (Text
327uid 16,0
328va (VaSet
329)
330xt "20000,20500,24200,21500"
331st "trigger_in"
332blo "20000,21300"
333)
334)
335thePort (LogicalPort
336decl (Decl
337n "trigger_in"
338t "std_logic"
339o 2
340)
341)
342)
343*4 (CptPort
344uid 17,0
345ps "OnEdgeStrategy"
346shape (Triangle
347uid 18,0
348ro 90
349va (VaSet
350vasetType 1
351fg "0,65535,0"
352)
353xt "38000,19625,38750,20375"
354)
355tg (CPTG
356uid 19,0
357ps "CptPortTextPlaceStrategy"
358stg "RightVerticalLayoutStrategy"
359f (Text
360uid 20,0
361va (VaSet
362)
363xt "32400,19500,37000,20500"
364st "trigger_out"
365ju 2
366blo "37000,20300"
367)
368)
369thePort (LogicalPort
370m 1
371decl (Decl
372n "trigger_out"
373t "std_logic"
374o 3
375i "'0'"
376)
377)
378)
379*5 (CptPort
380uid 21,0
381ps "OnEdgeStrategy"
382shape (Triangle
383uid 22,0
384ro 90
385va (VaSet
386vasetType 1
387fg "0,65535,0"
388)
389xt "38000,20625,38750,21375"
390)
391tg (CPTG
392uid 23,0
393ps "CptPortTextPlaceStrategy"
394stg "RightVerticalLayoutStrategy"
395f (Text
396uid 24,0
397va (VaSet
398)
399xt "33500,20500,37000,21500"
400st "drs_write"
401ju 2
402blo "37000,21300"
403)
404)
405thePort (LogicalPort
406m 1
407decl (Decl
408n "drs_write"
409t "std_logic"
410o 4
411i "'1'"
412)
413)
414)
415*6 (CptPort
416uid 25,0
417ps "OnEdgeStrategy"
418shape (Triangle
419uid 26,0
420ro 90
421va (VaSet
422vasetType 1
423fg "0,65535,0"
424)
425xt "18250,21625,19000,22375"
426)
427tg (CPTG
428uid 27,0
429ps "CptPortTextPlaceStrategy"
430stg "VerticalLayoutStrategy"
431f (Text
432uid 28,0
433va (VaSet
434)
435xt "20000,21500,27000,22500"
436st "drs_readout_ready"
437blo "20000,22300"
438)
439)
440thePort (LogicalPort
441decl (Decl
442n "drs_readout_ready"
443t "std_logic"
444o 5
445)
446)
447)
448*7 (CptPort
449uid 29,0
450ps "OnEdgeStrategy"
451shape (Triangle
452uid 30,0
453ro 90
454va (VaSet
455vasetType 1
456fg "0,65535,0"
457)
458xt "38000,21625,38750,22375"
459)
460tg (CPTG
461uid 31,0
462ps "CptPortTextPlaceStrategy"
463stg "RightVerticalLayoutStrategy"
464f (Text
465uid 32,0
466va (VaSet
467)
468xt "28100,21500,37000,22500"
469st "drs_readout_ready_ack"
470ju 2
471blo "37000,22300"
472)
473)
474thePort (LogicalPort
475m 1
476decl (Decl
477n "drs_readout_ready_ack"
478t "std_logic"
479o 6
480i "'0'"
481)
482)
483)
484]
485shape (Rectangle
486uid 34,0
487va (VaSet
488vasetType 1
489fg "0,65535,0"
490lineColor "0,32896,0"
491lineWidth 2
492)
493xt "19000,19000,38000,23000"
494)
495oxt "0,10000,19000,14000"
496ttg (MlTextGroup
497uid 35,0
498ps "CenterOffsetStrategy"
499stg "VerticalLayoutStrategy"
500textVec [
501*8 (Text
502uid 36,0
503va (VaSet
504font "Arial,8,1"
505)
506xt "25050,23000,31250,24000"
507st "FACT_FAD_lib"
508blo "25050,23800"
509tm "BdLibraryNameMgr"
510)
511*9 (Text
512uid 37,0
513va (VaSet
514font "Arial,8,1"
515)
516xt "25050,24000,31950,25000"
517st "trigger_manager"
518blo "25050,24800"
519tm "CptNameMgr"
520)
521*10 (Text
522uid 38,0
523va (VaSet
524font "Arial,8,1"
525)
526xt "25050,25000,26850,26000"
527st "U_0"
528blo "25050,25800"
529tm "InstanceNameMgr"
530)
531]
532)
533ga (GenericAssociation
534uid 39,0
535ps "EdgeToEdgeStrategy"
536matrix (Matrix
537uid 40,0
538text (MLText
539uid 41,0
540va (VaSet
541font "Courier New,8,0"
542)
543xt "28500,19000,28500,19000"
544)
545header ""
546)
547elements [
548]
549)
550viewicon (ZoomableIcon
551uid 42,0
552sl 0
553va (VaSet
554vasetType 1
555fg "49152,49152,49152"
556)
557xt "19250,21250,20750,22750"
558iconName "VhdlFileViewIcon.png"
559iconMaskName "VhdlFileViewIcon.msk"
560ftype 10
561)
562ordering 1
563viewiconposition 0
564portVis (PortSigDisplay
565)
566archType 1
567archFileType "UNKNOWN"
568)
569*11 (Net
570uid 43,0
571decl (Decl
572n "trigger_out"
573t "std_logic"
574o 1
575suid 1,0
576i "'0'"
577)
578declText (MLText
579uid 44,0
580va (VaSet
581font "Courier New,8,0"
582)
583xt "22000,7000,47500,7800"
584st "SIGNAL trigger_out : std_logic := '0'"
585)
586)
587*12 (Net
588uid 51,0
589decl (Decl
590n "drs_write"
591t "std_logic"
592o 2
593suid 2,0
594i "'1'"
595)
596declText (MLText
597uid 52,0
598va (VaSet
599font "Courier New,8,0"
600)
601xt "22000,5400,47500,6200"
602st "SIGNAL drs_write : std_logic := '1'"
603)
604)
605*13 (Net
606uid 59,0
607lang 10
608decl (Decl
609n "drs_readout_ready_ack"
610t "std_logic"
611o 3
612suid 3,0
613)
614declText (MLText
615uid 60,0
616va (VaSet
617font "Courier New,8,0"
618)
619xt "22000,4600,44000,5400"
620st "SIGNAL drs_readout_ready_ack : std_logic"
621)
622)
623*14 (Net
624uid 67,0
625decl (Decl
626n "clk"
627t "std_logic"
628o 4
629suid 4,0
630)
631declText (MLText
632uid 68,0
633va (VaSet
634font "Courier New,8,0"
635)
636xt "22000,3000,44000,3800"
637st "SIGNAL clk : std_logic"
638)
639)
640*15 (Net
641uid 75,0
642lang 10
643decl (Decl
644n "trigger_in"
645t "std_logic"
646o 5
647suid 5,0
648i "'0'"
649)
650declText (MLText
651uid 76,0
652va (VaSet
653font "Courier New,8,0"
654)
655xt "22000,6200,47500,7000"
656st "SIGNAL trigger_in : std_logic := '0'"
657)
658)
659*16 (Net
660uid 83,0
661lang 10
662decl (Decl
663n "drs_readout_ready"
664t "std_logic"
665o 6
666suid 6,0
667i "'0'"
668)
669declText (MLText
670uid 84,0
671va (VaSet
672font "Courier New,8,0"
673)
674xt "22000,3800,47500,4600"
675st "SIGNAL drs_readout_ready : std_logic := '0'"
676)
677)
678*17 (Blk
679uid 91,0
680shape (Rectangle
681uid 92,0
682va (VaSet
683vasetType 1
684fg "39936,56832,65280"
685lineColor "0,0,32768"
686lineWidth 2
687)
688xt "19000,29000,38000,33000"
689)
690oxt "45000,10000,64000,14000"
691ttg (MlTextGroup
692uid 93,0
693ps "CenterOffsetStrategy"
694stg "VerticalLayoutStrategy"
695textVec [
696*18 (Text
697uid 94,0
698va (VaSet
699font "Arial,8,1"
700)
701xt "24650,29500,32350,30500"
702st "FACT_FAD_TB_lib"
703blo "24650,30300"
704tm "BdLibraryNameMgr"
705)
706*19 (Text
707uid 95,0
708va (VaSet
709font "Arial,8,1"
710)
711xt "24650,30500,34450,31500"
712st "trigger_manager_tester"
713blo "24650,31300"
714tm "BlkNameMgr"
715)
716*20 (Text
717uid 96,0
718va (VaSet
719font "Arial,8,1"
720)
721xt "24650,31500,26450,32500"
722st "U_1"
723blo "24650,32300"
724tm "InstanceNameMgr"
725)
726]
727)
728ga (GenericAssociation
729uid 97,0
730ps "EdgeToEdgeStrategy"
731matrix (Matrix
732uid 98,0
733text (MLText
734uid 99,0
735va (VaSet
736font "Courier New,8,0"
737)
738xt "24650,39500,24650,39500"
739)
740header ""
741)
742elements [
743]
744)
745viewicon (ZoomableIcon
746uid 100,0
747sl 0
748va (VaSet
749vasetType 1
750fg "49152,49152,49152"
751)
752xt "19250,31250,20750,32750"
753iconName "VhdlFileViewIcon.png"
754iconMaskName "VhdlFileViewIcon.msk"
755ftype 10
756)
757ordering 1
758viewiconposition 0
759blkPorts [
760"drs_readout_ready_ack"
761"drs_readout_ready"
762"trigger_in"
763]
764)
765*21 (Grouping
766uid 149,0
767optionalChildren [
768*22 (CommentText
769uid 151,0
770shape (Rectangle
771uid 152,0
772sl 0
773va (VaSet
774vasetType 1
775fg "65280,65280,46080"
776)
777xt "20000,50000,37000,51000"
778)
779oxt "18000,70000,35000,71000"
780text (MLText
781uid 153,0
782va (VaSet
783fg "0,0,32768"
784bg "0,0,32768"
785)
786xt "20200,50000,29800,51000"
787st "
788by %user on %dd %month %year
789"
790tm "CommentText"
791wrapOption 3
792visibleHeight 1000
793visibleWidth 17000
794)
795position 1
796ignorePrefs 1
797titleBlock 1
798)
799*23 (CommentText
800uid 154,0
801shape (Rectangle
802uid 155,0
803sl 0
804va (VaSet
805vasetType 1
806fg "65280,65280,46080"
807)
808xt "37000,46000,41000,47000"
809)
810oxt "35000,66000,39000,67000"
811text (MLText
812uid 156,0
813va (VaSet
814fg "0,0,32768"
815bg "0,0,32768"
816)
817xt "37200,46000,40200,47000"
818st "
819Project:
820"
821tm "CommentText"
822wrapOption 3
823visibleHeight 1000
824visibleWidth 4000
825)
826position 1
827ignorePrefs 1
828titleBlock 1
829)
830*24 (CommentText
831uid 157,0
832shape (Rectangle
833uid 158,0
834sl 0
835va (VaSet
836vasetType 1
837fg "65280,65280,46080"
838)
839xt "20000,48000,37000,49000"
840)
841oxt "18000,68000,35000,69000"
842text (MLText
843uid 159,0
844va (VaSet
845fg "0,0,32768"
846bg "0,0,32768"
847)
848xt "20200,48000,30200,49000"
849st "
850<enter diagram title here>
851"
852tm "CommentText"
853wrapOption 3
854visibleHeight 1000
855visibleWidth 17000
856)
857position 1
858ignorePrefs 1
859titleBlock 1
860)
861*25 (CommentText
862uid 160,0
863shape (Rectangle
864uid 161,0
865sl 0
866va (VaSet
867vasetType 1
868fg "65280,65280,46080"
869)
870xt "16000,48000,20000,49000"
871)
872oxt "14000,68000,18000,69000"
873text (MLText
874uid 162,0
875va (VaSet
876fg "0,0,32768"
877bg "0,0,32768"
878)
879xt "16200,48000,18300,49000"
880st "
881Title:
882"
883tm "CommentText"
884wrapOption 3
885visibleHeight 1000
886visibleWidth 4000
887)
888position 1
889ignorePrefs 1
890titleBlock 1
891)
892*26 (CommentText
893uid 163,0
894shape (Rectangle
895uid 164,0
896sl 0
897va (VaSet
898vasetType 1
899fg "65280,65280,46080"
900)
901xt "37000,47000,57000,51000"
902)
903oxt "35000,67000,55000,71000"
904text (MLText
905uid 165,0
906va (VaSet
907fg "0,0,32768"
908bg "0,0,32768"
909)
910xt "37200,47200,46400,48200"
911st "
912<enter comments here>
913"
914tm "CommentText"
915wrapOption 3
916visibleHeight 4000
917visibleWidth 20000
918)
919ignorePrefs 1
920titleBlock 1
921)
922*27 (CommentText
923uid 166,0
924shape (Rectangle
925uid 167,0
926sl 0
927va (VaSet
928vasetType 1
929fg "65280,65280,46080"
930)
931xt "41000,46000,57000,47000"
932)
933oxt "39000,66000,55000,67000"
934text (MLText
935uid 168,0
936va (VaSet
937fg "0,0,32768"
938bg "0,0,32768"
939)
940xt "41200,46000,45700,47000"
941st "
942%project_name
943"
944tm "CommentText"
945wrapOption 3
946visibleHeight 1000
947visibleWidth 16000
948)
949position 1
950ignorePrefs 1
951titleBlock 1
952)
953*28 (CommentText
954uid 169,0
955shape (Rectangle
956uid 170,0
957sl 0
958va (VaSet
959vasetType 1
960fg "65280,65280,46080"
961)
962xt "16000,46000,37000,48000"
963)
964oxt "14000,66000,35000,68000"
965text (MLText
966uid 171,0
967va (VaSet
968fg "32768,0,0"
969)
970xt "23150,46500,29850,47500"
971st "
972<company name>
973"
974ju 0
975tm "CommentText"
976wrapOption 3
977visibleHeight 2000
978visibleWidth 21000
979)
980position 1
981ignorePrefs 1
982titleBlock 1
983)
984*29 (CommentText
985uid 172,0
986shape (Rectangle
987uid 173,0
988sl 0
989va (VaSet
990vasetType 1
991fg "65280,65280,46080"
992)
993xt "16000,49000,20000,50000"
994)
995oxt "14000,69000,18000,70000"
996text (MLText
997uid 174,0
998va (VaSet
999fg "0,0,32768"
1000bg "0,0,32768"
1001)
1002xt "16200,49000,18300,50000"
1003st "
1004Path:
1005"
1006tm "CommentText"
1007wrapOption 3
1008visibleHeight 1000
1009visibleWidth 4000
1010)
1011position 1
1012ignorePrefs 1
1013titleBlock 1
1014)
1015*30 (CommentText
1016uid 175,0
1017shape (Rectangle
1018uid 176,0
1019sl 0
1020va (VaSet
1021vasetType 1
1022fg "65280,65280,46080"
1023)
1024xt "16000,50000,20000,51000"
1025)
1026oxt "14000,70000,18000,71000"
1027text (MLText
1028uid 177,0
1029va (VaSet
1030fg "0,0,32768"
1031bg "0,0,32768"
1032)
1033xt "16200,50000,18900,51000"
1034st "
1035Edited:
1036"
1037tm "CommentText"
1038wrapOption 3
1039visibleHeight 1000
1040visibleWidth 4000
1041)
1042position 1
1043ignorePrefs 1
1044titleBlock 1
1045)
1046*31 (CommentText
1047uid 178,0
1048shape (Rectangle
1049uid 179,0
1050sl 0
1051va (VaSet
1052vasetType 1
1053fg "65280,65280,46080"
1054)
1055xt "20000,49000,37000,50000"
1056)
1057oxt "18000,69000,35000,70000"
1058text (MLText
1059uid 180,0
1060va (VaSet
1061fg "0,0,32768"
1062bg "0,0,32768"
1063)
1064xt "20200,49000,35300,50000"
1065st "
1066%library/%unit/%view
1067"
1068tm "CommentText"
1069wrapOption 3
1070visibleHeight 1000
1071visibleWidth 17000
1072)
1073position 1
1074ignorePrefs 1
1075titleBlock 1
1076)
1077]
1078shape (GroupingShape
1079uid 150,0
1080va (VaSet
1081vasetType 1
1082fg "65535,65535,65535"
1083lineStyle 2
1084lineWidth 2
1085)
1086xt "16000,46000,57000,51000"
1087)
1088oxt "14000,66000,55000,71000"
1089)
1090*32 (SaComponent
1091uid 308,0
1092optionalChildren [
1093*33 (CptPort
1094uid 297,0
1095ps "OnEdgeStrategy"
1096shape (Triangle
1097uid 298,0
1098ro 90
1099va (VaSet
1100vasetType 1
1101fg "0,65535,0"
1102)
1103xt "0,18625,750,19375"
1104)
1105tg (CPTG
1106uid 299,0
1107ps "CptPortTextPlaceStrategy"
1108stg "RightVerticalLayoutStrategy"
1109f (Text
1110uid 300,0
1111va (VaSet
1112)
1113xt "-2300,18500,-1000,19500"
1114st "clk"
1115ju 2
1116blo "-1000,19300"
1117)
1118)
1119thePort (LogicalPort
1120m 1
1121decl (Decl
1122n "clk"
1123t "std_logic"
1124preAdd 0
1125posAdd 0
1126o 1
1127suid 1,0
1128i "'0'"
1129)
1130)
1131)
1132*34 (CptPort
1133uid 301,0
1134ps "OnEdgeStrategy"
1135shape (Triangle
1136uid 302,0
1137ro 90
1138va (VaSet
1139vasetType 1
1140fg "0,65535,0"
1141)
1142xt "0,19625,750,20375"
1143)
1144tg (CPTG
1145uid 303,0
1146ps "CptPortTextPlaceStrategy"
1147stg "RightVerticalLayoutStrategy"
1148f (Text
1149uid 304,0
1150va (VaSet
1151)
1152xt "-2300,19500,-1000,20500"
1153st "rst"
1154ju 2
1155blo "-1000,20300"
1156)
1157)
1158thePort (LogicalPort
1159m 1
1160decl (Decl
1161n "rst"
1162t "std_logic"
1163preAdd 0
1164posAdd 0
1165o 2
1166suid 2,0
1167i "'0'"
1168)
1169)
1170)
1171*35 (CommentText
1172uid 305,0
1173ps "EdgeToEdgeStrategy"
1174shape (Rectangle
1175uid 306,0
1176layer 0
1177va (VaSet
1178vasetType 1
1179fg "65280,65280,46080"
1180lineColor "0,0,32768"
1181)
1182xt "-8000,14000,7000,18000"
1183)
1184oxt "21500,4000,36500,8000"
1185text (MLText
1186uid 307,0
1187va (VaSet
1188fg "0,0,32768"
1189)
1190xt "-7800,14200,2000,15200"
1191st "
1192-- synthesis translate_off
1193"
1194tm "CommentText"
1195wrapOption 3
1196visibleHeight 4000
1197visibleWidth 15000
1198)
1199included 1
1200excludeCommentLeader 1
1201)
1202]
1203shape (Rectangle
1204uid 309,0
1205va (VaSet
1206vasetType 1
1207fg "0,49152,49152"
1208lineColor "0,0,50000"
1209lineWidth 2
1210)
1211xt "-8000,18000,0,22000"
1212)
1213oxt "22000,15000,30000,19000"
1214ttg (MlTextGroup
1215uid 310,0
1216ps "CenterOffsetStrategy"
1217stg "VerticalLayoutStrategy"
1218textVec [
1219*36 (Text
1220uid 311,0
1221va (VaSet
1222font "Arial,8,1"
1223)
1224xt "-7850,22000,-150,23000"
1225st "FACT_FAD_TB_lib"
1226blo "-7850,22800"
1227tm "BdLibraryNameMgr"
1228)
1229*37 (Text
1230uid 312,0
1231va (VaSet
1232font "Arial,8,1"
1233)
1234xt "-7850,23000,-1150,24000"
1235st "clock_generator"
1236blo "-7850,23800"
1237tm "CptNameMgr"
1238)
1239*38 (Text
1240uid 313,0
1241va (VaSet
1242font "Arial,8,1"
1243)
1244xt "-7850,24000,-6050,25000"
1245st "U_2"
1246blo "-7850,24800"
1247tm "InstanceNameMgr"
1248)
1249]
1250)
1251ga (GenericAssociation
1252uid 314,0
1253ps "EdgeToEdgeStrategy"
1254matrix (Matrix
1255uid 315,0
1256text (MLText
1257uid 316,0
1258va (VaSet
1259font "Courier New,8,0"
1260)
1261xt "-8000,12400,10500,14000"
1262st "clock_period = 20 ns ( time )
1263reset_time = 50 ns ( time ) "
1264)
1265header ""
1266)
1267elements [
1268(GiElement
1269name "clock_period"
1270type "time"
1271value "20 ns"
1272)
1273(GiElement
1274name "reset_time"
1275type "time"
1276value "50 ns"
1277)
1278]
1279)
1280viewicon (ZoomableIcon
1281uid 317,0
1282sl 0
1283va (VaSet
1284vasetType 1
1285fg "49152,49152,49152"
1286)
1287xt "-7750,20250,-6250,21750"
1288iconName "VhdlFileViewIcon.png"
1289iconMaskName "VhdlFileViewIcon.msk"
1290ftype 10
1291)
1292ordering 1
1293viewiconposition 0
1294portVis (PortSigDisplay
1295)
1296archFileType "UNKNOWN"
1297)
1298*39 (Wire
1299uid 45,0
1300shape (OrthoPolyLine
1301uid 46,0
1302va (VaSet
1303vasetType 3
1304)
1305xt "38750,20000,50000,20000"
1306pts [
1307"38750,20000"
1308"50000,20000"
1309]
1310)
1311start &4
1312sat 32
1313eat 16
1314st 0
1315sf 1
1316si 0
1317tg (WTG
1318uid 49,0
1319ps "ConnStartEndStrategy"
1320stg "STSignalDisplayStrategy"
1321f (Text
1322uid 50,0
1323va (VaSet
1324)
1325xt "40000,19000,44600,20000"
1326st "trigger_out"
1327blo "40000,19800"
1328tm "WireNameMgr"
1329)
1330)
1331on &11
1332)
1333*40 (Wire
1334uid 53,0
1335shape (OrthoPolyLine
1336uid 54,0
1337va (VaSet
1338vasetType 3
1339)
1340xt "38750,21000,50000,21000"
1341pts [
1342"38750,21000"
1343"50000,21000"
1344]
1345)
1346start &5
1347sat 32
1348eat 16
1349st 0
1350sf 1
1351si 0
1352tg (WTG
1353uid 57,0
1354ps "ConnStartEndStrategy"
1355stg "STSignalDisplayStrategy"
1356f (Text
1357uid 58,0
1358va (VaSet
1359)
1360xt "40000,20000,43500,21000"
1361st "drs_write"
1362blo "40000,20800"
1363tm "WireNameMgr"
1364)
1365)
1366on &12
1367)
1368*41 (Wire
1369uid 61,0
1370shape (OrthoPolyLine
1371uid 62,0
1372va (VaSet
1373vasetType 3
1374)
1375xt "38750,22000,50000,22000"
1376pts [
1377"38750,22000"
1378"50000,22000"
1379]
1380)
1381start &7
1382sat 32
1383eat 16
1384st 0
1385sf 1
1386si 0
1387tg (WTG
1388uid 65,0
1389ps "ConnStartEndStrategy"
1390stg "STSignalDisplayStrategy"
1391f (Text
1392uid 66,0
1393va (VaSet
1394)
1395xt "40000,21000,48900,22000"
1396st "drs_readout_ready_ack"
1397blo "40000,21800"
1398tm "WireNameMgr"
1399)
1400)
1401on &13
1402)
1403*42 (Wire
1404uid 69,0
1405shape (OrthoPolyLine
1406uid 70,0
1407va (VaSet
1408vasetType 3
1409)
1410xt "750,19000,18250,20000"
1411pts [
1412"750,19000"
1413"9000,19000"
1414"9000,20000"
1415"18250,20000"
1416]
1417)
1418start &33
1419end &2
1420sat 32
1421eat 32
1422st 0
1423sf 1
1424si 0
1425tg (WTG
1426uid 73,0
1427ps "ConnStartEndStrategy"
1428stg "STSignalDisplayStrategy"
1429f (Text
1430uid 74,0
1431va (VaSet
1432)
1433xt "2750,18000,4050,19000"
1434st "clk"
1435blo "2750,18800"
1436tm "WireNameMgr"
1437)
1438)
1439on &14
1440)
1441*43 (Wire
1442uid 77,0
1443shape (OrthoPolyLine
1444uid 78,0
1445va (VaSet
1446vasetType 3
1447)
1448xt "9000,21000,18250,21000"
1449pts [
1450"9000,21000"
1451"18250,21000"
1452]
1453)
1454end &3
1455sat 16
1456eat 32
1457st 0
1458sf 1
1459si 0
1460tg (WTG
1461uid 81,0
1462ps "ConnStartEndStrategy"
1463stg "STSignalDisplayStrategy"
1464f (Text
1465uid 82,0
1466va (VaSet
1467)
1468xt "10000,20000,14200,21000"
1469st "trigger_in"
1470blo "10000,20800"
1471tm "WireNameMgr"
1472)
1473)
1474on &15
1475)
1476*44 (Wire
1477uid 85,0
1478shape (OrthoPolyLine
1479uid 86,0
1480va (VaSet
1481vasetType 3
1482)
1483xt "9000,22000,18250,22000"
1484pts [
1485"9000,22000"
1486"18250,22000"
1487]
1488)
1489end &6
1490sat 16
1491eat 32
1492st 0
1493sf 1
1494si 0
1495tg (WTG
1496uid 89,0
1497ps "ConnStartEndStrategy"
1498stg "STSignalDisplayStrategy"
1499f (Text
1500uid 90,0
1501va (VaSet
1502)
1503xt "10000,21000,17000,22000"
1504st "drs_readout_ready"
1505blo "10000,21800"
1506tm "WireNameMgr"
1507)
1508)
1509on &16
1510)
1511*45 (Wire
1512uid 101,0
1513shape (OrthoPolyLine
1514uid 102,0
1515va (VaSet
1516vasetType 3
1517)
1518xt "9000,32000,19000,32000"
1519pts [
1520"9000,32000"
1521"19000,32000"
1522]
1523)
1524end &17
1525sat 16
1526eat 2
1527st 0
1528sf 1
1529si 0
1530tg (WTG
1531uid 107,0
1532ps "ConnStartEndStrategy"
1533stg "STSignalDisplayStrategy"
1534f (Text
1535uid 108,0
1536va (VaSet
1537)
1538xt "10000,31000,17000,32000"
1539st "drs_readout_ready"
1540blo "10000,31800"
1541tm "WireNameMgr"
1542)
1543)
1544on &16
1545)
1546*46 (Wire
1547uid 109,0
1548shape (OrthoPolyLine
1549uid 110,0
1550va (VaSet
1551vasetType 3
1552)
1553xt "9000,31000,19000,31000"
1554pts [
1555"9000,31000"
1556"19000,31000"
1557]
1558)
1559end &17
1560sat 16
1561eat 2
1562st 0
1563sf 1
1564si 0
1565tg (WTG
1566uid 115,0
1567ps "ConnStartEndStrategy"
1568stg "STSignalDisplayStrategy"
1569f (Text
1570uid 116,0
1571va (VaSet
1572)
1573xt "10000,30000,14200,31000"
1574st "trigger_in"
1575blo "10000,30800"
1576tm "WireNameMgr"
1577)
1578)
1579on &15
1580)
1581*47 (Wire
1582uid 125,0
1583shape (OrthoPolyLine
1584uid 126,0
1585va (VaSet
1586vasetType 3
1587)
1588xt "38000,32000,50000,32000"
1589pts [
1590"38000,32000"
1591"50000,32000"
1592]
1593)
1594start &17
1595sat 1
1596eat 16
1597st 0
1598sf 1
1599si 0
1600tg (WTG
1601uid 131,0
1602ps "ConnStartEndStrategy"
1603stg "STSignalDisplayStrategy"
1604f (Text
1605uid 132,0
1606va (VaSet
1607)
1608xt "40000,31000,48900,32000"
1609st "drs_readout_ready_ack"
1610blo "40000,31800"
1611tm "WireNameMgr"
1612)
1613)
1614on &13
1615)
1616]
1617bg "65535,65535,65535"
1618grid (Grid
1619origin "0,0"
1620isVisible 1
1621isActive 1
1622xSpacing 1000
1623xySpacing 1000
1624xShown 1
1625yShown 1
1626color "26368,26368,26368"
1627)
1628packageList *48 (PackageList
1629uid 193,0
1630stg "VerticalLayoutStrategy"
1631textVec [
1632*49 (Text
1633uid 194,0
1634va (VaSet
1635font "arial,8,1"
1636)
1637xt "0,0,5400,1000"
1638st "Package List"
1639blo "0,800"
1640)
1641*50 (MLText
1642uid 195,0
1643va (VaSet
1644)
1645xt "0,1000,12400,5000"
1646st "LIBRARY ieee;
1647USE ieee.std_logic_1164.ALL;
1648USE ieee.std_logic_arith.ALL;
1649USE ieee.std_logic_unsigned.all;"
1650tm "PackageList"
1651)
1652]
1653)
1654compDirBlock (MlTextGroup
1655uid 196,0
1656stg "VerticalLayoutStrategy"
1657textVec [
1658*51 (Text
1659uid 197,0
1660va (VaSet
1661isHidden 1
1662font "Arial,8,1"
1663)
1664xt "20000,0,28100,1000"
1665st "Compiler Directives"
1666blo "20000,800"
1667)
1668*52 (Text
1669uid 198,0
1670va (VaSet
1671isHidden 1
1672font "Arial,8,1"
1673)
1674xt "20000,1000,29600,2000"
1675st "Pre-module directives:"
1676blo "20000,1800"
1677)
1678*53 (MLText
1679uid 199,0
1680va (VaSet
1681isHidden 1
1682)
1683xt "20000,2000,27500,4000"
1684st "`resetall
1685`timescale 1ns/10ps"
1686tm "BdCompilerDirectivesTextMgr"
1687)
1688*54 (Text
1689uid 200,0
1690va (VaSet
1691isHidden 1
1692font "Arial,8,1"
1693)
1694xt "20000,4000,30100,5000"
1695st "Post-module directives:"
1696blo "20000,4800"
1697)
1698*55 (MLText
1699uid 201,0
1700va (VaSet
1701isHidden 1
1702)
1703xt "20000,0,20000,0"
1704tm "BdCompilerDirectivesTextMgr"
1705)
1706*56 (Text
1707uid 202,0
1708va (VaSet
1709isHidden 1
1710font "Arial,8,1"
1711)
1712xt "20000,5000,29900,6000"
1713st "End-module directives:"
1714blo "20000,5800"
1715)
1716*57 (MLText
1717uid 203,0
1718va (VaSet
1719isHidden 1
1720)
1721xt "20000,6000,20000,6000"
1722tm "BdCompilerDirectivesTextMgr"
1723)
1724]
1725associable 1
1726)
1727windowSize "-4,-4,1684,1024"
1728viewArea "-21092,-50,71455,57532"
1729cachedDiagramExtent "-8000,0,57000,51000"
1730hasePageBreakOrigin 1
1731pageBreakOrigin "-11000,0"
1732lastUid 325,0
1733defaultCommentText (CommentText
1734shape (Rectangle
1735layer 0
1736va (VaSet
1737vasetType 1
1738fg "65280,65280,46080"
1739lineColor "0,0,32768"
1740)
1741xt "0,0,15000,5000"
1742)
1743text (MLText
1744va (VaSet
1745fg "0,0,32768"
1746)
1747xt "200,200,2000,1200"
1748st "
1749Text
1750"
1751tm "CommentText"
1752wrapOption 3
1753visibleHeight 4600
1754visibleWidth 14600
1755)
1756)
1757defaultPanel (Panel
1758shape (RectFrame
1759va (VaSet
1760vasetType 1
1761fg "65535,65535,65535"
1762lineColor "32768,0,0"
1763lineWidth 3
1764)
1765xt "0,0,20000,20000"
1766)
1767title (TextAssociate
1768ps "TopLeftStrategy"
1769text (Text
1770va (VaSet
1771font "Arial,8,1"
1772)
1773xt "1000,1000,3800,2000"
1774st "Panel0"
1775blo "1000,1800"
1776tm "PanelText"
1777)
1778)
1779)
1780defaultBlk (Blk
1781shape (Rectangle
1782va (VaSet
1783vasetType 1
1784fg "39936,56832,65280"
1785lineColor "0,0,32768"
1786lineWidth 2
1787)
1788xt "0,0,8000,10000"
1789)
1790ttg (MlTextGroup
1791ps "CenterOffsetStrategy"
1792stg "VerticalLayoutStrategy"
1793textVec [
1794*58 (Text
1795va (VaSet
1796font "Arial,8,1"
1797)
1798xt "2200,3500,5800,4500"
1799st "<library>"
1800blo "2200,4300"
1801tm "BdLibraryNameMgr"
1802)
1803*59 (Text
1804va (VaSet
1805font "Arial,8,1"
1806)
1807xt "2200,4500,5600,5500"
1808st "<block>"
1809blo "2200,5300"
1810tm "BlkNameMgr"
1811)
1812*60 (Text
1813va (VaSet
1814font "Arial,8,1"
1815)
1816xt "2200,5500,4000,6500"
1817st "U_0"
1818blo "2200,6300"
1819tm "InstanceNameMgr"
1820)
1821]
1822)
1823ga (GenericAssociation
1824ps "EdgeToEdgeStrategy"
1825matrix (Matrix
1826text (MLText
1827va (VaSet
1828font "Courier New,8,0"
1829)
1830xt "2200,13500,2200,13500"
1831)
1832header ""
1833)
1834elements [
1835]
1836)
1837viewicon (ZoomableIcon
1838sl 0
1839va (VaSet
1840vasetType 1
1841fg "49152,49152,49152"
1842)
1843xt "0,0,1500,1500"
1844iconName "UnknownFile.png"
1845iconMaskName "UnknownFile.msk"
1846)
1847viewiconposition 0
1848)
1849defaultMWComponent (MWC
1850shape (Rectangle
1851va (VaSet
1852vasetType 1
1853fg "0,65535,0"
1854lineColor "0,32896,0"
1855lineWidth 2
1856)
1857xt "0,0,8000,10000"
1858)
1859ttg (MlTextGroup
1860ps "CenterOffsetStrategy"
1861stg "VerticalLayoutStrategy"
1862textVec [
1863*61 (Text
1864va (VaSet
1865font "Arial,8,1"
1866)
1867xt "550,3500,3450,4500"
1868st "Library"
1869blo "550,4300"
1870)
1871*62 (Text
1872va (VaSet
1873font "Arial,8,1"
1874)
1875xt "550,4500,7450,5500"
1876st "MWComponent"
1877blo "550,5300"
1878)
1879*63 (Text
1880va (VaSet
1881font "Arial,8,1"
1882)
1883xt "550,5500,2350,6500"
1884st "U_0"
1885blo "550,6300"
1886tm "InstanceNameMgr"
1887)
1888]
1889)
1890ga (GenericAssociation
1891ps "EdgeToEdgeStrategy"
1892matrix (Matrix
1893text (MLText
1894va (VaSet
1895font "Courier New,8,0"
1896)
1897xt "-6450,1500,-6450,1500"
1898)
1899header ""
1900)
1901elements [
1902]
1903)
1904portVis (PortSigDisplay
1905)
1906prms (Property
1907pclass "params"
1908pname "params"
1909ptn "String"
1910)
1911visOptions (mwParamsVisibilityOptions
1912)
1913)
1914defaultSaComponent (SaComponent
1915shape (Rectangle
1916va (VaSet
1917vasetType 1
1918fg "0,65535,0"
1919lineColor "0,32896,0"
1920lineWidth 2
1921)
1922xt "0,0,8000,10000"
1923)
1924ttg (MlTextGroup
1925ps "CenterOffsetStrategy"
1926stg "VerticalLayoutStrategy"
1927textVec [
1928*64 (Text
1929va (VaSet
1930font "Arial,8,1"
1931)
1932xt "900,3500,3800,4500"
1933st "Library"
1934blo "900,4300"
1935tm "BdLibraryNameMgr"
1936)
1937*65 (Text
1938va (VaSet
1939font "Arial,8,1"
1940)
1941xt "900,4500,7100,5500"
1942st "SaComponent"
1943blo "900,5300"
1944tm "CptNameMgr"
1945)
1946*66 (Text
1947va (VaSet
1948font "Arial,8,1"
1949)
1950xt "900,5500,2700,6500"
1951st "U_0"
1952blo "900,6300"
1953tm "InstanceNameMgr"
1954)
1955]
1956)
1957ga (GenericAssociation
1958ps "EdgeToEdgeStrategy"
1959matrix (Matrix
1960text (MLText
1961va (VaSet
1962font "Courier New,8,0"
1963)
1964xt "-6100,1500,-6100,1500"
1965)
1966header ""
1967)
1968elements [
1969]
1970)
1971viewicon (ZoomableIcon
1972sl 0
1973va (VaSet
1974vasetType 1
1975fg "49152,49152,49152"
1976)
1977xt "0,0,1500,1500"
1978iconName "UnknownFile.png"
1979iconMaskName "UnknownFile.msk"
1980)
1981viewiconposition 0
1982portVis (PortSigDisplay
1983)
1984archFileType "UNKNOWN"
1985)
1986defaultVhdlComponent (VhdlComponent
1987shape (Rectangle
1988va (VaSet
1989vasetType 1
1990fg "0,65535,0"
1991lineColor "0,32896,0"
1992lineWidth 2
1993)
1994xt "0,0,8000,10000"
1995)
1996ttg (MlTextGroup
1997ps "CenterOffsetStrategy"
1998stg "VerticalLayoutStrategy"
1999textVec [
2000*67 (Text
2001va (VaSet
2002font "Arial,8,1"
2003)
2004xt "500,3500,3400,4500"
2005st "Library"
2006blo "500,4300"
2007)
2008*68 (Text
2009va (VaSet
2010font "Arial,8,1"
2011)
2012xt "500,4500,7500,5500"
2013st "VhdlComponent"
2014blo "500,5300"
2015)
2016*69 (Text
2017va (VaSet
2018font "Arial,8,1"
2019)
2020xt "500,5500,2300,6500"
2021st "U_0"
2022blo "500,6300"
2023tm "InstanceNameMgr"
2024)
2025]
2026)
2027ga (GenericAssociation
2028ps "EdgeToEdgeStrategy"
2029matrix (Matrix
2030text (MLText
2031va (VaSet
2032font "Courier New,8,0"
2033)
2034xt "-6500,1500,-6500,1500"
2035)
2036header ""
2037)
2038elements [
2039]
2040)
2041portVis (PortSigDisplay
2042)
2043entityPath ""
2044archName ""
2045archPath ""
2046)
2047defaultVerilogComponent (VerilogComponent
2048shape (Rectangle
2049va (VaSet
2050vasetType 1
2051fg "0,65535,0"
2052lineColor "0,32896,0"
2053lineWidth 2
2054)
2055xt "-450,0,8450,10000"
2056)
2057ttg (MlTextGroup
2058ps "CenterOffsetStrategy"
2059stg "VerticalLayoutStrategy"
2060textVec [
2061*70 (Text
2062va (VaSet
2063font "Arial,8,1"
2064)
2065xt "50,3500,2950,4500"
2066st "Library"
2067blo "50,4300"
2068)
2069*71 (Text
2070va (VaSet
2071font "Arial,8,1"
2072)
2073xt "50,4500,7950,5500"
2074st "VerilogComponent"
2075blo "50,5300"
2076)
2077*72 (Text
2078va (VaSet
2079font "Arial,8,1"
2080)
2081xt "50,5500,1850,6500"
2082st "U_0"
2083blo "50,6300"
2084tm "InstanceNameMgr"
2085)
2086]
2087)
2088ga (GenericAssociation
2089ps "EdgeToEdgeStrategy"
2090matrix (Matrix
2091text (MLText
2092va (VaSet
2093font "Courier New,8,0"
2094)
2095xt "-6950,1500,-6950,1500"
2096)
2097header ""
2098)
2099elements [
2100]
2101)
2102entityPath ""
2103)
2104defaultHdlText (HdlText
2105shape (Rectangle
2106va (VaSet
2107vasetType 1
2108fg "65535,65535,37120"
2109lineColor "0,0,32768"
2110lineWidth 2
2111)
2112xt "0,0,8000,10000"
2113)
2114ttg (MlTextGroup
2115ps "CenterOffsetStrategy"
2116stg "VerticalLayoutStrategy"
2117textVec [
2118*73 (Text
2119va (VaSet
2120font "Arial,8,1"
2121)
2122xt "3150,4000,4850,5000"
2123st "eb1"
2124blo "3150,4800"
2125tm "HdlTextNameMgr"
2126)
2127*74 (Text
2128va (VaSet
2129font "Arial,8,1"
2130)
2131xt "3150,5000,3950,6000"
2132st "1"
2133blo "3150,5800"
2134tm "HdlTextNumberMgr"
2135)
2136]
2137)
2138viewicon (ZoomableIcon
2139sl 0
2140va (VaSet
2141vasetType 1
2142fg "49152,49152,49152"
2143)
2144xt "0,0,1500,1500"
2145iconName "UnknownFile.png"
2146iconMaskName "UnknownFile.msk"
2147)
2148viewiconposition 0
2149)
2150defaultEmbeddedText (EmbeddedText
2151commentText (CommentText
2152ps "CenterOffsetStrategy"
2153shape (Rectangle
2154va (VaSet
2155vasetType 1
2156fg "65535,65535,65535"
2157lineColor "0,0,32768"
2158lineWidth 2
2159)
2160xt "0,0,18000,5000"
2161)
2162text (MLText
2163va (VaSet
2164)
2165xt "200,200,2000,1200"
2166st "
2167Text
2168"
2169tm "HdlTextMgr"
2170wrapOption 3
2171visibleHeight 4600
2172visibleWidth 17600
2173)
2174)
2175)
2176defaultGlobalConnector (GlobalConnector
2177shape (Circle
2178va (VaSet
2179vasetType 1
2180fg "65535,65535,0"
2181)
2182xt "-1000,-1000,1000,1000"
2183radius 1000
2184)
2185name (Text
2186va (VaSet
2187font "Arial,8,1"
2188)
2189xt "-500,-500,500,500"
2190st "G"
2191blo "-500,300"
2192)
2193)
2194defaultRipper (Ripper
2195ps "OnConnectorStrategy"
2196shape (Line2D
2197pts [
2198"0,0"
2199"1000,1000"
2200]
2201va (VaSet
2202vasetType 1
2203)
2204xt "0,0,1000,1000"
2205)
2206)
2207defaultBdJunction (BdJunction
2208ps "OnConnectorStrategy"
2209shape (Circle
2210va (VaSet
2211vasetType 1
2212)
2213xt "-400,-400,400,400"
2214radius 400
2215)
2216)
2217defaultPortIoIn (PortIoIn
2218shape (CompositeShape
2219va (VaSet
2220vasetType 1
2221fg "0,0,32768"
2222)
2223optionalChildren [
2224(Pentagon
2225sl 0
2226ro 270
2227xt "-2000,-375,-500,375"
2228)
2229(Line
2230sl 0
2231ro 270
2232xt "-500,0,0,0"
2233pts [
2234"-500,0"
2235"0,0"
2236]
2237)
2238]
2239)
2240stc 0
2241sf 1
2242tg (WTG
2243ps "PortIoTextPlaceStrategy"
2244stg "STSignalDisplayStrategy"
2245f (Text
2246va (VaSet
2247)
2248xt "-1375,-1000,-1375,-1000"
2249ju 2
2250blo "-1375,-1000"
2251tm "WireNameMgr"
2252)
2253)
2254)
2255defaultPortIoOut (PortIoOut
2256shape (CompositeShape
2257va (VaSet
2258vasetType 1
2259fg "0,0,32768"
2260)
2261optionalChildren [
2262(Pentagon
2263sl 0
2264ro 270
2265xt "500,-375,2000,375"
2266)
2267(Line
2268sl 0
2269ro 270
2270xt "0,0,500,0"
2271pts [
2272"0,0"
2273"500,0"
2274]
2275)
2276]
2277)
2278stc 0
2279sf 1
2280tg (WTG
2281ps "PortIoTextPlaceStrategy"
2282stg "STSignalDisplayStrategy"
2283f (Text
2284va (VaSet
2285)
2286xt "625,-1000,625,-1000"
2287blo "625,-1000"
2288tm "WireNameMgr"
2289)
2290)
2291)
2292defaultPortIoInOut (PortIoInOut
2293shape (CompositeShape
2294va (VaSet
2295vasetType 1
2296fg "0,0,32768"
2297)
2298optionalChildren [
2299(Hexagon
2300sl 0
2301xt "500,-375,2000,375"
2302)
2303(Line
2304sl 0
2305xt "0,0,500,0"
2306pts [
2307"0,0"
2308"500,0"
2309]
2310)
2311]
2312)
2313stc 0
2314sf 1
2315tg (WTG
2316ps "PortIoTextPlaceStrategy"
2317stg "STSignalDisplayStrategy"
2318f (Text
2319va (VaSet
2320)
2321xt "0,-375,0,-375"
2322blo "0,-375"
2323tm "WireNameMgr"
2324)
2325)
2326)
2327defaultPortIoBuffer (PortIoBuffer
2328shape (CompositeShape
2329va (VaSet
2330vasetType 1
2331fg "65535,65535,65535"
2332lineColor "0,0,32768"
2333)
2334optionalChildren [
2335(Hexagon
2336sl 0
2337xt "500,-375,2000,375"
2338)
2339(Line
2340sl 0
2341xt "0,0,500,0"
2342pts [
2343"0,0"
2344"500,0"
2345]
2346)
2347]
2348)
2349stc 0
2350sf 1
2351tg (WTG
2352ps "PortIoTextPlaceStrategy"
2353stg "STSignalDisplayStrategy"
2354f (Text
2355va (VaSet
2356)
2357xt "0,-375,0,-375"
2358blo "0,-375"
2359tm "WireNameMgr"
2360)
2361)
2362)
2363defaultSignal (Wire
2364shape (OrthoPolyLine
2365va (VaSet
2366vasetType 3
2367)
2368pts [
2369"0,0"
2370"0,0"
2371]
2372)
2373ss 0
2374es 0
2375sat 32
2376eat 32
2377st 0
2378sf 1
2379si 0
2380tg (WTG
2381ps "ConnStartEndStrategy"
2382stg "STSignalDisplayStrategy"
2383f (Text
2384va (VaSet
2385)
2386xt "0,0,1900,1000"
2387st "sig0"
2388blo "0,800"
2389tm "WireNameMgr"
2390)
2391)
2392)
2393defaultBus (Wire
2394shape (OrthoPolyLine
2395va (VaSet
2396vasetType 3
2397lineWidth 2
2398)
2399pts [
2400"0,0"
2401"0,0"
2402]
2403)
2404ss 0
2405es 0
2406sat 32
2407eat 32
2408sty 1
2409st 0
2410sf 1
2411si 0
2412tg (WTG
2413ps "ConnStartEndStrategy"
2414stg "STSignalDisplayStrategy"
2415f (Text
2416va (VaSet
2417)
2418xt "0,0,2400,1000"
2419st "dbus0"
2420blo "0,800"
2421tm "WireNameMgr"
2422)
2423)
2424)
2425defaultBundle (Bundle
2426shape (OrthoPolyLine
2427va (VaSet
2428vasetType 3
2429lineColor "32768,0,0"
2430lineWidth 2
2431)
2432pts [
2433"0,0"
2434"0,0"
2435]
2436)
2437ss 0
2438es 0
2439sat 32
2440eat 32
2441textGroup (BiTextGroup
2442ps "ConnStartEndStrategy"
2443stg "VerticalLayoutStrategy"
2444first (Text
2445va (VaSet
2446)
2447xt "0,0,3000,1000"
2448st "bundle0"
2449blo "0,800"
2450tm "BundleNameMgr"
2451)
2452second (MLText
2453va (VaSet
2454)
2455xt "0,1000,1000,2000"
2456st "()"
2457tm "BundleContentsMgr"
2458)
2459)
2460bundleNet &0
2461)
2462defaultPortMapFrame (PortMapFrame
2463ps "PortMapFrameStrategy"
2464shape (RectFrame
2465va (VaSet
2466vasetType 1
2467fg "65535,65535,65535"
2468lineColor "0,0,32768"
2469lineWidth 2
2470)
2471xt "0,0,10000,12000"
2472)
2473portMapText (BiTextGroup
2474ps "BottomRightOffsetStrategy"
2475stg "VerticalLayoutStrategy"
2476first (MLText
2477va (VaSet
2478)
2479)
2480second (MLText
2481va (VaSet
2482)
2483tm "PortMapTextMgr"
2484)
2485)
2486)
2487defaultGenFrame (Frame
2488shape (RectFrame
2489va (VaSet
2490vasetType 1
2491fg "65535,65535,65535"
2492lineColor "26368,26368,26368"
2493lineStyle 2
2494lineWidth 3
2495)
2496xt "0,0,20000,20000"
2497)
2498title (TextAssociate
2499ps "TopLeftStrategy"
2500text (MLText
2501va (VaSet
2502)
2503xt "0,-1100,12600,-100"
2504st "g0: FOR i IN 0 TO n GENERATE"
2505tm "FrameTitleTextMgr"
2506)
2507)
2508seqNum (FrameSequenceNumber
2509ps "TopLeftStrategy"
2510shape (Rectangle
2511va (VaSet
2512vasetType 1
2513fg "65535,65535,65535"
2514)
2515xt "50,50,1250,1450"
2516)
2517num (Text
2518va (VaSet
2519)
2520xt "250,250,1050,1250"
2521st "1"
2522blo "250,1050"
2523tm "FrameSeqNumMgr"
2524)
2525)
2526decls (MlTextGroup
2527ps "BottomRightOffsetStrategy"
2528stg "VerticalLayoutStrategy"
2529textVec [
2530*75 (Text
2531va (VaSet
2532font "Arial,8,1"
2533)
2534xt "14100,20000,22000,21000"
2535st "Frame Declarations"
2536blo "14100,20800"
2537)
2538*76 (MLText
2539va (VaSet
2540)
2541xt "14100,21000,14100,21000"
2542tm "BdFrameDeclTextMgr"
2543)
2544]
2545)
2546)
2547defaultBlockFrame (Frame
2548shape (RectFrame
2549va (VaSet
2550vasetType 1
2551fg "65535,65535,65535"
2552lineColor "26368,26368,26368"
2553lineStyle 1
2554lineWidth 3
2555)
2556xt "0,0,20000,20000"
2557)
2558title (TextAssociate
2559ps "TopLeftStrategy"
2560text (MLText
2561va (VaSet
2562)
2563xt "0,-1100,7400,-100"
2564st "b0: BLOCK (guard)"
2565tm "FrameTitleTextMgr"
2566)
2567)
2568seqNum (FrameSequenceNumber
2569ps "TopLeftStrategy"
2570shape (Rectangle
2571va (VaSet
2572vasetType 1
2573fg "65535,65535,65535"
2574)
2575xt "50,50,1250,1450"
2576)
2577num (Text
2578va (VaSet
2579)
2580xt "250,250,1050,1250"
2581st "1"
2582blo "250,1050"
2583tm "FrameSeqNumMgr"
2584)
2585)
2586decls (MlTextGroup
2587ps "BottomRightOffsetStrategy"
2588stg "VerticalLayoutStrategy"
2589textVec [
2590*77 (Text
2591va (VaSet
2592font "Arial,8,1"
2593)
2594xt "14100,20000,22000,21000"
2595st "Frame Declarations"
2596blo "14100,20800"
2597)
2598*78 (MLText
2599va (VaSet
2600)
2601xt "14100,21000,14100,21000"
2602tm "BdFrameDeclTextMgr"
2603)
2604]
2605)
2606style 3
2607)
2608defaultSaCptPort (CptPort
2609ps "OnEdgeStrategy"
2610shape (Triangle
2611ro 90
2612va (VaSet
2613vasetType 1
2614fg "0,65535,0"
2615)
2616xt "0,0,750,750"
2617)
2618tg (CPTG
2619ps "CptPortTextPlaceStrategy"
2620stg "VerticalLayoutStrategy"
2621f (Text
2622va (VaSet
2623)
2624xt "0,750,1800,1750"
2625st "Port"
2626blo "0,1550"
2627)
2628)
2629thePort (LogicalPort
2630decl (Decl
2631n "Port"
2632t ""
2633o 0
2634)
2635)
2636)
2637defaultSaCptPortBuffer (CptPort
2638ps "OnEdgeStrategy"
2639shape (Diamond
2640va (VaSet
2641vasetType 1
2642fg "65535,65535,65535"
2643)
2644xt "0,0,750,750"
2645)
2646tg (CPTG
2647ps "CptPortTextPlaceStrategy"
2648stg "VerticalLayoutStrategy"
2649f (Text
2650va (VaSet
2651)
2652xt "0,750,1800,1750"
2653st "Port"
2654blo "0,1550"
2655)
2656)
2657thePort (LogicalPort
2658m 3
2659decl (Decl
2660n "Port"
2661t ""
2662o 0
2663)
2664)
2665)
2666defaultDeclText (MLText
2667va (VaSet
2668font "Courier New,8,0"
2669)
2670)
2671archDeclarativeBlock (BdArchDeclBlock
2672uid 1,0
2673stg "BdArchDeclBlockLS"
2674declLabel (Text
2675uid 2,0
2676va (VaSet
2677font "Arial,8,1"
2678)
2679xt "20000,0,25400,1000"
2680st "Declarations"
2681blo "20000,800"
2682)
2683portLabel (Text
2684uid 3,0
2685va (VaSet
2686font "Arial,8,1"
2687)
2688xt "20000,1000,22700,2000"
2689st "Ports:"
2690blo "20000,1800"
2691)
2692preUserLabel (Text
2693uid 4,0
2694va (VaSet
2695isHidden 1
2696font "Arial,8,1"
2697)
2698xt "20000,0,23800,1000"
2699st "Pre User:"
2700blo "20000,800"
2701)
2702preUserText (MLText
2703uid 5,0
2704va (VaSet
2705isHidden 1
2706font "Courier New,8,0"
2707)
2708xt "20000,0,20000,0"
2709tm "BdDeclarativeTextMgr"
2710)
2711diagSignalLabel (Text
2712uid 6,0
2713va (VaSet
2714font "Arial,8,1"
2715)
2716xt "20000,2000,27100,3000"
2717st "Diagram Signals:"
2718blo "20000,2800"
2719)
2720postUserLabel (Text
2721uid 7,0
2722va (VaSet
2723isHidden 1
2724font "Arial,8,1"
2725)
2726xt "20000,0,24700,1000"
2727st "Post User:"
2728blo "20000,800"
2729)
2730postUserText (MLText
2731uid 8,0
2732va (VaSet
2733isHidden 1
2734font "Courier New,8,0"
2735)
2736xt "20000,0,20000,0"
2737tm "BdDeclarativeTextMgr"
2738)
2739)
2740commonDM (CommonDM
2741ldm (LogicalDM
2742suid 6,0
2743usingSuid 1
2744emptyRow *79 (LEmptyRow
2745)
2746uid 206,0
2747optionalChildren [
2748*80 (RefLabelRowHdr
2749)
2750*81 (TitleRowHdr
2751)
2752*82 (FilterRowHdr
2753)
2754*83 (RefLabelColHdr
2755tm "RefLabelColHdrMgr"
2756)
2757*84 (RowExpandColHdr
2758tm "RowExpandColHdrMgr"
2759)
2760*85 (GroupColHdr
2761tm "GroupColHdrMgr"
2762)
2763*86 (NameColHdr
2764tm "BlockDiagramNameColHdrMgr"
2765)
2766*87 (ModeColHdr
2767tm "BlockDiagramModeColHdrMgr"
2768)
2769*88 (TypeColHdr
2770tm "BlockDiagramTypeColHdrMgr"
2771)
2772*89 (BoundsColHdr
2773tm "BlockDiagramBoundsColHdrMgr"
2774)
2775*90 (InitColHdr
2776tm "BlockDiagramInitColHdrMgr"
2777)
2778*91 (EolColHdr
2779tm "BlockDiagramEolColHdrMgr"
2780)
2781*92 (LeafLogPort
2782port (LogicalPort
2783m 4
2784decl (Decl
2785n "trigger_out"
2786t "std_logic"
2787o 1
2788suid 1,0
2789i "'0'"
2790)
2791)
2792uid 181,0
2793)
2794*93 (LeafLogPort
2795port (LogicalPort
2796m 4
2797decl (Decl
2798n "drs_write"
2799t "std_logic"
2800o 2
2801suid 2,0
2802i "'1'"
2803)
2804)
2805uid 183,0
2806)
2807*94 (LeafLogPort
2808port (LogicalPort
2809lang 10
2810m 4
2811decl (Decl
2812n "drs_readout_ready_ack"
2813t "std_logic"
2814o 3
2815suid 3,0
2816)
2817)
2818uid 185,0
2819)
2820*95 (LeafLogPort
2821port (LogicalPort
2822m 4
2823decl (Decl
2824n "clk"
2825t "std_logic"
2826o 4
2827suid 4,0
2828)
2829)
2830uid 187,0
2831)
2832*96 (LeafLogPort
2833port (LogicalPort
2834lang 10
2835m 4
2836decl (Decl
2837n "trigger_in"
2838t "std_logic"
2839o 5
2840suid 5,0
2841i "'0'"
2842)
2843)
2844uid 189,0
2845)
2846*97 (LeafLogPort
2847port (LogicalPort
2848lang 10
2849m 4
2850decl (Decl
2851n "drs_readout_ready"
2852t "std_logic"
2853o 6
2854suid 6,0
2855i "'0'"
2856)
2857)
2858uid 191,0
2859)
2860]
2861)
2862pdm (PhysicalDM
2863displayShortBounds 1
2864editShortBounds 1
2865uid 219,0
2866optionalChildren [
2867*98 (Sheet
2868sheetRow (SheetRow
2869headerVa (MVa
2870cellColor "49152,49152,49152"
2871fontColor "0,0,0"
2872font "Tahoma,10,0"
2873)
2874cellVa (MVa
2875cellColor "65535,65535,65535"
2876fontColor "0,0,0"
2877font "Tahoma,10,0"
2878)
2879groupVa (MVa
2880cellColor "39936,56832,65280"
2881fontColor "0,0,0"
2882font "Tahoma,10,0"
2883)
2884emptyMRCItem *99 (MRCItem
2885litem &79
2886pos 6
2887dimension 20
2888)
2889uid 221,0
2890optionalChildren [
2891*100 (MRCItem
2892litem &80
2893pos 0
2894dimension 20
2895uid 222,0
2896)
2897*101 (MRCItem
2898litem &81
2899pos 1
2900dimension 23
2901uid 223,0
2902)
2903*102 (MRCItem
2904litem &82
2905pos 2
2906hidden 1
2907dimension 20
2908uid 224,0
2909)
2910*103 (MRCItem
2911litem &92
2912pos 0
2913dimension 20
2914uid 182,0
2915)
2916*104 (MRCItem
2917litem &93
2918pos 1
2919dimension 20
2920uid 184,0
2921)
2922*105 (MRCItem
2923litem &94
2924pos 2
2925dimension 20
2926uid 186,0
2927)
2928*106 (MRCItem
2929litem &95
2930pos 3
2931dimension 20
2932uid 188,0
2933)
2934*107 (MRCItem
2935litem &96
2936pos 4
2937dimension 20
2938uid 190,0
2939)
2940*108 (MRCItem
2941litem &97
2942pos 5
2943dimension 20
2944uid 192,0
2945)
2946]
2947)
2948sheetCol (SheetCol
2949propVa (MVa
2950cellColor "0,49152,49152"
2951fontColor "0,0,0"
2952font "Tahoma,10,0"
2953textAngle 90
2954)
2955uid 225,0
2956optionalChildren [
2957*109 (MRCItem
2958litem &83
2959pos 0
2960dimension 20
2961uid 226,0
2962)
2963*110 (MRCItem
2964litem &85
2965pos 1
2966dimension 50
2967uid 227,0
2968)
2969*111 (MRCItem
2970litem &86
2971pos 2
2972dimension 100
2973uid 228,0
2974)
2975*112 (MRCItem
2976litem &87
2977pos 3
2978dimension 50
2979uid 229,0
2980)
2981*113 (MRCItem
2982litem &88
2983pos 4
2984dimension 100
2985uid 230,0
2986)
2987*114 (MRCItem
2988litem &89
2989pos 5
2990dimension 100
2991uid 231,0
2992)
2993*115 (MRCItem
2994litem &90
2995pos 6
2996dimension 50
2997uid 232,0
2998)
2999*116 (MRCItem
3000litem &91
3001pos 7
3002dimension 80
3003uid 233,0
3004)
3005]
3006)
3007fixedCol 4
3008fixedRow 2
3009name "Ports"
3010uid 220,0
3011vaOverrides [
3012]
3013)
3014]
3015)
3016uid 205,0
3017)
3018genericsCommonDM (CommonDM
3019ldm (LogicalDM
3020emptyRow *117 (LEmptyRow
3021)
3022uid 235,0
3023optionalChildren [
3024*118 (RefLabelRowHdr
3025)
3026*119 (TitleRowHdr
3027)
3028*120 (FilterRowHdr
3029)
3030*121 (RefLabelColHdr
3031tm "RefLabelColHdrMgr"
3032)
3033*122 (RowExpandColHdr
3034tm "RowExpandColHdrMgr"
3035)
3036*123 (GroupColHdr
3037tm "GroupColHdrMgr"
3038)
3039*124 (NameColHdr
3040tm "GenericNameColHdrMgr"
3041)
3042*125 (TypeColHdr
3043tm "GenericTypeColHdrMgr"
3044)
3045*126 (InitColHdr
3046tm "GenericValueColHdrMgr"
3047)
3048*127 (PragmaColHdr
3049tm "GenericPragmaColHdrMgr"
3050)
3051*128 (EolColHdr
3052tm "GenericEolColHdrMgr"
3053)
3054]
3055)
3056pdm (PhysicalDM
3057displayShortBounds 1
3058editShortBounds 1
3059uid 247,0
3060optionalChildren [
3061*129 (Sheet
3062sheetRow (SheetRow
3063headerVa (MVa
3064cellColor "49152,49152,49152"
3065fontColor "0,0,0"
3066font "Tahoma,10,0"
3067)
3068cellVa (MVa
3069cellColor "65535,65535,65535"
3070fontColor "0,0,0"
3071font "Tahoma,10,0"
3072)
3073groupVa (MVa
3074cellColor "39936,56832,65280"
3075fontColor "0,0,0"
3076font "Tahoma,10,0"
3077)
3078emptyMRCItem *130 (MRCItem
3079litem &117
3080pos 0
3081dimension 20
3082)
3083uid 249,0
3084optionalChildren [
3085*131 (MRCItem
3086litem &118
3087pos 0
3088dimension 20
3089uid 250,0
3090)
3091*132 (MRCItem
3092litem &119
3093pos 1
3094dimension 23
3095uid 251,0
3096)
3097*133 (MRCItem
3098litem &120
3099pos 2
3100hidden 1
3101dimension 20
3102uid 252,0
3103)
3104]
3105)
3106sheetCol (SheetCol
3107propVa (MVa
3108cellColor "0,49152,49152"
3109fontColor "0,0,0"
3110font "Tahoma,10,0"
3111textAngle 90
3112)
3113uid 253,0
3114optionalChildren [
3115*134 (MRCItem
3116litem &121
3117pos 0
3118dimension 20
3119uid 254,0
3120)
3121*135 (MRCItem
3122litem &123
3123pos 1
3124dimension 50
3125uid 255,0
3126)
3127*136 (MRCItem
3128litem &124
3129pos 2
3130dimension 100
3131uid 256,0
3132)
3133*137 (MRCItem
3134litem &125
3135pos 3
3136dimension 100
3137uid 257,0
3138)
3139*138 (MRCItem
3140litem &126
3141pos 4
3142dimension 50
3143uid 258,0
3144)
3145*139 (MRCItem
3146litem &127
3147pos 5
3148dimension 50
3149uid 259,0
3150)
3151*140 (MRCItem
3152litem &128
3153pos 6
3154dimension 80
3155uid 260,0
3156)
3157]
3158)
3159fixedCol 3
3160fixedRow 2
3161name "Ports"
3162uid 248,0
3163vaOverrides [
3164]
3165)
3166]
3167)
3168uid 234,0
3169type 1
3170)
3171activeModelName "BlockDiag"
3172)
Note: See TracBrowser for help on using the repository browser.