source: firmware/FAD/FACT_FAD_TB_lib/hds/trigger_manager_tb/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 16.3 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5]
6)
7version "24.1"
8appVersion "2009.1 (Build 12)"
9model (Symbol
10commonDM (CommonDM
11ldm (LogicalDM
12usingSuid 1
13emptyRow *1 (LEmptyRow
14)
15uid 53,0
16optionalChildren [
17*2 (RefLabelRowHdr
18)
19*3 (TitleRowHdr
20)
21*4 (FilterRowHdr
22)
23*5 (RefLabelColHdr
24tm "RefLabelColHdrMgr"
25)
26*6 (RowExpandColHdr
27tm "RowExpandColHdrMgr"
28)
29*7 (GroupColHdr
30tm "GroupColHdrMgr"
31)
32*8 (NameColHdr
33tm "NameColHdrMgr"
34)
35*9 (ModeColHdr
36tm "ModeColHdrMgr"
37)
38*10 (TypeColHdr
39tm "TypeColHdrMgr"
40)
41*11 (BoundsColHdr
42tm "BoundsColHdrMgr"
43)
44*12 (InitColHdr
45tm "InitColHdrMgr"
46)
47*13 (EolColHdr
48tm "EolColHdrMgr"
49)
50]
51)
52pdm (PhysicalDM
53displayShortBounds 1
54editShortBounds 1
55uid 66,0
56optionalChildren [
57*14 (Sheet
58sheetRow (SheetRow
59headerVa (MVa
60cellColor "49152,49152,49152"
61fontColor "0,0,0"
62font "Tahoma,10,0"
63)
64cellVa (MVa
65cellColor "65535,65535,65535"
66fontColor "0,0,0"
67font "Tahoma,10,0"
68)
69groupVa (MVa
70cellColor "39936,56832,65280"
71fontColor "0,0,0"
72font "Tahoma,10,0"
73)
74emptyMRCItem *15 (MRCItem
75litem &1
76pos 3
77dimension 20
78)
79uid 68,0
80optionalChildren [
81*16 (MRCItem
82litem &2
83pos 0
84dimension 20
85uid 69,0
86)
87*17 (MRCItem
88litem &3
89pos 1
90dimension 23
91uid 70,0
92)
93*18 (MRCItem
94litem &4
95pos 2
96hidden 1
97dimension 20
98uid 71,0
99)
100]
101)
102sheetCol (SheetCol
103propVa (MVa
104cellColor "0,49152,49152"
105fontColor "0,0,0"
106font "Tahoma,10,0"
107textAngle 90
108)
109uid 72,0
110optionalChildren [
111*19 (MRCItem
112litem &5
113pos 0
114dimension 20
115uid 73,0
116)
117*20 (MRCItem
118litem &7
119pos 1
120dimension 50
121uid 74,0
122)
123*21 (MRCItem
124litem &8
125pos 2
126dimension 100
127uid 75,0
128)
129*22 (MRCItem
130litem &9
131pos 3
132dimension 50
133uid 76,0
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139uid 77,0
140)
141*24 (MRCItem
142litem &11
143pos 5
144dimension 100
145uid 78,0
146)
147*25 (MRCItem
148litem &12
149pos 6
150dimension 50
151uid 79,0
152)
153*26 (MRCItem
154litem &13
155pos 7
156dimension 80
157uid 80,0
158)
159]
160)
161fixedCol 4
162fixedRow 2
163name "Ports"
164uid 67,0
165vaOverrides [
166]
167)
168]
169)
170uid 52,0
171)
172genericsCommonDM (CommonDM
173ldm (LogicalDM
174emptyRow *27 (LEmptyRow
175)
176uid 82,0
177optionalChildren [
178*28 (RefLabelRowHdr
179)
180*29 (TitleRowHdr
181)
182*30 (FilterRowHdr
183)
184*31 (RefLabelColHdr
185tm "RefLabelColHdrMgr"
186)
187*32 (RowExpandColHdr
188tm "RowExpandColHdrMgr"
189)
190*33 (GroupColHdr
191tm "GroupColHdrMgr"
192)
193*34 (NameColHdr
194tm "GenericNameColHdrMgr"
195)
196*35 (TypeColHdr
197tm "GenericTypeColHdrMgr"
198)
199*36 (InitColHdr
200tm "GenericValueColHdrMgr"
201)
202*37 (PragmaColHdr
203tm "GenericPragmaColHdrMgr"
204)
205*38 (EolColHdr
206tm "GenericEolColHdrMgr"
207)
208]
209)
210pdm (PhysicalDM
211displayShortBounds 1
212editShortBounds 1
213uid 94,0
214optionalChildren [
215*39 (Sheet
216sheetRow (SheetRow
217headerVa (MVa
218cellColor "49152,49152,49152"
219fontColor "0,0,0"
220font "Tahoma,10,0"
221)
222cellVa (MVa
223cellColor "65535,65535,65535"
224fontColor "0,0,0"
225font "Tahoma,10,0"
226)
227groupVa (MVa
228cellColor "39936,56832,65280"
229fontColor "0,0,0"
230font "Tahoma,10,0"
231)
232emptyMRCItem *40 (MRCItem
233litem &27
234pos 3
235dimension 20
236)
237uid 96,0
238optionalChildren [
239*41 (MRCItem
240litem &28
241pos 0
242dimension 20
243uid 97,0
244)
245*42 (MRCItem
246litem &29
247pos 1
248dimension 23
249uid 98,0
250)
251*43 (MRCItem
252litem &30
253pos 2
254hidden 1
255dimension 20
256uid 99,0
257)
258]
259)
260sheetCol (SheetCol
261propVa (MVa
262cellColor "0,49152,49152"
263fontColor "0,0,0"
264font "Tahoma,10,0"
265textAngle 90
266)
267uid 100,0
268optionalChildren [
269*44 (MRCItem
270litem &31
271pos 0
272dimension 20
273uid 101,0
274)
275*45 (MRCItem
276litem &33
277pos 1
278dimension 50
279uid 102,0
280)
281*46 (MRCItem
282litem &34
283pos 2
284dimension 100
285uid 103,0
286)
287*47 (MRCItem
288litem &35
289pos 3
290dimension 100
291uid 104,0
292)
293*48 (MRCItem
294litem &36
295pos 4
296dimension 50
297uid 105,0
298)
299*49 (MRCItem
300litem &37
301pos 5
302dimension 50
303uid 106,0
304)
305*50 (MRCItem
306litem &38
307pos 6
308dimension 80
309uid 107,0
310)
311]
312)
313fixedCol 3
314fixedRow 2
315name "Ports"
316uid 95,0
317vaOverrides [
318]
319)
320]
321)
322uid 81,0
323type 1
324)
325VExpander (VariableExpander
326vvMap [
327(vvPair
328variable "HDLDir"
329value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
330)
331(vvPair
332variable "HDSDir"
333value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
334)
335(vvPair
336variable "SideDataDesignDir"
337value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb.info"
338)
339(vvPair
340variable "SideDataUserDir"
341value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb.user"
342)
343(vvPair
344variable "SourceDir"
345value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
346)
347(vvPair
348variable "appl"
349value "HDL Designer"
350)
351(vvPair
352variable "arch_name"
353value "symbol"
354)
355(vvPair
356variable "config"
357value "%(unit)_%(view)_config"
358)
359(vvPair
360variable "d"
361value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb"
362)
363(vvPair
364variable "d_logical"
365value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb"
366)
367(vvPair
368variable "date"
369value "14.01.2011"
370)
371(vvPair
372variable "day"
373value "Fr"
374)
375(vvPair
376variable "day_long"
377value "Freitag"
378)
379(vvPair
380variable "dd"
381value "14"
382)
383(vvPair
384variable "entity_name"
385value "trigger_manager_tb"
386)
387(vvPair
388variable "ext"
389value "<TBD>"
390)
391(vvPair
392variable "f"
393value "symbol.sb"
394)
395(vvPair
396variable "f_logical"
397value "symbol.sb"
398)
399(vvPair
400variable "f_noext"
401value "symbol"
402)
403(vvPair
404variable "group"
405value "UNKNOWN"
406)
407(vvPair
408variable "host"
409value "IHP110"
410)
411(vvPair
412variable "language"
413value "VHDL"
414)
415(vvPair
416variable "library"
417value "FACT_FAD_TB_lib"
418)
419(vvPair
420variable "library_downstream_ModelSimCompiler"
421value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
422)
423(vvPair
424variable "mm"
425value "01"
426)
427(vvPair
428variable "module_name"
429value "trigger_manager_tb"
430)
431(vvPair
432variable "month"
433value "Jan"
434)
435(vvPair
436variable "month_long"
437value "Januar"
438)
439(vvPair
440variable "p"
441value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb"
442)
443(vvPair
444variable "p_logical"
445value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb"
446)
447(vvPair
448variable "package_name"
449value "<Undefined Variable>"
450)
451(vvPair
452variable "project_name"
453value "FACT_FAD"
454)
455(vvPair
456variable "series"
457value "HDL Designer Series"
458)
459(vvPair
460variable "task_DesignCompilerPath"
461value "<TBD>"
462)
463(vvPair
464variable "task_LeonardoPath"
465value "<TBD>"
466)
467(vvPair
468variable "task_ModelSimPath"
469value "D:\\modeltech_6.5e\\win32"
470)
471(vvPair
472variable "task_NC-SimPath"
473value "<TBD>"
474)
475(vvPair
476variable "task_PrecisionRTLPath"
477value "<TBD>"
478)
479(vvPair
480variable "task_QuestaSimPath"
481value "<TBD>"
482)
483(vvPair
484variable "task_VCSPath"
485value "<TBD>"
486)
487(vvPair
488variable "this_ext"
489value "sb"
490)
491(vvPair
492variable "this_file"
493value "symbol"
494)
495(vvPair
496variable "this_file_logical"
497value "symbol"
498)
499(vvPair
500variable "time"
501value "13:37:15"
502)
503(vvPair
504variable "unit"
505value "trigger_manager_tb"
506)
507(vvPair
508variable "user"
509value "daqct3"
510)
511(vvPair
512variable "version"
513value "2009.1 (Build 12)"
514)
515(vvPair
516variable "view"
517value "symbol"
518)
519(vvPair
520variable "year"
521value "2011"
522)
523(vvPair
524variable "yy"
525value "11"
526)
527]
528)
529LanguageMgr "VhdlLangMgr"
530uid 51,0
531optionalChildren [
532*51 (SymbolBody
533uid 8,0
534shape (Rectangle
535uid 9,0
536va (VaSet
537vasetType 1
538fg "0,65535,0"
539lineColor "0,32896,0"
540lineWidth 2
541)
542xt "15000,6000,33000,26000"
543)
544biTextGroup (BiTextGroup
545uid 10,0
546ps "CenterOffsetStrategy"
547stg "VerticalLayoutStrategy"
548first (Text
549uid 11,0
550va (VaSet
551font "Arial,8,1"
552)
553xt "22200,15000,29900,16000"
554st "FACT_FAD_TB_lib"
555blo "22200,15800"
556)
557second (Text
558uid 12,0
559va (VaSet
560font "Arial,8,1"
561)
562xt "22200,16000,30300,17000"
563st "trigger_manager_tb"
564blo "22200,16800"
565)
566)
567gi *52 (GenericInterface
568uid 13,0
569ps "CenterOffsetStrategy"
570matrix (Matrix
571uid 14,0
572text (MLText
573uid 15,0
574va (VaSet
575font "Courier New,8,0"
576)
577xt "0,12000,11500,12800"
578st "Generic Declarations"
579)
580header "Generic Declarations"
581showHdrWhenContentsEmpty 1
582)
583elements [
584]
585)
586portInstanceVisAsIs 1
587portInstanceVis (PortSigDisplay
588sIVOD 1
589)
590portVis (PortSigDisplay
591sIVOD 1
592)
593)
594*53 (Grouping
595uid 16,0
596optionalChildren [
597*54 (CommentText
598uid 18,0
599shape (Rectangle
600uid 19,0
601sl 0
602va (VaSet
603vasetType 1
604fg "65280,65280,46080"
605)
606xt "31000,50000,48000,51000"
607)
608oxt "18000,70000,35000,71000"
609text (MLText
610uid 20,0
611va (VaSet
612fg "0,0,32768"
613bg "0,0,32768"
614)
615xt "31200,50000,40800,51000"
616st "
617by %user on %dd %month %year
618"
619tm "CommentText"
620wrapOption 3
621visibleHeight 1000
622visibleWidth 17000
623)
624position 1
625ignorePrefs 1
626titleBlock 1
627)
628*55 (CommentText
629uid 21,0
630shape (Rectangle
631uid 22,0
632sl 0
633va (VaSet
634vasetType 1
635fg "65280,65280,46080"
636)
637xt "48000,46000,52000,47000"
638)
639oxt "35000,66000,39000,67000"
640text (MLText
641uid 23,0
642va (VaSet
643fg "0,0,32768"
644bg "0,0,32768"
645)
646xt "48200,46000,51200,47000"
647st "
648Project:
649"
650tm "CommentText"
651wrapOption 3
652visibleHeight 1000
653visibleWidth 4000
654)
655position 1
656ignorePrefs 1
657titleBlock 1
658)
659*56 (CommentText
660uid 24,0
661shape (Rectangle
662uid 25,0
663sl 0
664va (VaSet
665vasetType 1
666fg "65280,65280,46080"
667)
668xt "31000,48000,48000,49000"
669)
670oxt "18000,68000,35000,69000"
671text (MLText
672uid 26,0
673va (VaSet
674fg "0,0,32768"
675bg "0,0,32768"
676)
677xt "31200,48000,41200,49000"
678st "
679<enter diagram title here>
680"
681tm "CommentText"
682wrapOption 3
683visibleHeight 1000
684visibleWidth 17000
685)
686position 1
687ignorePrefs 1
688titleBlock 1
689)
690*57 (CommentText
691uid 27,0
692shape (Rectangle
693uid 28,0
694sl 0
695va (VaSet
696vasetType 1
697fg "65280,65280,46080"
698)
699xt "27000,48000,31000,49000"
700)
701oxt "14000,68000,18000,69000"
702text (MLText
703uid 29,0
704va (VaSet
705fg "0,0,32768"
706bg "0,0,32768"
707)
708xt "27200,48000,29300,49000"
709st "
710Title:
711"
712tm "CommentText"
713wrapOption 3
714visibleHeight 1000
715visibleWidth 4000
716)
717position 1
718ignorePrefs 1
719titleBlock 1
720)
721*58 (CommentText
722uid 30,0
723shape (Rectangle
724uid 31,0
725sl 0
726va (VaSet
727vasetType 1
728fg "65280,65280,46080"
729)
730xt "48000,47000,68000,51000"
731)
732oxt "35000,67000,55000,71000"
733text (MLText
734uid 32,0
735va (VaSet
736fg "0,0,32768"
737bg "0,0,32768"
738)
739xt "48200,47200,57400,48200"
740st "
741<enter comments here>
742"
743tm "CommentText"
744wrapOption 3
745visibleHeight 4000
746visibleWidth 20000
747)
748ignorePrefs 1
749titleBlock 1
750)
751*59 (CommentText
752uid 33,0
753shape (Rectangle
754uid 34,0
755sl 0
756va (VaSet
757vasetType 1
758fg "65280,65280,46080"
759)
760xt "52000,46000,68000,47000"
761)
762oxt "39000,66000,55000,67000"
763text (MLText
764uid 35,0
765va (VaSet
766fg "0,0,32768"
767bg "0,0,32768"
768)
769xt "52200,46000,56700,47000"
770st "
771%project_name
772"
773tm "CommentText"
774wrapOption 3
775visibleHeight 1000
776visibleWidth 16000
777)
778position 1
779ignorePrefs 1
780titleBlock 1
781)
782*60 (CommentText
783uid 36,0
784shape (Rectangle
785uid 37,0
786sl 0
787va (VaSet
788vasetType 1
789fg "65280,65280,46080"
790)
791xt "27000,46000,48000,48000"
792)
793oxt "14000,66000,35000,68000"
794text (MLText
795uid 38,0
796va (VaSet
797fg "32768,0,0"
798)
799xt "34150,46500,40850,47500"
800st "
801<company name>
802"
803ju 0
804tm "CommentText"
805wrapOption 3
806visibleHeight 2000
807visibleWidth 21000
808)
809position 1
810ignorePrefs 1
811titleBlock 1
812)
813*61 (CommentText
814uid 39,0
815shape (Rectangle
816uid 40,0
817sl 0
818va (VaSet
819vasetType 1
820fg "65280,65280,46080"
821)
822xt "27000,49000,31000,50000"
823)
824oxt "14000,69000,18000,70000"
825text (MLText
826uid 41,0
827va (VaSet
828fg "0,0,32768"
829bg "0,0,32768"
830)
831xt "27200,49000,29300,50000"
832st "
833Path:
834"
835tm "CommentText"
836wrapOption 3
837visibleHeight 1000
838visibleWidth 4000
839)
840position 1
841ignorePrefs 1
842titleBlock 1
843)
844*62 (CommentText
845uid 42,0
846shape (Rectangle
847uid 43,0
848sl 0
849va (VaSet
850vasetType 1
851fg "65280,65280,46080"
852)
853xt "27000,50000,31000,51000"
854)
855oxt "14000,70000,18000,71000"
856text (MLText
857uid 44,0
858va (VaSet
859fg "0,0,32768"
860bg "0,0,32768"
861)
862xt "27200,50000,29900,51000"
863st "
864Edited:
865"
866tm "CommentText"
867wrapOption 3
868visibleHeight 1000
869visibleWidth 4000
870)
871position 1
872ignorePrefs 1
873titleBlock 1
874)
875*63 (CommentText
876uid 45,0
877shape (Rectangle
878uid 46,0
879sl 0
880va (VaSet
881vasetType 1
882fg "65280,65280,46080"
883)
884xt "31000,49000,48000,50000"
885)
886oxt "18000,69000,35000,70000"
887text (MLText
888uid 47,0
889va (VaSet
890fg "0,0,32768"
891bg "0,0,32768"
892)
893xt "31200,49000,46300,50000"
894st "
895%library/%unit/%view
896"
897tm "CommentText"
898wrapOption 3
899visibleHeight 1000
900visibleWidth 17000
901)
902position 1
903ignorePrefs 1
904titleBlock 1
905)
906]
907shape (GroupingShape
908uid 17,0
909va (VaSet
910vasetType 1
911fg "65535,65535,65535"
912lineStyle 2
913lineWidth 2
914)
915xt "27000,46000,68000,51000"
916)
917oxt "14000,66000,55000,71000"
918)
919]
920bg "65535,65535,65535"
921grid (Grid
922origin "0,0"
923isVisible 1
924isActive 1
925xSpacing 1000
926xySpacing 1000
927xShown 1
928yShown 1
929color "26368,26368,26368"
930)
931packageList *64 (PackageList
932uid 48,0
933stg "VerticalLayoutStrategy"
934textVec [
935*65 (Text
936uid 49,0
937va (VaSet
938font "arial,8,1"
939)
940xt "0,0,5400,1000"
941st "Package List"
942blo "0,800"
943)
944*66 (MLText
945uid 50,0
946va (VaSet
947)
948xt "0,1000,10900,4000"
949tm "PackageList"
950)
951]
952)
953windowSize "0,0,1015,690"
954viewArea "0,0,0,0"
955cachedDiagramExtent "0,0,0,0"
956pageBreakOrigin "0,0"
957defaultCommentText (CommentText
958shape (Rectangle
959layer 0
960va (VaSet
961vasetType 1
962fg "65280,65280,46080"
963lineColor "0,0,32768"
964)
965xt "0,0,15000,5000"
966)
967text (MLText
968va (VaSet
969fg "0,0,32768"
970)
971xt "200,200,2000,1200"
972st "
973Text
974"
975tm "CommentText"
976wrapOption 3
977visibleHeight 4600
978visibleWidth 14600
979)
980)
981defaultPanel (Panel
982shape (RectFrame
983va (VaSet
984vasetType 1
985fg "65535,65535,65535"
986lineColor "32768,0,0"
987lineWidth 3
988)
989xt "0,0,20000,20000"
990)
991title (TextAssociate
992ps "TopLeftStrategy"
993text (Text
994va (VaSet
995font "Arial,8,1"
996)
997xt "1000,1000,3800,2000"
998st "Panel0"
999blo "1000,1800"
1000tm "PanelText"
1001)
1002)
1003)
1004parentGraphicsRef (HdmGraphicsRef
1005libraryName ""
1006entityName ""
1007viewName ""
1008)
1009defaultSymbolBody (SymbolBody
1010shape (Rectangle
1011va (VaSet
1012vasetType 1
1013fg "0,65535,0"
1014lineColor "0,32896,0"
1015lineWidth 2
1016)
1017xt "15000,6000,33000,26000"
1018)
1019biTextGroup (BiTextGroup
1020ps "CenterOffsetStrategy"
1021stg "VerticalLayoutStrategy"
1022first (Text
1023va (VaSet
1024font "Arial,8,1"
1025)
1026xt "22200,15000,25800,16000"
1027st "<library>"
1028blo "22200,15800"
1029)
1030second (Text
1031va (VaSet
1032font "Arial,8,1"
1033)
1034xt "22200,16000,24800,17000"
1035st "<cell>"
1036blo "22200,16800"
1037)
1038)
1039gi *67 (GenericInterface
1040ps "CenterOffsetStrategy"
1041matrix (Matrix
1042text (MLText
1043va (VaSet
1044font "Courier New,8,0"
1045)
1046xt "0,12000,11500,12800"
1047st "Generic Declarations"
1048)
1049header "Generic Declarations"
1050showHdrWhenContentsEmpty 1
1051)
1052elements [
1053]
1054)
1055portInstanceVisAsIs 1
1056portInstanceVis (PortSigDisplay
1057sIVOD 1
1058)
1059portVis (PortSigDisplay
1060sIVOD 1
1061)
1062)
1063defaultCptPort (CptPort
1064ps "OnEdgeStrategy"
1065shape (Triangle
1066ro 90
1067va (VaSet
1068vasetType 1
1069fg "0,65535,0"
1070)
1071xt "0,0,750,750"
1072)
1073tg (CPTG
1074ps "CptPortTextPlaceStrategy"
1075stg "VerticalLayoutStrategy"
1076f (Text
1077va (VaSet
1078)
1079xt "0,750,1400,1750"
1080st "In0"
1081blo "0,1550"
1082tm "CptPortNameMgr"
1083)
1084)
1085dt (MLText
1086va (VaSet
1087font "Courier New,8,0"
1088)
1089)
1090thePort (LogicalPort
1091decl (Decl
1092n "In0"
1093t "std_logic_vector"
1094b "(15 DOWNTO 0)"
1095o 0
1096)
1097)
1098)
1099defaultCptPortBuffer (CptPort
1100ps "OnEdgeStrategy"
1101shape (Diamond
1102va (VaSet
1103vasetType 1
1104fg "65535,65535,65535"
1105bg "0,0,0"
1106)
1107xt "0,0,750,750"
1108)
1109tg (CPTG
1110ps "CptPortTextPlaceStrategy"
1111stg "VerticalLayoutStrategy"
1112f (Text
1113va (VaSet
1114)
1115xt "0,750,2800,1750"
1116st "Buffer0"
1117blo "0,1550"
1118tm "CptPortNameMgr"
1119)
1120)
1121dt (MLText
1122va (VaSet
1123font "Courier New,8,0"
1124)
1125)
1126thePort (LogicalPort
1127m 3
1128decl (Decl
1129n "Buffer0"
1130t "std_logic_vector"
1131b "(15 DOWNTO 0)"
1132o 0
1133)
1134)
1135)
1136DeclarativeBlock *68 (SymDeclBlock
1137uid 1,0
1138stg "SymDeclLayoutStrategy"
1139declLabel (Text
1140uid 2,0
1141va (VaSet
1142font "Arial,8,1"
1143)
1144xt "42000,0,47400,1000"
1145st "Declarations"
1146blo "42000,800"
1147)
1148portLabel (Text
1149uid 3,0
1150va (VaSet
1151font "Arial,8,1"
1152)
1153xt "42000,1000,44700,2000"
1154st "Ports:"
1155blo "42000,1800"
1156)
1157externalLabel (Text
1158uid 4,0
1159va (VaSet
1160font "Arial,8,1"
1161)
1162xt "42000,2000,44400,3000"
1163st "User:"
1164blo "42000,2800"
1165)
1166internalLabel (Text
1167uid 6,0
1168va (VaSet
1169isHidden 1
1170font "Arial,8,1"
1171)
1172xt "42000,0,47800,1000"
1173st "Internal User:"
1174blo "42000,800"
1175)
1176externalText (MLText
1177uid 5,0
1178va (VaSet
1179font "Courier New,8,0"
1180)
1181xt "44000,3000,44000,3000"
1182tm "SyDeclarativeTextMgr"
1183)
1184internalText (MLText
1185uid 7,0
1186va (VaSet
1187isHidden 1
1188font "Courier New,8,0"
1189)
1190xt "42000,0,42000,0"
1191tm "SyDeclarativeTextMgr"
1192)
1193)
1194lastUid 107,0
1195okToSyncOnLoad 1
1196OkToSyncGenericsOnLoad 1
1197activeModelName "Symbol:CDM"
1198)
Note: See TracBrowser for help on using the repository browser.