source: firmware/FAD/FACT_FAD_TB_lib/hds/trigger_manager_tb/symbol.sb.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 16.1 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13]
14libraryRefs [
15"ieee"
16]
17)
18version "24.1"
19appVersion "2009.1 (Build 12)"
20model (Symbol
21commonDM (CommonDM
22ldm (LogicalDM
23usingSuid 1
24emptyRow *1 (LEmptyRow
25)
26optionalChildren [
27*2 (RefLabelRowHdr
28)
29*3 (TitleRowHdr
30)
31*4 (FilterRowHdr
32)
33*5 (RefLabelColHdr
34tm "RefLabelColHdrMgr"
35)
36*6 (RowExpandColHdr
37tm "RowExpandColHdrMgr"
38)
39*7 (GroupColHdr
40tm "GroupColHdrMgr"
41)
42*8 (NameColHdr
43tm "NameColHdrMgr"
44)
45*9 (ModeColHdr
46tm "ModeColHdrMgr"
47)
48*10 (TypeColHdr
49tm "TypeColHdrMgr"
50)
51*11 (BoundsColHdr
52tm "BoundsColHdrMgr"
53)
54*12 (InitColHdr
55tm "InitColHdrMgr"
56)
57*13 (EolColHdr
58tm "EolColHdrMgr"
59)
60]
61)
62pdm (PhysicalDM
63displayShortBounds 1
64editShortBounds 1
65optionalChildren [
66*14 (Sheet
67sheetRow (SheetRow
68headerVa (MVa
69cellColor "49152,49152,49152"
70fontColor "0,0,0"
71font "Tahoma,10,0"
72)
73cellVa (MVa
74cellColor "65535,65535,65535"
75fontColor "0,0,0"
76font "Tahoma,10,0"
77)
78groupVa (MVa
79cellColor "39936,56832,65280"
80fontColor "0,0,0"
81font "Tahoma,10,0"
82)
83emptyMRCItem *15 (MRCItem
84litem &1
85pos 3
86dimension 20
87)
88optionalChildren [
89*16 (MRCItem
90litem &2
91pos 0
92dimension 20
93)
94*17 (MRCItem
95litem &3
96pos 1
97dimension 23
98)
99*18 (MRCItem
100litem &4
101pos 2
102hidden 1
103dimension 20
104)
105]
106)
107sheetCol (SheetCol
108propVa (MVa
109cellColor "0,49152,49152"
110fontColor "0,0,0"
111font "Tahoma,10,0"
112textAngle 90
113)
114optionalChildren [
115*19 (MRCItem
116litem &5
117pos 0
118dimension 20
119)
120*20 (MRCItem
121litem &7
122pos 1
123dimension 50
124)
125*21 (MRCItem
126litem &8
127pos 2
128dimension 100
129)
130*22 (MRCItem
131litem &9
132pos 3
133dimension 50
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139)
140*24 (MRCItem
141litem &11
142pos 5
143dimension 100
144)
145*25 (MRCItem
146litem &12
147pos 6
148dimension 50
149)
150*26 (MRCItem
151litem &13
152pos 7
153dimension 80
154)
155]
156)
157fixedCol 4
158fixedRow 2
159name "Ports"
160vaOverrides [
161]
162)
163]
164)
165)
166genericsCommonDM (CommonDM
167ldm (LogicalDM
168emptyRow *27 (LEmptyRow
169)
170optionalChildren [
171*28 (RefLabelRowHdr
172)
173*29 (TitleRowHdr
174)
175*30 (FilterRowHdr
176)
177*31 (RefLabelColHdr
178tm "RefLabelColHdrMgr"
179)
180*32 (RowExpandColHdr
181tm "RowExpandColHdrMgr"
182)
183*33 (GroupColHdr
184tm "GroupColHdrMgr"
185)
186*34 (NameColHdr
187tm "GenericNameColHdrMgr"
188)
189*35 (TypeColHdr
190tm "GenericTypeColHdrMgr"
191)
192*36 (InitColHdr
193tm "GenericValueColHdrMgr"
194)
195*37 (PragmaColHdr
196tm "GenericPragmaColHdrMgr"
197)
198*38 (EolColHdr
199tm "GenericEolColHdrMgr"
200)
201]
202)
203pdm (PhysicalDM
204displayShortBounds 1
205editShortBounds 1
206optionalChildren [
207*39 (Sheet
208sheetRow (SheetRow
209headerVa (MVa
210cellColor "49152,49152,49152"
211fontColor "0,0,0"
212font "Tahoma,10,0"
213)
214cellVa (MVa
215cellColor "65535,65535,65535"
216fontColor "0,0,0"
217font "Tahoma,10,0"
218)
219groupVa (MVa
220cellColor "39936,56832,65280"
221fontColor "0,0,0"
222font "Tahoma,10,0"
223)
224emptyMRCItem *40 (MRCItem
225litem &27
226pos 3
227dimension 20
228)
229optionalChildren [
230*41 (MRCItem
231litem &28
232pos 0
233dimension 20
234)
235*42 (MRCItem
236litem &29
237pos 1
238dimension 23
239)
240*43 (MRCItem
241litem &30
242pos 2
243hidden 1
244dimension 20
245)
246]
247)
248sheetCol (SheetCol
249propVa (MVa
250cellColor "0,49152,49152"
251fontColor "0,0,0"
252font "Tahoma,10,0"
253textAngle 90
254)
255optionalChildren [
256*44 (MRCItem
257litem &31
258pos 0
259dimension 20
260)
261*45 (MRCItem
262litem &33
263pos 1
264dimension 50
265)
266*46 (MRCItem
267litem &34
268pos 2
269dimension 100
270)
271*47 (MRCItem
272litem &35
273pos 3
274dimension 100
275)
276*48 (MRCItem
277litem &36
278pos 4
279dimension 50
280)
281*49 (MRCItem
282litem &37
283pos 5
284dimension 50
285)
286*50 (MRCItem
287litem &38
288pos 6
289dimension 80
290)
291]
292)
293fixedCol 3
294fixedRow 2
295name "Ports"
296vaOverrides [
297]
298)
299]
300)
301type 1
302)
303VExpander (VariableExpander
304vvMap [
305(vvPair
306variable "HDLDir"
307value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
308)
309(vvPair
310variable "HDSDir"
311value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
312)
313(vvPair
314variable "SideDataDesignDir"
315value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb.info"
316)
317(vvPair
318variable "SideDataUserDir"
319value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb.user"
320)
321(vvPair
322variable "SourceDir"
323value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
324)
325(vvPair
326variable "appl"
327value "HDL Designer"
328)
329(vvPair
330variable "arch_name"
331value "symbol"
332)
333(vvPair
334variable "config"
335value "%(unit)_%(view)_config"
336)
337(vvPair
338variable "d"
339value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb"
340)
341(vvPair
342variable "d_logical"
343value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb"
344)
345(vvPair
346variable "date"
347value "14.01.2011"
348)
349(vvPair
350variable "day"
351value "Fr"
352)
353(vvPair
354variable "day_long"
355value "Freitag"
356)
357(vvPair
358variable "dd"
359value "14"
360)
361(vvPair
362variable "entity_name"
363value "trigger_manager_tb"
364)
365(vvPair
366variable "ext"
367value "<TBD>"
368)
369(vvPair
370variable "f"
371value "symbol.sb"
372)
373(vvPair
374variable "f_logical"
375value "symbol.sb"
376)
377(vvPair
378variable "f_noext"
379value "symbol"
380)
381(vvPair
382variable "group"
383value "UNKNOWN"
384)
385(vvPair
386variable "host"
387value "IHP110"
388)
389(vvPair
390variable "language"
391value "VHDL"
392)
393(vvPair
394variable "library"
395value "FACT_FAD_TB_lib"
396)
397(vvPair
398variable "library_downstream_ModelSimCompiler"
399value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
400)
401(vvPair
402variable "mm"
403value "01"
404)
405(vvPair
406variable "module_name"
407value "trigger_manager_tb"
408)
409(vvPair
410variable "month"
411value "Jan"
412)
413(vvPair
414variable "month_long"
415value "Januar"
416)
417(vvPair
418variable "p"
419value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb"
420)
421(vvPair
422variable "p_logical"
423value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tb\\symbol.sb"
424)
425(vvPair
426variable "package_name"
427value "<Undefined Variable>"
428)
429(vvPair
430variable "project_name"
431value "FACT_FAD"
432)
433(vvPair
434variable "series"
435value "HDL Designer Series"
436)
437(vvPair
438variable "task_DesignCompilerPath"
439value "<TBD>"
440)
441(vvPair
442variable "task_LeonardoPath"
443value "<TBD>"
444)
445(vvPair
446variable "task_ModelSimPath"
447value "D:\\modeltech_6.5e\\win32"
448)
449(vvPair
450variable "task_NC-SimPath"
451value "<TBD>"
452)
453(vvPair
454variable "task_PrecisionRTLPath"
455value "<TBD>"
456)
457(vvPair
458variable "task_QuestaSimPath"
459value "<TBD>"
460)
461(vvPair
462variable "task_VCSPath"
463value "<TBD>"
464)
465(vvPair
466variable "this_ext"
467value "sb"
468)
469(vvPair
470variable "this_file"
471value "symbol"
472)
473(vvPair
474variable "this_file_logical"
475value "symbol"
476)
477(vvPair
478variable "time"
479value "13:37:15"
480)
481(vvPair
482variable "unit"
483value "trigger_manager_tb"
484)
485(vvPair
486variable "user"
487value "daqct3"
488)
489(vvPair
490variable "version"
491value "2009.1 (Build 12)"
492)
493(vvPair
494variable "view"
495value "symbol"
496)
497(vvPair
498variable "year"
499value "2011"
500)
501(vvPair
502variable "yy"
503value "11"
504)
505]
506)
507LanguageMgr "VhdlLangMgr"
508optionalChildren [
509*51 (SymbolBody
510uid 8,0
511shape (Rectangle
512uid 9,0
513va (VaSet
514vasetType 1
515fg "0,65535,0"
516lineColor "0,32896,0"
517lineWidth 2
518)
519xt "15000,6000,33000,26000"
520)
521biTextGroup (BiTextGroup
522uid 10,0
523ps "CenterOffsetStrategy"
524stg "VerticalLayoutStrategy"
525first (Text
526uid 11,0
527va (VaSet
528font "Arial,8,1"
529)
530xt "22200,15000,29900,16000"
531st "FACT_FAD_TB_lib"
532blo "22200,15800"
533)
534second (Text
535uid 12,0
536va (VaSet
537font "Arial,8,1"
538)
539xt "22200,16000,30300,17000"
540st "trigger_manager_tb"
541blo "22200,16800"
542)
543)
544gi *52 (GenericInterface
545uid 13,0
546ps "CenterOffsetStrategy"
547matrix (Matrix
548uid 14,0
549text (MLText
550uid 15,0
551va (VaSet
552font "Courier New,8,0"
553)
554xt "0,12000,11500,12800"
555st "Generic Declarations"
556)
557header "Generic Declarations"
558showHdrWhenContentsEmpty 1
559)
560elements [
561]
562)
563portInstanceVisAsIs 1
564portInstanceVis (PortSigDisplay
565sIVOD 1
566)
567portVis (PortSigDisplay
568sIVOD 1
569)
570)
571*53 (Grouping
572uid 16,0
573optionalChildren [
574*54 (CommentText
575uid 18,0
576shape (Rectangle
577uid 19,0
578sl 0
579va (VaSet
580vasetType 1
581fg "65280,65280,46080"
582)
583xt "31000,50000,48000,51000"
584)
585oxt "18000,70000,35000,71000"
586text (MLText
587uid 20,0
588va (VaSet
589fg "0,0,32768"
590bg "0,0,32768"
591)
592xt "31200,50000,40800,51000"
593st "
594by %user on %dd %month %year
595"
596tm "CommentText"
597wrapOption 3
598visibleHeight 1000
599visibleWidth 17000
600)
601position 1
602ignorePrefs 1
603titleBlock 1
604)
605*55 (CommentText
606uid 21,0
607shape (Rectangle
608uid 22,0
609sl 0
610va (VaSet
611vasetType 1
612fg "65280,65280,46080"
613)
614xt "48000,46000,52000,47000"
615)
616oxt "35000,66000,39000,67000"
617text (MLText
618uid 23,0
619va (VaSet
620fg "0,0,32768"
621bg "0,0,32768"
622)
623xt "48200,46000,51200,47000"
624st "
625Project:
626"
627tm "CommentText"
628wrapOption 3
629visibleHeight 1000
630visibleWidth 4000
631)
632position 1
633ignorePrefs 1
634titleBlock 1
635)
636*56 (CommentText
637uid 24,0
638shape (Rectangle
639uid 25,0
640sl 0
641va (VaSet
642vasetType 1
643fg "65280,65280,46080"
644)
645xt "31000,48000,48000,49000"
646)
647oxt "18000,68000,35000,69000"
648text (MLText
649uid 26,0
650va (VaSet
651fg "0,0,32768"
652bg "0,0,32768"
653)
654xt "31200,48000,41200,49000"
655st "
656<enter diagram title here>
657"
658tm "CommentText"
659wrapOption 3
660visibleHeight 1000
661visibleWidth 17000
662)
663position 1
664ignorePrefs 1
665titleBlock 1
666)
667*57 (CommentText
668uid 27,0
669shape (Rectangle
670uid 28,0
671sl 0
672va (VaSet
673vasetType 1
674fg "65280,65280,46080"
675)
676xt "27000,48000,31000,49000"
677)
678oxt "14000,68000,18000,69000"
679text (MLText
680uid 29,0
681va (VaSet
682fg "0,0,32768"
683bg "0,0,32768"
684)
685xt "27200,48000,29300,49000"
686st "
687Title:
688"
689tm "CommentText"
690wrapOption 3
691visibleHeight 1000
692visibleWidth 4000
693)
694position 1
695ignorePrefs 1
696titleBlock 1
697)
698*58 (CommentText
699uid 30,0
700shape (Rectangle
701uid 31,0
702sl 0
703va (VaSet
704vasetType 1
705fg "65280,65280,46080"
706)
707xt "48000,47000,68000,51000"
708)
709oxt "35000,67000,55000,71000"
710text (MLText
711uid 32,0
712va (VaSet
713fg "0,0,32768"
714bg "0,0,32768"
715)
716xt "48200,47200,57400,48200"
717st "
718<enter comments here>
719"
720tm "CommentText"
721wrapOption 3
722visibleHeight 4000
723visibleWidth 20000
724)
725ignorePrefs 1
726titleBlock 1
727)
728*59 (CommentText
729uid 33,0
730shape (Rectangle
731uid 34,0
732sl 0
733va (VaSet
734vasetType 1
735fg "65280,65280,46080"
736)
737xt "52000,46000,68000,47000"
738)
739oxt "39000,66000,55000,67000"
740text (MLText
741uid 35,0
742va (VaSet
743fg "0,0,32768"
744bg "0,0,32768"
745)
746xt "52200,46000,56700,47000"
747st "
748%project_name
749"
750tm "CommentText"
751wrapOption 3
752visibleHeight 1000
753visibleWidth 16000
754)
755position 1
756ignorePrefs 1
757titleBlock 1
758)
759*60 (CommentText
760uid 36,0
761shape (Rectangle
762uid 37,0
763sl 0
764va (VaSet
765vasetType 1
766fg "65280,65280,46080"
767)
768xt "27000,46000,48000,48000"
769)
770oxt "14000,66000,35000,68000"
771text (MLText
772uid 38,0
773va (VaSet
774fg "32768,0,0"
775)
776xt "34150,46500,40850,47500"
777st "
778<company name>
779"
780ju 0
781tm "CommentText"
782wrapOption 3
783visibleHeight 2000
784visibleWidth 21000
785)
786position 1
787ignorePrefs 1
788titleBlock 1
789)
790*61 (CommentText
791uid 39,0
792shape (Rectangle
793uid 40,0
794sl 0
795va (VaSet
796vasetType 1
797fg "65280,65280,46080"
798)
799xt "27000,49000,31000,50000"
800)
801oxt "14000,69000,18000,70000"
802text (MLText
803uid 41,0
804va (VaSet
805fg "0,0,32768"
806bg "0,0,32768"
807)
808xt "27200,49000,29300,50000"
809st "
810Path:
811"
812tm "CommentText"
813wrapOption 3
814visibleHeight 1000
815visibleWidth 4000
816)
817position 1
818ignorePrefs 1
819titleBlock 1
820)
821*62 (CommentText
822uid 42,0
823shape (Rectangle
824uid 43,0
825sl 0
826va (VaSet
827vasetType 1
828fg "65280,65280,46080"
829)
830xt "27000,50000,31000,51000"
831)
832oxt "14000,70000,18000,71000"
833text (MLText
834uid 44,0
835va (VaSet
836fg "0,0,32768"
837bg "0,0,32768"
838)
839xt "27200,50000,29900,51000"
840st "
841Edited:
842"
843tm "CommentText"
844wrapOption 3
845visibleHeight 1000
846visibleWidth 4000
847)
848position 1
849ignorePrefs 1
850titleBlock 1
851)
852*63 (CommentText
853uid 45,0
854shape (Rectangle
855uid 46,0
856sl 0
857va (VaSet
858vasetType 1
859fg "65280,65280,46080"
860)
861xt "31000,49000,48000,50000"
862)
863oxt "18000,69000,35000,70000"
864text (MLText
865uid 47,0
866va (VaSet
867fg "0,0,32768"
868bg "0,0,32768"
869)
870xt "31200,49000,40200,50000"
871st "
872%library/%unit/%view
873"
874tm "CommentText"
875wrapOption 3
876visibleHeight 1000
877visibleWidth 17000
878)
879position 1
880ignorePrefs 1
881titleBlock 1
882)
883]
884shape (GroupingShape
885uid 17,0
886va (VaSet
887vasetType 1
888fg "65535,65535,65535"
889lineStyle 2
890lineWidth 2
891)
892xt "27000,46000,68000,51000"
893)
894oxt "14000,66000,55000,71000"
895)
896]
897bg "65535,65535,65535"
898grid (Grid
899origin "0,0"
900isVisible 1
901isActive 1
902xSpacing 1000
903xySpacing 1000
904xShown 1
905yShown 1
906color "26368,26368,26368"
907)
908packageList *64 (PackageList
909uid 48,0
910stg "VerticalLayoutStrategy"
911textVec [
912*65 (Text
913uid 49,0
914va (VaSet
915font "arial,8,1"
916)
917xt "0,0,5400,1000"
918st "Package List"
919blo "0,800"
920)
921*66 (MLText
922uid 50,0
923va (VaSet
924)
925xt "0,1000,10900,4000"
926st "LIBRARY ieee;
927USE ieee.std_logic_1164.all;
928USE ieee.std_logic_arith.all;
929"
930tm "PackageList"
931)
932]
933)
934windowSize "0,0,1015,690"
935viewArea "0,0,0,0"
936cachedDiagramExtent "0,0,0,0"
937pageBreakOrigin "0,0"
938defaultCommentText (CommentText
939shape (Rectangle
940layer 0
941va (VaSet
942vasetType 1
943fg "65280,65280,46080"
944lineColor "0,0,32768"
945)
946xt "0,0,15000,5000"
947)
948text (MLText
949va (VaSet
950fg "0,0,32768"
951)
952xt "200,200,2000,1200"
953st "
954Text
955"
956tm "CommentText"
957wrapOption 3
958visibleHeight 4600
959visibleWidth 14600
960)
961)
962defaultPanel (Panel
963shape (RectFrame
964va (VaSet
965vasetType 1
966fg "65535,65535,65535"
967lineColor "32768,0,0"
968lineWidth 3
969)
970xt "0,0,20000,20000"
971)
972title (TextAssociate
973ps "TopLeftStrategy"
974text (Text
975va (VaSet
976font "Arial,8,1"
977)
978xt "1000,1000,3800,2000"
979st "Panel0"
980blo "1000,1800"
981tm "PanelText"
982)
983)
984)
985parentGraphicsRef (HdmGraphicsRef
986libraryName ""
987entityName ""
988viewName ""
989)
990defaultSymbolBody (SymbolBody
991shape (Rectangle
992va (VaSet
993vasetType 1
994fg "0,65535,0"
995lineColor "0,32896,0"
996lineWidth 2
997)
998xt "15000,6000,33000,26000"
999)
1000biTextGroup (BiTextGroup
1001ps "CenterOffsetStrategy"
1002stg "VerticalLayoutStrategy"
1003first (Text
1004va (VaSet
1005font "Arial,8,1"
1006)
1007xt "22200,15000,25800,16000"
1008st "<library>"
1009blo "22200,15800"
1010)
1011second (Text
1012va (VaSet
1013font "Arial,8,1"
1014)
1015xt "22200,16000,24800,17000"
1016st "<cell>"
1017blo "22200,16800"
1018)
1019)
1020gi *67 (GenericInterface
1021ps "CenterOffsetStrategy"
1022matrix (Matrix
1023text (MLText
1024va (VaSet
1025font "Courier New,8,0"
1026)
1027xt "0,12000,11500,12800"
1028st "Generic Declarations"
1029)
1030header "Generic Declarations"
1031showHdrWhenContentsEmpty 1
1032)
1033elements [
1034]
1035)
1036portInstanceVisAsIs 1
1037portInstanceVis (PortSigDisplay
1038sIVOD 1
1039)
1040portVis (PortSigDisplay
1041sIVOD 1
1042)
1043)
1044defaultCptPort (CptPort
1045ps "OnEdgeStrategy"
1046shape (Triangle
1047ro 90
1048va (VaSet
1049vasetType 1
1050fg "0,65535,0"
1051)
1052xt "0,0,750,750"
1053)
1054tg (CPTG
1055ps "CptPortTextPlaceStrategy"
1056stg "VerticalLayoutStrategy"
1057f (Text
1058va (VaSet
1059)
1060xt "0,750,1400,1750"
1061st "In0"
1062blo "0,1550"
1063tm "CptPortNameMgr"
1064)
1065)
1066dt (MLText
1067va (VaSet
1068font "Courier New,8,0"
1069)
1070)
1071thePort (LogicalPort
1072decl (Decl
1073n "In0"
1074t "std_logic_vector"
1075b "(15 DOWNTO 0)"
1076o 0
1077)
1078)
1079)
1080defaultCptPortBuffer (CptPort
1081ps "OnEdgeStrategy"
1082shape (Diamond
1083va (VaSet
1084vasetType 1
1085fg "65535,65535,65535"
1086bg "0,0,0"
1087)
1088xt "0,0,750,750"
1089)
1090tg (CPTG
1091ps "CptPortTextPlaceStrategy"
1092stg "VerticalLayoutStrategy"
1093f (Text
1094va (VaSet
1095)
1096xt "0,750,2800,1750"
1097st "Buffer0"
1098blo "0,1550"
1099tm "CptPortNameMgr"
1100)
1101)
1102dt (MLText
1103va (VaSet
1104font "Courier New,8,0"
1105)
1106)
1107thePort (LogicalPort
1108m 3
1109decl (Decl
1110n "Buffer0"
1111t "std_logic_vector"
1112b "(15 DOWNTO 0)"
1113o 0
1114)
1115)
1116)
1117DeclarativeBlock *68 (SymDeclBlock
1118uid 1,0
1119stg "SymDeclLayoutStrategy"
1120declLabel (Text
1121uid 2,0
1122va (VaSet
1123font "Arial,8,1"
1124)
1125xt "42000,0,47400,1000"
1126st "Declarations"
1127blo "42000,800"
1128)
1129portLabel (Text
1130uid 3,0
1131va (VaSet
1132font "Arial,8,1"
1133)
1134xt "42000,1000,44700,2000"
1135st "Ports:"
1136blo "42000,1800"
1137)
1138externalLabel (Text
1139uid 4,0
1140va (VaSet
1141font "Arial,8,1"
1142)
1143xt "42000,2000,44400,3000"
1144st "User:"
1145blo "42000,2800"
1146)
1147internalLabel (Text
1148uid 6,0
1149va (VaSet
1150isHidden 1
1151font "Arial,8,1"
1152)
1153xt "42000,0,47800,1000"
1154st "Internal User:"
1155blo "42000,800"
1156)
1157externalText (MLText
1158uid 5,0
1159va (VaSet
1160font "Courier New,8,0"
1161)
1162xt "44000,3000,44000,3000"
1163tm "SyDeclarativeTextMgr"
1164)
1165internalText (MLText
1166uid 7,0
1167va (VaSet
1168isHidden 1
1169font "Courier New,8,0"
1170)
1171xt "42000,0,42000,0"
1172tm "SyDeclarativeTextMgr"
1173)
1174)
1175lastUid 50,0
1176activeModelName "Symbol:CDM"
1177)
Note: See TracBrowser for help on using the repository browser.