source: firmware/FAD/FACT_FAD_TB_lib/hds/trigger_manager_tester/interface

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 18.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20libraryRefs [
21"ieee"
22]
23)
24version "24.1"
25appVersion "2009.1 (Build 12)"
26model (Symbol
27commonDM (CommonDM
28ldm (LogicalDM
29ordering 1
30suid 51,0
31usingSuid 1
32emptyRow *1 (LEmptyRow
33)
34uid 95,0
35optionalChildren [
36*2 (RefLabelRowHdr
37)
38*3 (TitleRowHdr
39)
40*4 (FilterRowHdr
41)
42*5 (RefLabelColHdr
43tm "RefLabelColHdrMgr"
44)
45*6 (RowExpandColHdr
46tm "RowExpandColHdrMgr"
47)
48*7 (GroupColHdr
49tm "GroupColHdrMgr"
50)
51*8 (NameColHdr
52tm "NameColHdrMgr"
53)
54*9 (ModeColHdr
55tm "ModeColHdrMgr"
56)
57*10 (TypeColHdr
58tm "TypeColHdrMgr"
59)
60*11 (BoundsColHdr
61tm "BoundsColHdrMgr"
62)
63*12 (InitColHdr
64tm "InitColHdrMgr"
65)
66*13 (EolColHdr
67tm "EolColHdrMgr"
68)
69*14 (LogPort
70port (LogicalPort
71lang 10
72m 1
73decl (Decl
74n "drs_readout_ready"
75t "std_logic"
76o 2
77suid 49,0
78)
79)
80uid 804,0
81)
82*15 (LogPort
83port (LogicalPort
84lang 10
85decl (Decl
86n "drs_readout_ready_ack"
87t "std_logic"
88o 1
89suid 50,0
90)
91)
92uid 806,0
93)
94*16 (LogPort
95port (LogicalPort
96lang 10
97m 1
98decl (Decl
99n "trigger_in"
100t "std_logic"
101o 3
102suid 51,0
103)
104)
105uid 808,0
106)
107]
108)
109pdm (PhysicalDM
110displayShortBounds 1
111editShortBounds 1
112uid 108,0
113optionalChildren [
114*17 (Sheet
115sheetRow (SheetRow
116headerVa (MVa
117cellColor "49152,49152,49152"
118fontColor "0,0,0"
119font "Tahoma,10,0"
120)
121cellVa (MVa
122cellColor "65535,65535,65535"
123fontColor "0,0,0"
124font "Tahoma,10,0"
125)
126groupVa (MVa
127cellColor "39936,56832,65280"
128fontColor "0,0,0"
129font "Tahoma,10,0"
130)
131emptyMRCItem *18 (MRCItem
132litem &1
133pos 3
134dimension 20
135)
136uid 110,0
137optionalChildren [
138*19 (MRCItem
139litem &2
140pos 0
141dimension 20
142uid 111,0
143)
144*20 (MRCItem
145litem &3
146pos 1
147dimension 23
148uid 112,0
149)
150*21 (MRCItem
151litem &4
152pos 2
153hidden 1
154dimension 20
155uid 113,0
156)
157*22 (MRCItem
158litem &14
159pos 0
160dimension 20
161uid 805,0
162)
163*23 (MRCItem
164litem &15
165pos 1
166dimension 20
167uid 807,0
168)
169*24 (MRCItem
170litem &16
171pos 2
172dimension 20
173uid 809,0
174)
175]
176)
177sheetCol (SheetCol
178propVa (MVa
179cellColor "0,49152,49152"
180fontColor "0,0,0"
181font "Tahoma,10,0"
182textAngle 90
183)
184uid 114,0
185optionalChildren [
186*25 (MRCItem
187litem &5
188pos 0
189dimension 20
190uid 115,0
191)
192*26 (MRCItem
193litem &7
194pos 1
195dimension 50
196uid 116,0
197)
198*27 (MRCItem
199litem &8
200pos 2
201dimension 100
202uid 117,0
203)
204*28 (MRCItem
205litem &9
206pos 3
207dimension 50
208uid 118,0
209)
210*29 (MRCItem
211litem &10
212pos 4
213dimension 100
214uid 119,0
215)
216*30 (MRCItem
217litem &11
218pos 5
219dimension 100
220uid 120,0
221)
222*31 (MRCItem
223litem &12
224pos 6
225dimension 50
226uid 121,0
227)
228*32 (MRCItem
229litem &13
230pos 7
231dimension 80
232uid 122,0
233)
234]
235)
236fixedCol 4
237fixedRow 2
238name "Ports"
239uid 109,0
240vaOverrides [
241]
242)
243]
244)
245uid 94,0
246)
247genericsCommonDM (CommonDM
248ldm (LogicalDM
249emptyRow *33 (LEmptyRow
250)
251uid 124,0
252optionalChildren [
253*34 (RefLabelRowHdr
254)
255*35 (TitleRowHdr
256)
257*36 (FilterRowHdr
258)
259*37 (RefLabelColHdr
260tm "RefLabelColHdrMgr"
261)
262*38 (RowExpandColHdr
263tm "RowExpandColHdrMgr"
264)
265*39 (GroupColHdr
266tm "GroupColHdrMgr"
267)
268*40 (NameColHdr
269tm "GenericNameColHdrMgr"
270)
271*41 (TypeColHdr
272tm "GenericTypeColHdrMgr"
273)
274*42 (InitColHdr
275tm "GenericValueColHdrMgr"
276)
277*43 (PragmaColHdr
278tm "GenericPragmaColHdrMgr"
279)
280*44 (EolColHdr
281tm "GenericEolColHdrMgr"
282)
283]
284)
285pdm (PhysicalDM
286displayShortBounds 1
287editShortBounds 1
288uid 136,0
289optionalChildren [
290*45 (Sheet
291sheetRow (SheetRow
292headerVa (MVa
293cellColor "49152,49152,49152"
294fontColor "0,0,0"
295font "Tahoma,10,0"
296)
297cellVa (MVa
298cellColor "65535,65535,65535"
299fontColor "0,0,0"
300font "Tahoma,10,0"
301)
302groupVa (MVa
303cellColor "39936,56832,65280"
304fontColor "0,0,0"
305font "Tahoma,10,0"
306)
307emptyMRCItem *46 (MRCItem
308litem &33
309pos 3
310dimension 20
311)
312uid 138,0
313optionalChildren [
314*47 (MRCItem
315litem &34
316pos 0
317dimension 20
318uid 139,0
319)
320*48 (MRCItem
321litem &35
322pos 1
323dimension 23
324uid 140,0
325)
326*49 (MRCItem
327litem &36
328pos 2
329hidden 1
330dimension 20
331uid 141,0
332)
333]
334)
335sheetCol (SheetCol
336propVa (MVa
337cellColor "0,49152,49152"
338fontColor "0,0,0"
339font "Tahoma,10,0"
340textAngle 90
341)
342uid 142,0
343optionalChildren [
344*50 (MRCItem
345litem &37
346pos 0
347dimension 20
348uid 143,0
349)
350*51 (MRCItem
351litem &39
352pos 1
353dimension 50
354uid 144,0
355)
356*52 (MRCItem
357litem &40
358pos 2
359dimension 100
360uid 145,0
361)
362*53 (MRCItem
363litem &41
364pos 3
365dimension 100
366uid 146,0
367)
368*54 (MRCItem
369litem &42
370pos 4
371dimension 50
372uid 147,0
373)
374*55 (MRCItem
375litem &43
376pos 5
377dimension 50
378uid 148,0
379)
380*56 (MRCItem
381litem &44
382pos 6
383dimension 80
384uid 149,0
385)
386]
387)
388fixedCol 3
389fixedRow 2
390name "Ports"
391uid 137,0
392vaOverrides [
393]
394)
395]
396)
397uid 123,0
398type 1
399)
400VExpander (VariableExpander
401vvMap [
402(vvPair
403variable "HDLDir"
404value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
405)
406(vvPair
407variable "HDSDir"
408value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
409)
410(vvPair
411variable "SideDataDesignDir"
412value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tester\\interface.info"
413)
414(vvPair
415variable "SideDataUserDir"
416value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tester\\interface.user"
417)
418(vvPair
419variable "SourceDir"
420value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
421)
422(vvPair
423variable "appl"
424value "HDL Designer"
425)
426(vvPair
427variable "arch_name"
428value "interface"
429)
430(vvPair
431variable "config"
432value "%(unit)_%(view)_config"
433)
434(vvPair
435variable "d"
436value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tester"
437)
438(vvPair
439variable "d_logical"
440value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tester"
441)
442(vvPair
443variable "date"
444value "14.01.2011"
445)
446(vvPair
447variable "day"
448value "Fr"
449)
450(vvPair
451variable "day_long"
452value "Freitag"
453)
454(vvPair
455variable "dd"
456value "14"
457)
458(vvPair
459variable "entity_name"
460value "trigger_manager_tester"
461)
462(vvPair
463variable "ext"
464value "<TBD>"
465)
466(vvPair
467variable "f"
468value "interface"
469)
470(vvPair
471variable "f_logical"
472value "interface"
473)
474(vvPair
475variable "f_noext"
476value "interface"
477)
478(vvPair
479variable "group"
480value "UNKNOWN"
481)
482(vvPair
483variable "host"
484value "IHP110"
485)
486(vvPair
487variable "language"
488value "VHDL"
489)
490(vvPair
491variable "library"
492value "FACT_FAD_TB_lib"
493)
494(vvPair
495variable "library_downstream_ModelSimCompiler"
496value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
497)
498(vvPair
499variable "mm"
500value "01"
501)
502(vvPair
503variable "module_name"
504value "trigger_manager_tester"
505)
506(vvPair
507variable "month"
508value "Jan"
509)
510(vvPair
511variable "month_long"
512value "Januar"
513)
514(vvPair
515variable "p"
516value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tester\\interface"
517)
518(vvPair
519variable "p_logical"
520value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\trigger_manager_tester\\interface"
521)
522(vvPair
523variable "package_name"
524value "<Undefined Variable>"
525)
526(vvPair
527variable "project_name"
528value "FACT_FAD"
529)
530(vvPair
531variable "series"
532value "HDL Designer Series"
533)
534(vvPair
535variable "task_DesignCompilerPath"
536value "<TBD>"
537)
538(vvPair
539variable "task_LeonardoPath"
540value "<TBD>"
541)
542(vvPair
543variable "task_ModelSimPath"
544value "D:\\modeltech_6.5e\\win32"
545)
546(vvPair
547variable "task_NC-SimPath"
548value "<TBD>"
549)
550(vvPair
551variable "task_PrecisionRTLPath"
552value "<TBD>"
553)
554(vvPair
555variable "task_QuestaSimPath"
556value "<TBD>"
557)
558(vvPair
559variable "task_VCSPath"
560value "<TBD>"
561)
562(vvPair
563variable "this_ext"
564value "<TBD>"
565)
566(vvPair
567variable "this_file"
568value "interface"
569)
570(vvPair
571variable "this_file_logical"
572value "interface"
573)
574(vvPair
575variable "time"
576value "14:19:00"
577)
578(vvPair
579variable "unit"
580value "trigger_manager_tester"
581)
582(vvPair
583variable "user"
584value "daqct3"
585)
586(vvPair
587variable "version"
588value "2009.1 (Build 12)"
589)
590(vvPair
591variable "view"
592value "interface"
593)
594(vvPair
595variable "year"
596value "2011"
597)
598(vvPair
599variable "yy"
600value "11"
601)
602]
603)
604LanguageMgr "VhdlLangMgr"
605uid 93,0
606optionalChildren [
607*57 (SymbolBody
608uid 8,0
609optionalChildren [
610*58 (CptPort
611uid 789,0
612ps "OnEdgeStrategy"
613shape (Triangle
614uid 790,0
615ro 270
616va (VaSet
617vasetType 1
618fg "0,65535,0"
619)
620xt "14250,8625,15000,9375"
621)
622tg (CPTG
623uid 791,0
624ps "CptPortTextPlaceStrategy"
625stg "VerticalLayoutStrategy"
626f (Text
627uid 792,0
628va (VaSet
629)
630xt "16000,8500,23000,9500"
631st "drs_readout_ready"
632blo "16000,9300"
633tm "CptPortNameMgr"
634)
635)
636dt (MLText
637uid 793,0
638va (VaSet
639font "Courier New,8,0"
640)
641)
642thePort (LogicalPort
643lang 10
644m 1
645decl (Decl
646n "drs_readout_ready"
647t "std_logic"
648o 2
649suid 49,0
650)
651)
652)
653*59 (CptPort
654uid 794,0
655ps "OnEdgeStrategy"
656shape (Triangle
657uid 795,0
658ro 270
659va (VaSet
660vasetType 1
661fg "0,65535,0"
662)
663xt "34000,8625,34750,9375"
664)
665tg (CPTG
666uid 796,0
667ps "CptPortTextPlaceStrategy"
668stg "RightVerticalLayoutStrategy"
669f (Text
670uid 797,0
671va (VaSet
672)
673xt "24100,8500,33000,9500"
674st "drs_readout_ready_ack"
675ju 2
676blo "33000,9300"
677tm "CptPortNameMgr"
678)
679)
680dt (MLText
681uid 798,0
682va (VaSet
683font "Courier New,8,0"
684)
685)
686thePort (LogicalPort
687lang 10
688decl (Decl
689n "drs_readout_ready_ack"
690t "std_logic"
691o 1
692suid 50,0
693)
694)
695)
696*60 (CptPort
697uid 799,0
698ps "OnEdgeStrategy"
699shape (Triangle
700uid 800,0
701ro 270
702va (VaSet
703vasetType 1
704fg "0,65535,0"
705)
706xt "14250,7625,15000,8375"
707)
708tg (CPTG
709uid 801,0
710ps "CptPortTextPlaceStrategy"
711stg "VerticalLayoutStrategy"
712f (Text
713uid 802,0
714va (VaSet
715)
716xt "16000,7500,20200,8500"
717st "trigger_in"
718blo "16000,8300"
719tm "CptPortNameMgr"
720)
721)
722dt (MLText
723uid 803,0
724va (VaSet
725font "Courier New,8,0"
726)
727)
728thePort (LogicalPort
729lang 10
730m 1
731decl (Decl
732n "trigger_in"
733t "std_logic"
734o 3
735suid 51,0
736)
737)
738)
739]
740shape (Rectangle
741uid 9,0
742va (VaSet
743vasetType 1
744fg "0,65535,0"
745lineColor "0,32896,0"
746lineWidth 2
747)
748xt "15000,6000,34000,10000"
749)
750biTextGroup (BiTextGroup
751uid 10,0
752ps "CenterOffsetStrategy"
753stg "VerticalLayoutStrategy"
754first (Text
755uid 11,0
756va (VaSet
757font "Arial,8,1"
758)
759xt "19600,7000,27300,8000"
760st "FACT_FAD_TB_lib"
761blo "19600,7800"
762)
763second (Text
764uid 12,0
765va (VaSet
766font "Arial,8,1"
767)
768xt "19600,8000,29400,9000"
769st "trigger_manager_tester"
770blo "19600,8800"
771)
772)
773gi *61 (GenericInterface
774uid 13,0
775ps "CenterOffsetStrategy"
776matrix (Matrix
777uid 14,0
778text (MLText
779uid 15,0
780va (VaSet
781font "Courier New,8,0"
782)
783xt "500,4000,12000,4800"
784st "Generic Declarations"
785)
786header "Generic Declarations"
787showHdrWhenContentsEmpty 1
788)
789elements [
790]
791)
792portInstanceVisAsIs 1
793portInstanceVis (PortSigDisplay
794sTC 0
795sF 0
796)
797portVis (PortSigDisplay
798sTC 0
799sF 0
800)
801)
802*62 (Grouping
803uid 16,0
804optionalChildren [
805*63 (CommentText
806uid 18,0
807shape (Rectangle
808uid 19,0
809sl 0
810va (VaSet
811vasetType 1
812fg "65280,65280,46080"
813)
814xt "31000,50000,48000,51000"
815)
816oxt "18000,70000,35000,71000"
817text (MLText
818uid 20,0
819va (VaSet
820fg "0,0,32768"
821bg "0,0,32768"
822)
823xt "31200,50000,40800,51000"
824st "
825by %user on %dd %month %year
826"
827tm "CommentText"
828wrapOption 3
829visibleHeight 1000
830visibleWidth 17000
831)
832position 1
833ignorePrefs 1
834titleBlock 1
835)
836*64 (CommentText
837uid 21,0
838shape (Rectangle
839uid 22,0
840sl 0
841va (VaSet
842vasetType 1
843fg "65280,65280,46080"
844)
845xt "48000,46000,52000,47000"
846)
847oxt "35000,66000,39000,67000"
848text (MLText
849uid 23,0
850va (VaSet
851fg "0,0,32768"
852bg "0,0,32768"
853)
854xt "48200,46000,51200,47000"
855st "
856Project:
857"
858tm "CommentText"
859wrapOption 3
860visibleHeight 1000
861visibleWidth 4000
862)
863position 1
864ignorePrefs 1
865titleBlock 1
866)
867*65 (CommentText
868uid 24,0
869shape (Rectangle
870uid 25,0
871sl 0
872va (VaSet
873vasetType 1
874fg "65280,65280,46080"
875)
876xt "31000,48000,48000,49000"
877)
878oxt "18000,68000,35000,69000"
879text (MLText
880uid 26,0
881va (VaSet
882fg "0,0,32768"
883bg "0,0,32768"
884)
885xt "31200,48000,41200,49000"
886st "
887<enter diagram title here>
888"
889tm "CommentText"
890wrapOption 3
891visibleHeight 1000
892visibleWidth 17000
893)
894position 1
895ignorePrefs 1
896titleBlock 1
897)
898*66 (CommentText
899uid 27,0
900shape (Rectangle
901uid 28,0
902sl 0
903va (VaSet
904vasetType 1
905fg "65280,65280,46080"
906)
907xt "27000,48000,31000,49000"
908)
909oxt "14000,68000,18000,69000"
910text (MLText
911uid 29,0
912va (VaSet
913fg "0,0,32768"
914bg "0,0,32768"
915)
916xt "27200,48000,29300,49000"
917st "
918Title:
919"
920tm "CommentText"
921wrapOption 3
922visibleHeight 1000
923visibleWidth 4000
924)
925position 1
926ignorePrefs 1
927titleBlock 1
928)
929*67 (CommentText
930uid 30,0
931shape (Rectangle
932uid 31,0
933sl 0
934va (VaSet
935vasetType 1
936fg "65280,65280,46080"
937)
938xt "48000,47000,68000,51000"
939)
940oxt "35000,67000,55000,71000"
941text (MLText
942uid 32,0
943va (VaSet
944fg "0,0,32768"
945bg "0,0,32768"
946)
947xt "48200,47200,57400,48200"
948st "
949<enter comments here>
950"
951tm "CommentText"
952wrapOption 3
953visibleHeight 4000
954visibleWidth 20000
955)
956ignorePrefs 1
957titleBlock 1
958)
959*68 (CommentText
960uid 33,0
961shape (Rectangle
962uid 34,0
963sl 0
964va (VaSet
965vasetType 1
966fg "65280,65280,46080"
967)
968xt "52000,46000,68000,47000"
969)
970oxt "39000,66000,55000,67000"
971text (MLText
972uid 35,0
973va (VaSet
974fg "0,0,32768"
975bg "0,0,32768"
976)
977xt "52200,46000,56700,47000"
978st "
979%project_name
980"
981tm "CommentText"
982wrapOption 3
983visibleHeight 1000
984visibleWidth 16000
985)
986position 1
987ignorePrefs 1
988titleBlock 1
989)
990*69 (CommentText
991uid 36,0
992shape (Rectangle
993uid 37,0
994sl 0
995va (VaSet
996vasetType 1
997fg "65280,65280,46080"
998)
999xt "27000,46000,48000,48000"
1000)
1001oxt "14000,66000,35000,68000"
1002text (MLText
1003uid 38,0
1004va (VaSet
1005fg "32768,0,0"
1006)
1007xt "34150,46500,40850,47500"
1008st "
1009<company name>
1010"
1011ju 0
1012tm "CommentText"
1013wrapOption 3
1014visibleHeight 2000
1015visibleWidth 21000
1016)
1017position 1
1018ignorePrefs 1
1019titleBlock 1
1020)
1021*70 (CommentText
1022uid 39,0
1023shape (Rectangle
1024uid 40,0
1025sl 0
1026va (VaSet
1027vasetType 1
1028fg "65280,65280,46080"
1029)
1030xt "27000,49000,31000,50000"
1031)
1032oxt "14000,69000,18000,70000"
1033text (MLText
1034uid 41,0
1035va (VaSet
1036fg "0,0,32768"
1037bg "0,0,32768"
1038)
1039xt "27200,49000,29300,50000"
1040st "
1041Path:
1042"
1043tm "CommentText"
1044wrapOption 3
1045visibleHeight 1000
1046visibleWidth 4000
1047)
1048position 1
1049ignorePrefs 1
1050titleBlock 1
1051)
1052*71 (CommentText
1053uid 42,0
1054shape (Rectangle
1055uid 43,0
1056sl 0
1057va (VaSet
1058vasetType 1
1059fg "65280,65280,46080"
1060)
1061xt "27000,50000,31000,51000"
1062)
1063oxt "14000,70000,18000,71000"
1064text (MLText
1065uid 44,0
1066va (VaSet
1067fg "0,0,32768"
1068bg "0,0,32768"
1069)
1070xt "27200,50000,29900,51000"
1071st "
1072Edited:
1073"
1074tm "CommentText"
1075wrapOption 3
1076visibleHeight 1000
1077visibleWidth 4000
1078)
1079position 1
1080ignorePrefs 1
1081titleBlock 1
1082)
1083*72 (CommentText
1084uid 45,0
1085shape (Rectangle
1086uid 46,0
1087sl 0
1088va (VaSet
1089vasetType 1
1090fg "65280,65280,46080"
1091)
1092xt "31000,49000,48000,50000"
1093)
1094oxt "18000,69000,35000,70000"
1095text (MLText
1096uid 47,0
1097va (VaSet
1098fg "0,0,32768"
1099bg "0,0,32768"
1100)
1101xt "31200,49000,47600,50000"
1102st "
1103%library/%unit/%view
1104"
1105tm "CommentText"
1106wrapOption 3
1107visibleHeight 1000
1108visibleWidth 17000
1109)
1110position 1
1111ignorePrefs 1
1112titleBlock 1
1113)
1114]
1115shape (GroupingShape
1116uid 17,0
1117va (VaSet
1118vasetType 1
1119fg "65535,65535,65535"
1120lineStyle 2
1121lineWidth 2
1122)
1123xt "27000,46000,68000,51000"
1124)
1125oxt "14000,66000,55000,71000"
1126)
1127]
1128bg "65535,65535,65535"
1129grid (Grid
1130origin "0,0"
1131isVisible 1
1132isActive 1
1133xSpacing 1000
1134xySpacing 1000
1135xShown 1
1136yShown 1
1137color "26368,26368,26368"
1138)
1139packageList *73 (PackageList
1140uid 48,0
1141stg "VerticalLayoutStrategy"
1142textVec [
1143*74 (Text
1144uid 49,0
1145va (VaSet
1146font "arial,8,1"
1147)
1148xt "0,0,5400,1000"
1149st "Package List"
1150blo "0,800"
1151)
1152*75 (MLText
1153uid 50,0
1154va (VaSet
1155)
1156xt "0,1000,12400,5000"
1157st "LIBRARY ieee;
1158USE ieee.std_logic_1164.ALL;
1159USE ieee.std_logic_arith.ALL;
1160USE ieee.std_logic_unsigned.all;"
1161tm "PackageList"
1162)
1163]
1164)
1165windowSize "0,0,1015,690"
1166viewArea "0,0,0,0"
1167cachedDiagramExtent "0,0,0,0"
1168pageBreakOrigin "0,0"
1169defaultCommentText (CommentText
1170shape (Rectangle
1171layer 0
1172va (VaSet
1173vasetType 1
1174fg "65280,65280,46080"
1175lineColor "0,0,32768"
1176)
1177xt "0,0,15000,5000"
1178)
1179text (MLText
1180va (VaSet
1181fg "0,0,32768"
1182)
1183xt "200,200,2000,1200"
1184st "
1185Text
1186"
1187tm "CommentText"
1188wrapOption 3
1189visibleHeight 4600
1190visibleWidth 14600
1191)
1192)
1193defaultPanel (Panel
1194shape (RectFrame
1195va (VaSet
1196vasetType 1
1197fg "65535,65535,65535"
1198lineColor "32768,0,0"
1199lineWidth 3
1200)
1201xt "0,0,20000,20000"
1202)
1203title (TextAssociate
1204ps "TopLeftStrategy"
1205text (Text
1206va (VaSet
1207font "Arial,8,1"
1208)
1209xt "1000,1000,3800,2000"
1210st "Panel0"
1211blo "1000,1800"
1212tm "PanelText"
1213)
1214)
1215)
1216parentGraphicsRef (HdmGraphicsRef
1217libraryName "FACT_FAD_TB_lib"
1218entityName "trigger_manager_tb"
1219viewName "struct.bd"
1220)
1221defaultSymbolBody (SymbolBody
1222shape (Rectangle
1223va (VaSet
1224vasetType 1
1225fg "0,65535,0"
1226lineColor "0,32896,0"
1227lineWidth 2
1228)
1229xt "15000,6000,33000,26000"
1230)
1231biTextGroup (BiTextGroup
1232ps "CenterOffsetStrategy"
1233stg "VerticalLayoutStrategy"
1234first (Text
1235va (VaSet
1236font "Arial,8,1"
1237)
1238xt "22200,15000,25800,16000"
1239st "<library>"
1240blo "22200,15800"
1241)
1242second (Text
1243va (VaSet
1244font "Arial,8,1"
1245)
1246xt "22200,16000,24800,17000"
1247st "<cell>"
1248blo "22200,16800"
1249)
1250)
1251gi *76 (GenericInterface
1252ps "CenterOffsetStrategy"
1253matrix (Matrix
1254text (MLText
1255va (VaSet
1256font "Courier New,8,0"
1257)
1258xt "0,12000,11500,12800"
1259st "Generic Declarations"
1260)
1261header "Generic Declarations"
1262showHdrWhenContentsEmpty 1
1263)
1264elements [
1265]
1266)
1267portInstanceVisAsIs 1
1268portInstanceVis (PortSigDisplay
1269sIVOD 1
1270)
1271portVis (PortSigDisplay
1272sIVOD 1
1273)
1274)
1275defaultCptPort (CptPort
1276ps "OnEdgeStrategy"
1277shape (Triangle
1278ro 90
1279va (VaSet
1280vasetType 1
1281fg "0,65535,0"
1282)
1283xt "0,0,750,750"
1284)
1285tg (CPTG
1286ps "CptPortTextPlaceStrategy"
1287stg "VerticalLayoutStrategy"
1288f (Text
1289va (VaSet
1290)
1291xt "0,750,1400,1750"
1292st "In0"
1293blo "0,1550"
1294tm "CptPortNameMgr"
1295)
1296)
1297dt (MLText
1298va (VaSet
1299font "Courier New,8,0"
1300)
1301)
1302thePort (LogicalPort
1303decl (Decl
1304n "In0"
1305t "std_logic_vector"
1306b "(15 DOWNTO 0)"
1307o 0
1308)
1309)
1310)
1311defaultCptPortBuffer (CptPort
1312ps "OnEdgeStrategy"
1313shape (Diamond
1314va (VaSet
1315vasetType 1
1316fg "65535,65535,65535"
1317bg "0,0,0"
1318)
1319xt "0,0,750,750"
1320)
1321tg (CPTG
1322ps "CptPortTextPlaceStrategy"
1323stg "VerticalLayoutStrategy"
1324f (Text
1325va (VaSet
1326)
1327xt "0,750,2800,1750"
1328st "Buffer0"
1329blo "0,1550"
1330tm "CptPortNameMgr"
1331)
1332)
1333dt (MLText
1334va (VaSet
1335font "Courier New,8,0"
1336)
1337)
1338thePort (LogicalPort
1339m 3
1340decl (Decl
1341n "Buffer0"
1342t "std_logic_vector"
1343b "(15 DOWNTO 0)"
1344o 0
1345)
1346)
1347)
1348DeclarativeBlock *77 (SymDeclBlock
1349uid 1,0
1350stg "SymDeclLayoutStrategy"
1351declLabel (Text
1352uid 2,0
1353va (VaSet
1354font "Arial,8,1"
1355)
1356xt "42000,0,47400,1000"
1357st "Declarations"
1358blo "42000,800"
1359)
1360portLabel (Text
1361uid 3,0
1362va (VaSet
1363font "Arial,8,1"
1364)
1365xt "42000,1000,44700,2000"
1366st "Ports:"
1367blo "42000,1800"
1368)
1369externalLabel (Text
1370uid 4,0
1371va (VaSet
1372font "Arial,8,1"
1373)
1374xt "42000,6800,44400,7800"
1375st "User:"
1376blo "42000,7600"
1377)
1378internalLabel (Text
1379uid 6,0
1380va (VaSet
1381isHidden 1
1382font "Arial,8,1"
1383)
1384xt "42000,0,47800,1000"
1385st "Internal User:"
1386blo "42000,800"
1387)
1388externalText (MLText
1389uid 5,0
1390va (VaSet
1391font "Courier New,8,0"
1392)
1393xt "44000,7800,44000,7800"
1394tm "SyDeclarativeTextMgr"
1395)
1396internalText (MLText
1397uid 7,0
1398va (VaSet
1399isHidden 1
1400font "Courier New,8,0"
1401)
1402xt "42000,0,42000,0"
1403tm "SyDeclarativeTextMgr"
1404)
1405)
1406lastUid 809,0
1407activeModelName "Symbol:CDM"
1408)
Note: See TracBrowser for help on using the repository browser.