source: firmware/FAD/FACT_FAD_TB_lib/hds/w5300_emulator/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 22.4 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_unsigned"
16)
17(DmPackageRef
18library "FACT_FAD_lib"
19unitName "fad_definitions"
20)
21]
22libraryRefs [
23"ieee"
24"FACT_FAD_lib"
25]
26)
27version "24.1"
28appVersion "2009.1 (Build 12)"
29model (Symbol
30commonDM (CommonDM
31ldm (LogicalDM
32ordering 1
33suid 6,0
34usingSuid 1
35emptyRow *1 (LEmptyRow
36)
37uid 84,0
38optionalChildren [
39*2 (RefLabelRowHdr
40)
41*3 (TitleRowHdr
42)
43*4 (FilterRowHdr
44)
45*5 (RefLabelColHdr
46tm "RefLabelColHdrMgr"
47)
48*6 (RowExpandColHdr
49tm "RowExpandColHdrMgr"
50)
51*7 (GroupColHdr
52tm "GroupColHdrMgr"
53)
54*8 (NameColHdr
55tm "NameColHdrMgr"
56)
57*9 (ModeColHdr
58tm "ModeColHdrMgr"
59)
60*10 (TypeColHdr
61tm "TypeColHdrMgr"
62)
63*11 (BoundsColHdr
64tm "BoundsColHdrMgr"
65)
66*12 (InitColHdr
67tm "InitColHdrMgr"
68)
69*13 (EolColHdr
70tm "EolColHdrMgr"
71)
72*14 (LogPort
73port (LogicalPort
74decl (Decl
75n "addr"
76t "std_logic_vector"
77b "(9 DOWNTO 0)"
78preAdd 0
79posAdd 0
80o 2
81suid 1,0
82)
83)
84uid 71,0
85)
86*15 (LogPort
87port (LogicalPort
88m 2
89decl (Decl
90n "data"
91t "std_logic_vector"
92b "(15 DOWNTO 0)"
93preAdd 0
94posAdd 0
95o 3
96suid 2,0
97)
98)
99uid 73,0
100)
101*16 (LogPort
102port (LogicalPort
103decl (Decl
104n "rd"
105t "std_logic"
106preAdd 0
107posAdd 0
108o 4
109suid 3,0
110)
111)
112uid 75,0
113)
114*17 (LogPort
115port (LogicalPort
116decl (Decl
117n "wr"
118t "std_logic"
119preAdd 0
120posAdd 0
121o 6
122suid 4,0
123)
124)
125uid 77,0
126)
127*18 (LogPort
128port (LogicalPort
129m 1
130decl (Decl
131n "int"
132t "std_logic"
133o 1
134suid 5,0
135i "'1'"
136)
137)
138uid 169,0
139)
140*19 (LogPort
141port (LogicalPort
142decl (Decl
143n "cs"
144t "std_logic"
145o 5
146suid 6,0
147)
148)
149uid 291,0
150)
151]
152)
153pdm (PhysicalDM
154displayShortBounds 1
155editShortBounds 1
156uid 97,0
157optionalChildren [
158*20 (Sheet
159sheetRow (SheetRow
160headerVa (MVa
161cellColor "49152,49152,49152"
162fontColor "0,0,0"
163font "Tahoma,10,0"
164)
165cellVa (MVa
166cellColor "65535,65535,65535"
167fontColor "0,0,0"
168font "Tahoma,10,0"
169)
170groupVa (MVa
171cellColor "39936,56832,65280"
172fontColor "0,0,0"
173font "Tahoma,10,0"
174)
175emptyMRCItem *21 (MRCItem
176litem &1
177pos 3
178dimension 20
179)
180uid 99,0
181optionalChildren [
182*22 (MRCItem
183litem &2
184pos 0
185dimension 20
186uid 100,0
187)
188*23 (MRCItem
189litem &3
190pos 1
191dimension 23
192uid 101,0
193)
194*24 (MRCItem
195litem &4
196pos 2
197hidden 1
198dimension 20
199uid 102,0
200)
201*25 (MRCItem
202litem &14
203pos 0
204dimension 20
205uid 72,0
206)
207*26 (MRCItem
208litem &15
209pos 1
210dimension 20
211uid 74,0
212)
213*27 (MRCItem
214litem &16
215pos 2
216dimension 20
217uid 76,0
218)
219*28 (MRCItem
220litem &17
221pos 3
222dimension 20
223uid 78,0
224)
225*29 (MRCItem
226litem &18
227pos 4
228dimension 20
229uid 170,0
230)
231*30 (MRCItem
232litem &19
233pos 5
234dimension 20
235uid 292,0
236)
237]
238)
239sheetCol (SheetCol
240propVa (MVa
241cellColor "0,49152,49152"
242fontColor "0,0,0"
243font "Tahoma,10,0"
244textAngle 90
245)
246uid 103,0
247optionalChildren [
248*31 (MRCItem
249litem &5
250pos 0
251dimension 20
252uid 104,0
253)
254*32 (MRCItem
255litem &7
256pos 1
257dimension 50
258uid 105,0
259)
260*33 (MRCItem
261litem &8
262pos 2
263dimension 100
264uid 106,0
265)
266*34 (MRCItem
267litem &9
268pos 3
269dimension 50
270uid 107,0
271)
272*35 (MRCItem
273litem &10
274pos 4
275dimension 100
276uid 108,0
277)
278*36 (MRCItem
279litem &11
280pos 5
281dimension 100
282uid 109,0
283)
284*37 (MRCItem
285litem &12
286pos 6
287dimension 50
288uid 110,0
289)
290*38 (MRCItem
291litem &13
292pos 7
293dimension 80
294uid 111,0
295)
296]
297)
298fixedCol 4
299fixedRow 2
300name "Ports"
301uid 98,0
302vaOverrides [
303]
304)
305]
306)
307uid 83,0
308)
309genericsCommonDM (CommonDM
310ldm (LogicalDM
311emptyRow *39 (LEmptyRow
312)
313uid 113,0
314optionalChildren [
315*40 (RefLabelRowHdr
316)
317*41 (TitleRowHdr
318)
319*42 (FilterRowHdr
320)
321*43 (RefLabelColHdr
322tm "RefLabelColHdrMgr"
323)
324*44 (RowExpandColHdr
325tm "RowExpandColHdrMgr"
326)
327*45 (GroupColHdr
328tm "GroupColHdrMgr"
329)
330*46 (NameColHdr
331tm "GenericNameColHdrMgr"
332)
333*47 (TypeColHdr
334tm "GenericTypeColHdrMgr"
335)
336*48 (InitColHdr
337tm "GenericValueColHdrMgr"
338)
339*49 (PragmaColHdr
340tm "GenericPragmaColHdrMgr"
341)
342*50 (EolColHdr
343tm "GenericEolColHdrMgr"
344)
345]
346)
347pdm (PhysicalDM
348displayShortBounds 1
349editShortBounds 1
350uid 125,0
351optionalChildren [
352*51 (Sheet
353sheetRow (SheetRow
354headerVa (MVa
355cellColor "49152,49152,49152"
356fontColor "0,0,0"
357font "Tahoma,10,0"
358)
359cellVa (MVa
360cellColor "65535,65535,65535"
361fontColor "0,0,0"
362font "Tahoma,10,0"
363)
364groupVa (MVa
365cellColor "39936,56832,65280"
366fontColor "0,0,0"
367font "Tahoma,10,0"
368)
369emptyMRCItem *52 (MRCItem
370litem &39
371pos 3
372dimension 20
373)
374uid 127,0
375optionalChildren [
376*53 (MRCItem
377litem &40
378pos 0
379dimension 20
380uid 128,0
381)
382*54 (MRCItem
383litem &41
384pos 1
385dimension 23
386uid 129,0
387)
388*55 (MRCItem
389litem &42
390pos 2
391hidden 1
392dimension 20
393uid 130,0
394)
395]
396)
397sheetCol (SheetCol
398propVa (MVa
399cellColor "0,49152,49152"
400fontColor "0,0,0"
401font "Tahoma,10,0"
402textAngle 90
403)
404uid 131,0
405optionalChildren [
406*56 (MRCItem
407litem &43
408pos 0
409dimension 20
410uid 132,0
411)
412*57 (MRCItem
413litem &45
414pos 1
415dimension 50
416uid 133,0
417)
418*58 (MRCItem
419litem &46
420pos 2
421dimension 100
422uid 134,0
423)
424*59 (MRCItem
425litem &47
426pos 3
427dimension 100
428uid 135,0
429)
430*60 (MRCItem
431litem &48
432pos 4
433dimension 50
434uid 136,0
435)
436*61 (MRCItem
437litem &49
438pos 5
439dimension 50
440uid 137,0
441)
442*62 (MRCItem
443litem &50
444pos 6
445dimension 80
446uid 138,0
447)
448]
449)
450fixedCol 3
451fixedRow 2
452name "Ports"
453uid 126,0
454vaOverrides [
455]
456)
457]
458)
459uid 112,0
460type 1
461)
462VExpander (VariableExpander
463vvMap [
464(vvPair
465variable "HDLDir"
466value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
467)
468(vvPair
469variable "HDSDir"
470value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
471)
472(vvPair
473variable "SideDataDesignDir"
474value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.info"
475)
476(vvPair
477variable "SideDataUserDir"
478value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb.user"
479)
480(vvPair
481variable "SourceDir"
482value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
483)
484(vvPair
485variable "appl"
486value "HDL Designer"
487)
488(vvPair
489variable "arch_name"
490value "symbol"
491)
492(vvPair
493variable "config"
494value "%(unit)_%(view)_config"
495)
496(vvPair
497variable "d"
498value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
499)
500(vvPair
501variable "d_logical"
502value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator"
503)
504(vvPair
505variable "date"
506value "04.03.2011"
507)
508(vvPair
509variable "day"
510value "Fr"
511)
512(vvPair
513variable "day_long"
514value "Freitag"
515)
516(vvPair
517variable "dd"
518value "04"
519)
520(vvPair
521variable "entity_name"
522value "w5300_emulator"
523)
524(vvPair
525variable "ext"
526value "<TBD>"
527)
528(vvPair
529variable "f"
530value "symbol.sb"
531)
532(vvPair
533variable "f_logical"
534value "symbol.sb"
535)
536(vvPair
537variable "f_noext"
538value "symbol"
539)
540(vvPair
541variable "group"
542value "UNKNOWN"
543)
544(vvPair
545variable "host"
546value "IHP110"
547)
548(vvPair
549variable "language"
550value "VHDL"
551)
552(vvPair
553variable "library"
554value "FACT_FAD_TB_lib"
555)
556(vvPair
557variable "library_downstream_HdsLintPlugin"
558value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
559)
560(vvPair
561variable "library_downstream_ISEPARInvoke"
562value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
563)
564(vvPair
565variable "library_downstream_ImpactInvoke"
566value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
567)
568(vvPair
569variable "library_downstream_ModelSimCompiler"
570value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
571)
572(vvPair
573variable "library_downstream_XSTDataPrep"
574value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
575)
576(vvPair
577variable "mm"
578value "03"
579)
580(vvPair
581variable "module_name"
582value "w5300_emulator"
583)
584(vvPair
585variable "month"
586value "Mrz"
587)
588(vvPair
589variable "month_long"
590value "März"
591)
592(vvPair
593variable "p"
594value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
595)
596(vvPair
597variable "p_logical"
598value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_emulator\\symbol.sb"
599)
600(vvPair
601variable "package_name"
602value "<Undefined Variable>"
603)
604(vvPair
605variable "project_name"
606value "FACT_FAD"
607)
608(vvPair
609variable "series"
610value "HDL Designer Series"
611)
612(vvPair
613variable "task_DesignCompilerPath"
614value "<TBD>"
615)
616(vvPair
617variable "task_LeonardoPath"
618value "<TBD>"
619)
620(vvPair
621variable "task_ModelSimPath"
622value "D:\\modeltech_6.5e\\win32"
623)
624(vvPair
625variable "task_NC-SimPath"
626value "<TBD>"
627)
628(vvPair
629variable "task_PrecisionRTLPath"
630value "<TBD>"
631)
632(vvPair
633variable "task_QuestaSimPath"
634value "<TBD>"
635)
636(vvPair
637variable "task_VCSPath"
638value "<TBD>"
639)
640(vvPair
641variable "this_ext"
642value "sb"
643)
644(vvPair
645variable "this_file"
646value "symbol"
647)
648(vvPair
649variable "this_file_logical"
650value "symbol"
651)
652(vvPair
653variable "time"
654value "11:36:54"
655)
656(vvPair
657variable "unit"
658value "w5300_emulator"
659)
660(vvPair
661variable "user"
662value "daqct3"
663)
664(vvPair
665variable "version"
666value "2009.1 (Build 12)"
667)
668(vvPair
669variable "view"
670value "symbol"
671)
672(vvPair
673variable "year"
674value "2011"
675)
676(vvPair
677variable "yy"
678value "11"
679)
680]
681)
682LanguageMgr "VhdlLangMgr"
683uid 82,0
684optionalChildren [
685*63 (SymbolBody
686uid 8,0
687optionalChildren [
688*64 (CptPort
689uid 48,0
690ps "OnEdgeStrategy"
691shape (Triangle
692uid 49,0
693ro 90
694va (VaSet
695vasetType 1
696fg "0,65535,0"
697)
698xt "28250,1625,29000,2375"
699)
700tg (CPTG
701uid 50,0
702ps "CptPortTextPlaceStrategy"
703stg "VerticalLayoutStrategy"
704f (Text
705uid 51,0
706va (VaSet
707)
708xt "30000,1500,35100,2500"
709st "addr : (9:0)"
710blo "30000,2300"
711tm "CptPortNameMgr"
712)
713)
714dt (MLText
715uid 52,0
716va (VaSet
717font "Courier New,8,0"
718)
719xt "2000,11800,26500,12600"
720st "addr : IN std_logic_vector (9 DOWNTO 0) ;
721"
722)
723thePort (LogicalPort
724decl (Decl
725n "addr"
726t "std_logic_vector"
727b "(9 DOWNTO 0)"
728preAdd 0
729posAdd 0
730o 2
731suid 1,0
732)
733)
734)
735*65 (CptPort
736uid 53,0
737ps "OnEdgeStrategy"
738shape (Diamond
739uid 54,0
740ro 270
741va (VaSet
742vasetType 1
743fg "0,65535,0"
744)
745xt "28250,2625,29000,3375"
746)
747tg (CPTG
748uid 55,0
749ps "CptPortTextPlaceStrategy"
750stg "VerticalLayoutStrategy"
751f (Text
752uid 56,0
753va (VaSet
754)
755xt "30000,2500,35400,3500"
756st "data : (15:0)"
757blo "30000,3300"
758tm "CptPortNameMgr"
759)
760)
761dt (MLText
762uid 57,0
763va (VaSet
764font "Courier New,8,0"
765)
766xt "2000,12600,27000,13400"
767st "data : INOUT std_logic_vector (15 DOWNTO 0) ;
768"
769)
770thePort (LogicalPort
771m 2
772decl (Decl
773n "data"
774t "std_logic_vector"
775b "(15 DOWNTO 0)"
776preAdd 0
777posAdd 0
778o 3
779suid 2,0
780)
781)
782)
783*66 (CptPort
784uid 58,0
785ps "OnEdgeStrategy"
786shape (Triangle
787uid 59,0
788ro 90
789va (VaSet
790vasetType 1
791fg "0,65535,0"
792)
793xt "28250,5625,29000,6375"
794)
795tg (CPTG
796uid 60,0
797ps "CptPortTextPlaceStrategy"
798stg "VerticalLayoutStrategy"
799f (Text
800uid 61,0
801va (VaSet
802)
803xt "30000,5500,31300,6500"
804st "rd"
805blo "30000,6300"
806tm "CptPortNameMgr"
807)
808)
809dt (MLText
810uid 62,0
811va (VaSet
812font "Courier New,8,0"
813)
814xt "2000,13400,16500,14200"
815st "rd : IN std_logic ;
816"
817)
818thePort (LogicalPort
819decl (Decl
820n "rd"
821t "std_logic"
822preAdd 0
823posAdd 0
824o 4
825suid 3,0
826)
827)
828)
829*67 (CptPort
830uid 63,0
831ps "OnEdgeStrategy"
832shape (Triangle
833uid 64,0
834ro 90
835va (VaSet
836vasetType 1
837fg "0,65535,0"
838)
839xt "28250,6625,29000,7375"
840)
841tg (CPTG
842uid 65,0
843ps "CptPortTextPlaceStrategy"
844stg "VerticalLayoutStrategy"
845f (Text
846uid 66,0
847va (VaSet
848)
849xt "30000,6500,31400,7500"
850st "wr"
851blo "30000,7300"
852tm "CptPortNameMgr"
853)
854)
855dt (MLText
856uid 67,0
857va (VaSet
858font "Courier New,8,0"
859)
860xt "2000,15000,15500,15800"
861st "wr : IN std_logic
862"
863)
864thePort (LogicalPort
865decl (Decl
866n "wr"
867t "std_logic"
868preAdd 0
869posAdd 0
870o 6
871suid 4,0
872)
873)
874)
875*68 (CptPort
876uid 163,0
877ps "OnEdgeStrategy"
878shape (Triangle
879uid 164,0
880ro 90
881va (VaSet
882vasetType 1
883fg "0,65535,0"
884)
885xt "43000,1625,43750,2375"
886)
887tg (CPTG
888uid 165,0
889ps "CptPortTextPlaceStrategy"
890stg "RightVerticalLayoutStrategy"
891f (Text
892uid 166,0
893va (VaSet
894)
895xt "40800,1500,42000,2500"
896st "int"
897ju 2
898blo "42000,2300"
899tm "CptPortNameMgr"
900)
901t (Text
902uid 167,0
903va (VaSet
904)
905xt "40800,2500,42000,3500"
906st "'1'"
907ju 2
908blo "42000,3300"
909tm "InitValueDelayMgr"
910)
911)
912dt (MLText
913uid 168,0
914va (VaSet
915font "Courier New,8,0"
916)
917xt "2000,11000,20000,11800"
918st "int : OUT std_logic := '1' ;
919"
920)
921thePort (LogicalPort
922m 1
923decl (Decl
924n "int"
925t "std_logic"
926o 1
927suid 5,0
928i "'1'"
929)
930)
931)
932*69 (CptPort
933uid 286,0
934ps "OnEdgeStrategy"
935shape (Triangle
936uid 287,0
937ro 90
938va (VaSet
939vasetType 1
940fg "0,65535,0"
941)
942xt "28250,8625,29000,9375"
943)
944tg (CPTG
945uid 288,0
946ps "CptPortTextPlaceStrategy"
947stg "VerticalLayoutStrategy"
948f (Text
949uid 289,0
950va (VaSet
951)
952xt "30000,8500,31200,9500"
953st "cs"
954blo "30000,9300"
955tm "CptPortNameMgr"
956)
957)
958dt (MLText
959uid 290,0
960va (VaSet
961font "Courier New,8,0"
962)
963xt "2000,14200,16500,15000"
964st "cs : IN std_logic ;
965"
966)
967thePort (LogicalPort
968decl (Decl
969n "cs"
970t "std_logic"
971o 5
972suid 6,0
973)
974)
975)
976]
977shape (Rectangle
978uid 162,0
979va (VaSet
980vasetType 1
981fg "0,49152,49152"
982lineColor "0,0,50000"
983lineWidth 2
984)
985xt "29000,0,43000,12000"
986)
987oxt "29000,0,39000,12000"
988biTextGroup (BiTextGroup
989uid 10,0
990ps "CenterOffsetStrategy"
991stg "VerticalLayoutStrategy"
992first (Text
993uid 11,0
994va (VaSet
995font "Arial,8,1"
996)
997xt "29200,12000,36900,13000"
998st "FACT_FAD_TB_lib"
999blo "29200,12800"
1000)
1001second (Text
1002uid 12,0
1003va (VaSet
1004font "Arial,8,1"
1005)
1006xt "29200,13000,35800,14000"
1007st "w5300_emulator"
1008blo "29200,13800"
1009)
1010)
1011gi *70 (GenericInterface
1012uid 13,0
1013ps "CenterOffsetStrategy"
1014matrix (Matrix
1015uid 14,0
1016text (MLText
1017uid 15,0
1018va (VaSet
1019font "Courier New,8,0"
1020)
1021xt "29000,200,40500,1000"
1022st "Generic Declarations"
1023)
1024header "Generic Declarations"
1025showHdrWhenContentsEmpty 1
1026)
1027elements [
1028]
1029)
1030portInstanceVisAsIs 1
1031portInstanceVis (PortSigDisplay
1032sIVOD 1
1033)
1034portVis (PortSigDisplay
1035sIVOD 1
1036)
1037)
1038*71 (Grouping
1039uid 16,0
1040optionalChildren [
1041*72 (CommentText
1042uid 18,0
1043shape (Rectangle
1044uid 19,0
1045sl 0
1046va (VaSet
1047vasetType 1
1048fg "65280,65280,46080"
1049)
1050xt "33000,20000,50000,21000"
1051)
1052oxt "18000,70000,35000,71000"
1053text (MLText
1054uid 20,0
1055va (VaSet
1056fg "0,0,32768"
1057bg "0,0,32768"
1058)
1059xt "33200,20000,44300,21000"
1060st "
1061by %user on %dd %month %year
1062"
1063tm "CommentText"
1064wrapOption 3
1065visibleHeight 1000
1066visibleWidth 17000
1067)
1068position 1
1069ignorePrefs 1
1070titleBlock 1
1071)
1072*73 (CommentText
1073uid 21,0
1074shape (Rectangle
1075uid 22,0
1076sl 0
1077va (VaSet
1078vasetType 1
1079fg "65280,65280,46080"
1080)
1081xt "50000,16000,54000,17000"
1082)
1083oxt "35000,66000,39000,67000"
1084text (MLText
1085uid 23,0
1086va (VaSet
1087fg "0,0,32768"
1088bg "0,0,32768"
1089)
1090xt "50200,16000,53500,17000"
1091st "
1092Project:
1093"
1094tm "CommentText"
1095wrapOption 3
1096visibleHeight 1000
1097visibleWidth 4000
1098)
1099position 1
1100ignorePrefs 1
1101titleBlock 1
1102)
1103*74 (CommentText
1104uid 24,0
1105shape (Rectangle
1106uid 25,0
1107sl 0
1108va (VaSet
1109vasetType 1
1110fg "65280,65280,46080"
1111)
1112xt "33000,18000,50000,19000"
1113)
1114oxt "18000,68000,35000,69000"
1115text (MLText
1116uid 26,0
1117va (VaSet
1118fg "0,0,32768"
1119bg "0,0,32768"
1120)
1121xt "33200,18000,44100,19000"
1122st "
1123<enter diagram title here>
1124"
1125tm "CommentText"
1126wrapOption 3
1127visibleHeight 1000
1128visibleWidth 17000
1129)
1130position 1
1131ignorePrefs 1
1132titleBlock 1
1133)
1134*75 (CommentText
1135uid 27,0
1136shape (Rectangle
1137uid 28,0
1138sl 0
1139va (VaSet
1140vasetType 1
1141fg "65280,65280,46080"
1142)
1143xt "29000,18000,33000,19000"
1144)
1145oxt "14000,68000,18000,69000"
1146text (MLText
1147uid 29,0
1148va (VaSet
1149fg "0,0,32768"
1150bg "0,0,32768"
1151)
1152xt "29200,18000,31500,19000"
1153st "
1154Title:
1155"
1156tm "CommentText"
1157wrapOption 3
1158visibleHeight 1000
1159visibleWidth 4000
1160)
1161position 1
1162ignorePrefs 1
1163titleBlock 1
1164)
1165*76 (CommentText
1166uid 30,0
1167shape (Rectangle
1168uid 31,0
1169sl 0
1170va (VaSet
1171vasetType 1
1172fg "65280,65280,46080"
1173)
1174xt "50000,17000,70000,21000"
1175)
1176oxt "35000,67000,55000,71000"
1177text (MLText
1178uid 32,0
1179va (VaSet
1180fg "0,0,32768"
1181bg "0,0,32768"
1182)
1183xt "50200,17200,60000,18200"
1184st "
1185<enter comments here>
1186"
1187tm "CommentText"
1188wrapOption 3
1189visibleHeight 4000
1190visibleWidth 20000
1191)
1192ignorePrefs 1
1193titleBlock 1
1194)
1195*77 (CommentText
1196uid 33,0
1197shape (Rectangle
1198uid 34,0
1199sl 0
1200va (VaSet
1201vasetType 1
1202fg "65280,65280,46080"
1203)
1204xt "54000,16000,70000,17000"
1205)
1206oxt "39000,66000,55000,67000"
1207text (MLText
1208uid 35,0
1209va (VaSet
1210fg "0,0,32768"
1211bg "0,0,32768"
1212)
1213xt "54200,16000,58900,17000"
1214st "
1215%project_name
1216"
1217tm "CommentText"
1218wrapOption 3
1219visibleHeight 1000
1220visibleWidth 16000
1221)
1222position 1
1223ignorePrefs 1
1224titleBlock 1
1225)
1226*78 (CommentText
1227uid 36,0
1228shape (Rectangle
1229uid 37,0
1230sl 0
1231va (VaSet
1232vasetType 1
1233fg "65280,65280,46080"
1234)
1235xt "29000,16000,50000,18000"
1236)
1237oxt "14000,66000,35000,68000"
1238text (MLText
1239uid 38,0
1240va (VaSet
1241fg "32768,0,0"
1242)
1243xt "36450,16000,42550,18000"
1244st "
1245TU Dortmund
1246Physik / EE
1247"
1248ju 0
1249tm "CommentText"
1250wrapOption 3
1251visibleHeight 2000
1252visibleWidth 21000
1253)
1254position 1
1255ignorePrefs 1
1256titleBlock 1
1257)
1258*79 (CommentText
1259uid 39,0
1260shape (Rectangle
1261uid 40,0
1262sl 0
1263va (VaSet
1264vasetType 1
1265fg "65280,65280,46080"
1266)
1267xt "29000,19000,33000,20000"
1268)
1269oxt "14000,69000,18000,70000"
1270text (MLText
1271uid 41,0
1272va (VaSet
1273fg "0,0,32768"
1274bg "0,0,32768"
1275)
1276xt "29200,19000,31500,20000"
1277st "
1278Path:
1279"
1280tm "CommentText"
1281wrapOption 3
1282visibleHeight 1000
1283visibleWidth 4000
1284)
1285position 1
1286ignorePrefs 1
1287titleBlock 1
1288)
1289*80 (CommentText
1290uid 42,0
1291shape (Rectangle
1292uid 43,0
1293sl 0
1294va (VaSet
1295vasetType 1
1296fg "65280,65280,46080"
1297)
1298xt "29000,20000,33000,21000"
1299)
1300oxt "14000,70000,18000,71000"
1301text (MLText
1302uid 44,0
1303va (VaSet
1304fg "0,0,32768"
1305bg "0,0,32768"
1306)
1307xt "29200,20000,32300,21000"
1308st "
1309Edited:
1310"
1311tm "CommentText"
1312wrapOption 3
1313visibleHeight 1000
1314visibleWidth 4000
1315)
1316position 1
1317ignorePrefs 1
1318titleBlock 1
1319)
1320*81 (CommentText
1321uid 45,0
1322shape (Rectangle
1323uid 46,0
1324sl 0
1325va (VaSet
1326vasetType 1
1327fg "65280,65280,46080"
1328)
1329xt "33000,19000,50000,20000"
1330)
1331oxt "18000,69000,35000,70000"
1332text (MLText
1333uid 47,0
1334va (VaSet
1335fg "0,0,32768"
1336bg "0,0,32768"
1337)
1338xt "33200,19000,48900,20000"
1339st "
1340%library/%unit/%view
1341"
1342tm "CommentText"
1343wrapOption 3
1344visibleHeight 1000
1345visibleWidth 17000
1346)
1347position 1
1348ignorePrefs 1
1349titleBlock 1
1350)
1351]
1352shape (GroupingShape
1353uid 17,0
1354va (VaSet
1355vasetType 1
1356fg "65535,65535,65535"
1357lineStyle 2
1358lineWidth 2
1359)
1360xt "29000,16000,70000,21000"
1361)
1362oxt "14000,66000,55000,71000"
1363)
1364*82 (CommentText
1365uid 68,0
1366shape (Rectangle
1367uid 69,0
1368layer 0
1369va (VaSet
1370vasetType 1
1371fg "65280,65280,46080"
1372lineColor "0,0,32768"
1373)
1374xt "0,-6000,33000,0"
1375)
1376text (MLText
1377uid 70,0
1378va (VaSet
1379fg "0,0,32768"
1380font "Arial,10,0"
1381)
1382xt "200,-5800,32300,-600"
1383st "
1384Created using Mentor Graphics HDL2Graphics(TM) Technology
1385on - 10:43:29 23.06.2010
1386from - D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl\\w5300_emulator_beha.vhd
1387
1388"
1389tm "CommentText"
1390wrapOption 3
1391visibleHeight 5600
1392visibleWidth 32600
1393)
1394)
1395]
1396bg "65535,65535,65535"
1397grid (Grid
1398origin "0,0"
1399isVisible 1
1400isActive 1
1401xSpacing 1000
1402xySpacing 1000
1403xShown 1
1404yShown 1
1405color "26368,26368,26368"
1406)
1407packageList *83 (PackageList
1408uid 79,0
1409stg "VerticalLayoutStrategy"
1410textVec [
1411*84 (Text
1412uid 80,0
1413va (VaSet
1414font "Arial,8,1"
1415)
1416xt "0,1000,5400,2000"
1417st "Package List"
1418blo "0,1800"
1419)
1420*85 (MLText
1421uid 81,0
1422va (VaSet
1423)
1424xt "0,2000,16100,8000"
1425st "LIBRARY ieee;
1426USE ieee.std_logic_1164.all;
1427USE ieee.std_logic_arith.all;
1428USE ieee.std_logic_unsigned.all;
1429LIBRARY FACT_FAD_lib;
1430USE FACT_FAD_lib.fad_definitions.all;"
1431tm "PackageList"
1432)
1433]
1434)
1435windowSize "0,0,1015,690"
1436viewArea "0,0,0,0"
1437cachedDiagramExtent "0,0,0,0"
1438pageBreakOrigin "0,0"
1439defaultCommentText (CommentText
1440shape (Rectangle
1441layer 0
1442va (VaSet
1443vasetType 1
1444fg "65280,65280,46080"
1445lineColor "0,0,32768"
1446)
1447xt "0,0,15000,5000"
1448)
1449text (MLText
1450va (VaSet
1451fg "0,0,32768"
1452)
1453xt "200,200,2400,1200"
1454st "
1455Text
1456"
1457tm "CommentText"
1458wrapOption 3
1459visibleHeight 4600
1460visibleWidth 14600
1461)
1462)
1463defaultPanel (Panel
1464shape (RectFrame
1465va (VaSet
1466vasetType 1
1467fg "65535,65535,65535"
1468lineColor "32768,0,0"
1469lineWidth 3
1470)
1471xt "0,0,20000,20000"
1472)
1473title (TextAssociate
1474ps "TopLeftStrategy"
1475text (Text
1476va (VaSet
1477font "Arial,8,1"
1478)
1479xt "1000,1000,3800,2000"
1480st "Panel0"
1481blo "1000,1800"
1482tm "PanelText"
1483)
1484)
1485)
1486parentGraphicsRef (HdmGraphicsRef
1487libraryName ""
1488entityName ""
1489viewName ""
1490)
1491defaultSymbolBody (SymbolBody
1492shape (Rectangle
1493va (VaSet
1494vasetType 1
1495fg "0,65535,0"
1496lineColor "0,32896,0"
1497lineWidth 2
1498)
1499xt "15000,6000,33000,26000"
1500)
1501biTextGroup (BiTextGroup
1502ps "CenterOffsetStrategy"
1503stg "VerticalLayoutStrategy"
1504first (Text
1505va (VaSet
1506font "Arial,8,1"
1507)
1508xt "22200,15000,25800,16000"
1509st "<library>"
1510blo "22200,15800"
1511)
1512second (Text
1513va (VaSet
1514font "Arial,8,1"
1515)
1516xt "22200,16000,24800,17000"
1517st "<cell>"
1518blo "22200,16800"
1519)
1520)
1521gi *86 (GenericInterface
1522ps "CenterOffsetStrategy"
1523matrix (Matrix
1524text (MLText
1525va (VaSet
1526font "Courier New,8,0"
1527)
1528xt "0,12000,11500,12800"
1529st "Generic Declarations"
1530)
1531header "Generic Declarations"
1532showHdrWhenContentsEmpty 1
1533)
1534elements [
1535]
1536)
1537portInstanceVisAsIs 1
1538portInstanceVis (PortSigDisplay
1539sIVOD 1
1540)
1541portVis (PortSigDisplay
1542sIVOD 1
1543)
1544)
1545defaultCptPort (CptPort
1546ps "OnEdgeStrategy"
1547shape (Triangle
1548ro 90
1549va (VaSet
1550vasetType 1
1551fg "0,65535,0"
1552)
1553xt "0,0,750,750"
1554)
1555tg (CPTG
1556ps "CptPortTextPlaceStrategy"
1557stg "VerticalLayoutStrategy"
1558f (Text
1559va (VaSet
1560)
1561xt "0,750,1400,1750"
1562st "In0"
1563blo "0,1550"
1564tm "CptPortNameMgr"
1565)
1566)
1567dt (MLText
1568va (VaSet
1569font "Courier New,8,0"
1570)
1571)
1572thePort (LogicalPort
1573decl (Decl
1574n "In0"
1575t "std_logic_vector"
1576b "(15 DOWNTO 0)"
1577o 0
1578)
1579)
1580)
1581defaultCptPortBuffer (CptPort
1582ps "OnEdgeStrategy"
1583shape (Diamond
1584va (VaSet
1585vasetType 1
1586fg "65535,65535,65535"
1587bg "0,0,0"
1588)
1589xt "0,0,750,750"
1590)
1591tg (CPTG
1592ps "CptPortTextPlaceStrategy"
1593stg "VerticalLayoutStrategy"
1594f (Text
1595va (VaSet
1596)
1597xt "0,750,2800,1750"
1598st "Buffer0"
1599blo "0,1550"
1600tm "CptPortNameMgr"
1601)
1602)
1603dt (MLText
1604va (VaSet
1605font "Courier New,8,0"
1606)
1607)
1608thePort (LogicalPort
1609m 3
1610decl (Decl
1611n "Buffer0"
1612t "std_logic_vector"
1613b "(15 DOWNTO 0)"
1614o 0
1615)
1616)
1617)
1618DeclarativeBlock *87 (SymDeclBlock
1619uid 1,0
1620stg "SymDeclLayoutStrategy"
1621declLabel (Text
1622uid 2,0
1623va (VaSet
1624font "Arial,8,1"
1625)
1626xt "0,9000,5400,10000"
1627st "Declarations"
1628blo "0,9800"
1629)
1630portLabel (Text
1631uid 3,0
1632va (VaSet
1633font "Arial,8,1"
1634)
1635xt "0,10000,2700,11000"
1636st "Ports:"
1637blo "0,10800"
1638)
1639externalLabel (Text
1640uid 4,0
1641va (VaSet
1642font "Arial,8,1"
1643)
1644xt "0,15800,2400,16800"
1645st "User:"
1646blo "0,16600"
1647)
1648internalLabel (Text
1649uid 6,0
1650va (VaSet
1651isHidden 1
1652font "Arial,8,1"
1653)
1654xt "0,9000,5800,10000"
1655st "Internal User:"
1656blo "0,9800"
1657)
1658externalText (MLText
1659uid 5,0
1660va (VaSet
1661font "Courier New,8,0"
1662)
1663xt "2000,16800,2000,16800"
1664tm "SyDeclarativeTextMgr"
1665)
1666internalText (MLText
1667uid 7,0
1668va (VaSet
1669isHidden 1
1670font "Courier New,8,0"
1671)
1672xt "0,9000,0,9000"
1673tm "SyDeclarativeTextMgr"
1674)
1675)
1676lastUid 292,0
1677activeModelName "Symbol:CDM"
1678)
Note: See TracBrowser for help on using the repository browser.