source: firmware/FAD/FACT_FAD_TB_lib/hds/w5300_interface_tb/struct.bd@ 20115

Last change on this file since 20115 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 56.7 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "NUMERIC_STD"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20instances [
21(Instance
22name "Inst_W5300_Interface"
23duLibraryName "FACT_FAD_lib"
24duName "w5300_interface"
25elements [
26]
27mwi 0
28uid 65,0
29)
30(Instance
31name "U_1"
32duLibraryName "FACT_FAD_TB_lib"
33duName "w5300_interface_tester"
34elements [
35]
36mwi 0
37uid 179,0
38)
39(Instance
40name "U_2"
41duLibraryName "FACT_FAD_TB_lib"
42duName "clock_generator"
43elements [
44(GiElement
45name "clock_period"
46type "time"
47value "20 ns"
48)
49(GiElement
50name "reset_time"
51type "time"
52value "50 ns"
53)
54]
55mwi 0
56uid 617,0
57)
58]
59libraryRefs [
60"IEEE"
61]
62)
63version "29.1"
64appVersion "2009.2 (Build 10)"
65noEmbeddedEditors 1
66model (BlockDiag
67VExpander (VariableExpander
68vvMap [
69(vvPair
70variable "HDLDir"
71value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
72)
73(vvPair
74variable "HDSDir"
75value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
76)
77(vvPair
78variable "SideDataDesignDir"
79value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\struct.bd.info"
80)
81(vvPair
82variable "SideDataUserDir"
83value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\struct.bd.user"
84)
85(vvPair
86variable "SourceDir"
87value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
88)
89(vvPair
90variable "appl"
91value "HDL Designer"
92)
93(vvPair
94variable "arch_name"
95value "struct"
96)
97(vvPair
98variable "config"
99value "%(unit)_%(view)_config"
100)
101(vvPair
102variable "d"
103value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb"
104)
105(vvPair
106variable "d_logical"
107value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb"
108)
109(vvPair
110variable "date"
111value "31.05.2011"
112)
113(vvPair
114variable "day"
115value "Di"
116)
117(vvPair
118variable "day_long"
119value "Dienstag"
120)
121(vvPair
122variable "dd"
123value "31"
124)
125(vvPair
126variable "entity_name"
127value "w5300_interface_tb"
128)
129(vvPair
130variable "ext"
131value "<TBD>"
132)
133(vvPair
134variable "f"
135value "struct.bd"
136)
137(vvPair
138variable "f_logical"
139value "struct.bd"
140)
141(vvPair
142variable "f_noext"
143value "struct"
144)
145(vvPair
146variable "group"
147value "UNKNOWN"
148)
149(vvPair
150variable "host"
151value "E5B-LABOR6"
152)
153(vvPair
154variable "language"
155value "VHDL"
156)
157(vvPair
158variable "library"
159value "FACT_FAD_TB_lib"
160)
161(vvPair
162variable "library_downstream_HdsLintPlugin"
163value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
164)
165(vvPair
166variable "library_downstream_ISEPARInvoke"
167value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
168)
169(vvPair
170variable "library_downstream_ImpactInvoke"
171value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
172)
173(vvPair
174variable "library_downstream_ModelSimCompiler"
175value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
176)
177(vvPair
178variable "library_downstream_XSTDataPrep"
179value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
180)
181(vvPair
182variable "mm"
183value "05"
184)
185(vvPair
186variable "module_name"
187value "w5300_interface_tb"
188)
189(vvPair
190variable "month"
191value "Mai"
192)
193(vvPair
194variable "month_long"
195value "Mai"
196)
197(vvPair
198variable "p"
199value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\struct.bd"
200)
201(vvPair
202variable "p_logical"
203value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\struct.bd"
204)
205(vvPair
206variable "package_name"
207value "<Undefined Variable>"
208)
209(vvPair
210variable "project_name"
211value "FACT_FAD"
212)
213(vvPair
214variable "series"
215value "HDL Designer Series"
216)
217(vvPair
218variable "task_DesignCompilerPath"
219value "<TBD>"
220)
221(vvPair
222variable "task_LeonardoPath"
223value "<TBD>"
224)
225(vvPair
226variable "task_ModelSimPath"
227value "C:\\modeltech_6.6a\\win32"
228)
229(vvPair
230variable "task_NC-SimPath"
231value "<TBD>"
232)
233(vvPair
234variable "task_PrecisionRTLPath"
235value "<TBD>"
236)
237(vvPair
238variable "task_QuestaSimPath"
239value "<TBD>"
240)
241(vvPair
242variable "task_VCSPath"
243value "<TBD>"
244)
245(vvPair
246variable "this_ext"
247value "bd"
248)
249(vvPair
250variable "this_file"
251value "struct"
252)
253(vvPair
254variable "this_file_logical"
255value "struct"
256)
257(vvPair
258variable "time"
259value "13:38:18"
260)
261(vvPair
262variable "unit"
263value "w5300_interface_tb"
264)
265(vvPair
266variable "user"
267value "dneise"
268)
269(vvPair
270variable "version"
271value "2009.2 (Build 10)"
272)
273(vvPair
274variable "view"
275value "struct"
276)
277(vvPair
278variable "year"
279value "2011"
280)
281(vvPair
282variable "yy"
283value "11"
284)
285]
286)
287LanguageMgr "VhdlLangMgr"
288uid 362,0
289optionalChildren [
290*1 (SaComponent
291uid 65,0
292optionalChildren [
293*2 (CptPort
294uid 13,0
295ps "OnEdgeStrategy"
296shape (Triangle
297uid 14,0
298ro 90
299va (VaSet
300vasetType 1
301fg "0,65535,0"
302)
303xt "-750,20625,0,21375"
304)
305tg (CPTG
306uid 15,0
307ps "CptPortTextPlaceStrategy"
308stg "VerticalLayoutStrategy"
309f (Text
310uid 16,0
311va (VaSet
312)
313xt "1000,20500,2300,21500"
314st "clk"
315blo "1000,21300"
316)
317)
318thePort (LogicalPort
319decl (Decl
320n "clk"
321t "std_logic"
322o 1
323)
324)
325)
326*3 (CptPort
327uid 17,0
328ps "OnEdgeStrategy"
329shape (Triangle
330uid 18,0
331ro 90
332va (VaSet
333vasetType 1
334fg "0,65535,0"
335)
336xt "15000,20625,15750,21375"
337)
338tg (CPTG
339uid 19,0
340ps "CptPortTextPlaceStrategy"
341stg "RightVerticalLayoutStrategy"
342f (Text
343uid 20,0
344va (VaSet
345)
346xt "10400,20500,14000,21500"
347st "wiz_reset"
348ju 2
349blo "14000,21300"
350)
351)
352thePort (LogicalPort
353m 1
354decl (Decl
355n "wiz_reset"
356t "std_logic"
357o 2
358)
359)
360)
361*4 (CptPort
362uid 21,0
363ps "OnEdgeStrategy"
364shape (Triangle
365uid 22,0
366ro 90
367va (VaSet
368vasetType 1
369fg "0,65535,0"
370)
371xt "15000,21625,15750,22375"
372)
373tg (CPTG
374uid 23,0
375ps "CptPortTextPlaceStrategy"
376stg "RightVerticalLayoutStrategy"
377f (Text
378uid 24,0
379va (VaSet
380)
381xt "12800,21500,14000,22500"
382st "cs"
383ju 2
384blo "14000,22300"
385)
386)
387thePort (LogicalPort
388m 1
389decl (Decl
390n "cs"
391t "std_logic"
392o 3
393)
394)
395)
396*5 (CptPort
397uid 25,0
398ps "OnEdgeStrategy"
399shape (Triangle
400uid 26,0
401ro 90
402va (VaSet
403vasetType 1
404fg "0,65535,0"
405)
406xt "15000,22625,15750,23375"
407)
408tg (CPTG
409uid 27,0
410ps "CptPortTextPlaceStrategy"
411stg "RightVerticalLayoutStrategy"
412f (Text
413uid 28,0
414va (VaSet
415)
416xt "12800,22500,14000,23500"
417st "wr"
418ju 2
419blo "14000,23300"
420)
421)
422thePort (LogicalPort
423m 1
424decl (Decl
425n "wr"
426t "std_logic"
427o 4
428)
429)
430)
431*6 (CptPort
432uid 29,0
433ps "OnEdgeStrategy"
434shape (Triangle
435uid 30,0
436ro 90
437va (VaSet
438vasetType 1
439fg "0,65535,0"
440)
441xt "15000,23625,15750,24375"
442)
443tg (CPTG
444uid 31,0
445ps "CptPortTextPlaceStrategy"
446stg "RightVerticalLayoutStrategy"
447f (Text
448uid 32,0
449va (VaSet
450)
451xt "12900,23500,14000,24500"
452st "rd"
453ju 2
454blo "14000,24300"
455)
456)
457thePort (LogicalPort
458m 1
459decl (Decl
460n "rd"
461t "std_logic"
462o 5
463)
464)
465)
466*7 (CptPort
467uid 33,0
468ps "OnEdgeStrategy"
469shape (Triangle
470uid 34,0
471ro 90
472va (VaSet
473vasetType 1
474fg "0,65535,0"
475)
476xt "-750,21625,0,22375"
477)
478tg (CPTG
479uid 35,0
480ps "CptPortTextPlaceStrategy"
481stg "VerticalLayoutStrategy"
482f (Text
483uid 36,0
484va (VaSet
485)
486xt "1000,21500,2200,22500"
487st "int"
488blo "1000,22300"
489)
490)
491thePort (LogicalPort
492decl (Decl
493n "int"
494t "std_logic"
495o 6
496)
497)
498)
499*8 (CptPort
500uid 37,0
501ps "OnEdgeStrategy"
502shape (Diamond
503uid 38,0
504ro 90
505va (VaSet
506vasetType 1
507fg "0,65535,0"
508)
509xt "15000,24625,15750,25375"
510)
511tg (CPTG
512uid 39,0
513ps "CptPortTextPlaceStrategy"
514stg "RightVerticalLayoutStrategy"
515f (Text
516uid 40,0
517va (VaSet
518)
519xt "7700,24500,14000,25500"
520st "wiz_data : (15:0)"
521ju 2
522blo "14000,25300"
523)
524)
525thePort (LogicalPort
526m 2
527decl (Decl
528n "wiz_data"
529t "std_logic_vector"
530b "(15 DOWNTO 0)"
531o 7
532)
533)
534)
535*9 (CptPort
536uid 41,0
537ps "OnEdgeStrategy"
538shape (Triangle
539uid 42,0
540ro 90
541va (VaSet
542vasetType 1
543fg "0,65535,0"
544)
545xt "15000,25625,15750,26375"
546)
547tg (CPTG
548uid 43,0
549ps "CptPortTextPlaceStrategy"
550stg "RightVerticalLayoutStrategy"
551f (Text
552uid 44,0
553va (VaSet
554)
555xt "8000,25500,14000,26500"
556st "wiz_addr : (9:0)"
557ju 2
558blo "14000,26300"
559)
560)
561thePort (LogicalPort
562m 1
563decl (Decl
564n "wiz_addr"
565t "std_logic_vector"
566b "(9 DOWNTO 0)"
567o 8
568)
569)
570)
571*10 (CptPort
572uid 45,0
573ps "OnEdgeStrategy"
574shape (Triangle
575uid 46,0
576ro 90
577va (VaSet
578vasetType 1
579fg "0,65535,0"
580)
581xt "-750,22625,0,23375"
582)
583tg (CPTG
584uid 47,0
585ps "CptPortTextPlaceStrategy"
586stg "VerticalLayoutStrategy"
587f (Text
588uid 48,0
589va (VaSet
590)
591xt "1000,22500,3500,23500"
592st "read_i"
593blo "1000,23300"
594)
595)
596thePort (LogicalPort
597decl (Decl
598n "read_i"
599t "std_logic"
600o 9
601)
602)
603)
604*11 (CptPort
605uid 49,0
606ps "OnEdgeStrategy"
607shape (Triangle
608uid 50,0
609ro 90
610va (VaSet
611vasetType 1
612fg "0,65535,0"
613)
614xt "-750,23625,0,24375"
615)
616tg (CPTG
617uid 51,0
618ps "CptPortTextPlaceStrategy"
619stg "VerticalLayoutStrategy"
620f (Text
621uid 52,0
622va (VaSet
623)
624xt "1000,23500,3600,24500"
625st "write_i"
626blo "1000,24300"
627)
628)
629thePort (LogicalPort
630decl (Decl
631n "write_i"
632t "std_logic"
633o 10
634)
635)
636)
637*12 (CptPort
638uid 53,0
639ps "OnEdgeStrategy"
640shape (Triangle
641uid 54,0
642ro 90
643va (VaSet
644vasetType 1
645fg "0,65535,0"
646)
647xt "-750,24625,0,25375"
648)
649tg (CPTG
650uid 55,0
651ps "CptPortTextPlaceStrategy"
652stg "VerticalLayoutStrategy"
653f (Text
654uid 56,0
655va (VaSet
656)
657xt "1000,24500,6100,25500"
658st "addr_i : (9:0)"
659blo "1000,25300"
660)
661)
662thePort (LogicalPort
663decl (Decl
664n "addr_i"
665t "std_logic_vector"
666b "(9 DOWNTO 0)"
667o 11
668)
669)
670)
671*13 (CptPort
672uid 57,0
673ps "OnEdgeStrategy"
674shape (Triangle
675uid 58,0
676ro 90
677va (VaSet
678vasetType 1
679fg "0,65535,0"
680)
681xt "15000,26625,15750,27375"
682)
683tg (CPTG
684uid 59,0
685ps "CptPortTextPlaceStrategy"
686stg "RightVerticalLayoutStrategy"
687f (Text
688uid 60,0
689va (VaSet
690)
691xt "8400,26500,14000,27500"
692st "data_o : (15:0)"
693ju 2
694blo "14000,27300"
695)
696)
697thePort (LogicalPort
698m 1
699decl (Decl
700n "data_o"
701t "std_logic_vector"
702b "(15 DOWNTO 0)"
703o 12
704i "(others => '0')"
705)
706)
707)
708*14 (CptPort
709uid 61,0
710ps "OnEdgeStrategy"
711shape (Triangle
712uid 62,0
713ro 90
714va (VaSet
715vasetType 1
716fg "0,65535,0"
717)
718xt "-750,25625,0,26375"
719)
720tg (CPTG
721uid 63,0
722ps "CptPortTextPlaceStrategy"
723stg "VerticalLayoutStrategy"
724f (Text
725uid 64,0
726va (VaSet
727)
728xt "1000,25500,6400,26500"
729st "data_i : (15:0)"
730blo "1000,26300"
731)
732)
733thePort (LogicalPort
734decl (Decl
735n "data_i"
736t "std_logic_vector"
737b "(15 DOWNTO 0)"
738o 13
739)
740)
741)
742*15 (CptPort
743uid 736,0
744ps "OnEdgeStrategy"
745shape (Triangle
746uid 737,0
747ro 90
748va (VaSet
749vasetType 1
750fg "0,65535,0"
751)
752xt "15000,27625,15750,28375"
753)
754tg (CPTG
755uid 738,0
756ps "CptPortTextPlaceStrategy"
757stg "RightVerticalLayoutStrategy"
758f (Text
759uid 739,0
760va (VaSet
761)
762xt "11000,27500,14000,28500"
763st "ready_o"
764ju 2
765blo "14000,28300"
766)
767)
768thePort (LogicalPort
769m 1
770decl (Decl
771n "ready_o"
772t "std_logic"
773o 14
774i "'0'"
775)
776)
777)
778]
779shape (Rectangle
780uid 66,0
781va (VaSet
782vasetType 1
783fg "0,65535,0"
784lineColor "0,32896,0"
785lineWidth 2
786)
787xt "0,20000,15000,29000"
788)
789oxt "0,17000,15000,25000"
790ttg (MlTextGroup
791uid 67,0
792ps "CenterOffsetStrategy"
793stg "VerticalLayoutStrategy"
794textVec [
795*16 (Text
796uid 68,0
797va (VaSet
798font "Arial,8,1"
799)
800xt "4250,28000,10450,29000"
801st "FACT_FAD_lib"
802blo "4250,28800"
803tm "BdLibraryNameMgr"
804)
805*17 (Text
806uid 69,0
807va (VaSet
808font "Arial,8,1"
809)
810xt "4250,29000,10750,30000"
811st "w5300_interface"
812blo "4250,29800"
813tm "CptNameMgr"
814)
815*18 (Text
816uid 70,0
817va (VaSet
818font "Arial,8,1"
819)
820xt "4250,30000,13350,31000"
821st "Inst_W5300_Interface"
822blo "4250,30800"
823tm "InstanceNameMgr"
824)
825]
826)
827ga (GenericAssociation
828uid 71,0
829ps "EdgeToEdgeStrategy"
830matrix (Matrix
831uid 72,0
832text (MLText
833uid 73,0
834va (VaSet
835font "Courier New,8,0"
836)
837xt "0,18400,23000,20000"
838)
839header ""
840)
841elements [
842]
843)
844viewicon (ZoomableIcon
845uid 74,0
846sl 0
847va (VaSet
848vasetType 1
849fg "49152,49152,49152"
850)
851xt "250,27250,1750,28750"
852iconName "VhdlFileViewIcon.png"
853iconMaskName "VhdlFileViewIcon.msk"
854ftype 10
855)
856ordering 1
857viewiconposition 0
858portVis (PortSigDisplay
859)
860archType 1
861archFileType "UNKNOWN"
862)
863*19 (Net
864uid 75,0
865decl (Decl
866n "wiz_reset"
867t "std_logic"
868o 1
869suid 1,0
870)
871declText (MLText
872uid 76,0
873va (VaSet
874font "Courier New,8,0"
875)
876xt "22000,11800,37500,12600"
877st "SIGNAL wiz_reset : std_logic"
878)
879)
880*20 (Net
881uid 83,0
882decl (Decl
883n "cs"
884t "std_logic"
885o 2
886suid 2,0
887)
888declText (MLText
889uid 84,0
890va (VaSet
891font "Courier New,8,0"
892)
893xt "22000,4600,37500,5400"
894st "SIGNAL cs : std_logic"
895)
896)
897*21 (Net
898uid 91,0
899decl (Decl
900n "wr"
901t "std_logic"
902o 3
903suid 3,0
904)
905declText (MLText
906uid 92,0
907va (VaSet
908font "Courier New,8,0"
909)
910xt "22000,12600,37500,13400"
911st "SIGNAL wr : std_logic"
912)
913)
914*22 (Net
915uid 99,0
916decl (Decl
917n "rd"
918t "std_logic"
919o 4
920suid 4,0
921)
922declText (MLText
923uid 100,0
924va (VaSet
925font "Courier New,8,0"
926)
927xt "22000,7800,37500,8600"
928st "SIGNAL rd : std_logic"
929)
930)
931*23 (Net
932uid 107,0
933decl (Decl
934n "wiz_data"
935t "std_logic_vector"
936b "(15 DOWNTO 0)"
937o 5
938suid 5,0
939)
940declText (MLText
941uid 108,0
942va (VaSet
943font "Courier New,8,0"
944)
945xt "22000,11000,48000,11800"
946st "SIGNAL wiz_data : std_logic_vector(15 DOWNTO 0)"
947)
948)
949*24 (Net
950uid 115,0
951decl (Decl
952n "wiz_addr"
953t "std_logic_vector"
954b "(9 DOWNTO 0)"
955o 6
956suid 6,0
957)
958declText (MLText
959uid 116,0
960va (VaSet
961font "Courier New,8,0"
962)
963xt "22000,10200,47500,11000"
964st "SIGNAL wiz_addr : std_logic_vector(9 DOWNTO 0)"
965)
966)
967*25 (Net
968uid 123,0
969lang 10
970decl (Decl
971n "data_o"
972t "std_logic_vector"
973b "(15 DOWNTO 0)"
974o 7
975suid 7,0
976)
977declText (MLText
978uid 124,0
979va (VaSet
980font "Courier New,8,0"
981)
982xt "22000,6200,48000,7000"
983st "SIGNAL data_o : std_logic_vector(15 DOWNTO 0)"
984)
985)
986*26 (Net
987uid 131,0
988decl (Decl
989n "clk"
990t "std_logic"
991o 8
992suid 8,0
993)
994declText (MLText
995uid 132,0
996va (VaSet
997font "Courier New,8,0"
998)
999xt "22000,3800,37500,4600"
1000st "SIGNAL clk : std_logic"
1001)
1002)
1003*27 (Net
1004uid 139,0
1005decl (Decl
1006n "int"
1007t "std_logic"
1008o 9
1009suid 9,0
1010)
1011declText (MLText
1012uid 140,0
1013va (VaSet
1014font "Courier New,8,0"
1015)
1016xt "22000,7000,37500,7800"
1017st "SIGNAL int : std_logic"
1018)
1019)
1020*28 (Net
1021uid 147,0
1022decl (Decl
1023n "read_i"
1024t "std_logic"
1025o 10
1026suid 10,0
1027)
1028declText (MLText
1029uid 148,0
1030va (VaSet
1031font "Courier New,8,0"
1032)
1033xt "22000,8600,37500,9400"
1034st "SIGNAL read_i : std_logic"
1035)
1036)
1037*29 (Net
1038uid 155,0
1039decl (Decl
1040n "write_i"
1041t "std_logic"
1042o 11
1043suid 11,0
1044)
1045declText (MLText
1046uid 156,0
1047va (VaSet
1048font "Courier New,8,0"
1049)
1050xt "22000,13400,37500,14200"
1051st "SIGNAL write_i : std_logic"
1052)
1053)
1054*30 (Net
1055uid 163,0
1056decl (Decl
1057n "addr_i"
1058t "std_logic_vector"
1059b "(9 DOWNTO 0)"
1060o 12
1061suid 12,0
1062)
1063declText (MLText
1064uid 164,0
1065va (VaSet
1066font "Courier New,8,0"
1067)
1068xt "22000,3000,47500,3800"
1069st "SIGNAL addr_i : std_logic_vector(9 DOWNTO 0)"
1070)
1071)
1072*31 (Net
1073uid 171,0
1074decl (Decl
1075n "data_i"
1076t "std_logic_vector"
1077b "(15 DOWNTO 0)"
1078o 13
1079suid 13,0
1080)
1081declText (MLText
1082uid 172,0
1083va (VaSet
1084font "Courier New,8,0"
1085)
1086xt "22000,5400,48000,6200"
1087st "SIGNAL data_i : std_logic_vector(15 DOWNTO 0)"
1088)
1089)
1090*32 (Blk
1091uid 179,0
1092shape (Rectangle
1093uid 180,0
1094va (VaSet
1095vasetType 1
1096fg "39936,56832,65280"
1097lineColor "0,0,32768"
1098lineWidth 2
1099)
1100xt "36000,16000,51000,25000"
1101)
1102oxt "36000,16000,51000,24000"
1103ttg (MlTextGroup
1104uid 181,0
1105ps "CenterOffsetStrategy"
1106stg "VerticalLayoutStrategy"
1107textVec [
1108*33 (Text
1109uid 182,0
1110va (VaSet
1111font "Arial,8,1"
1112)
1113xt "39650,18500,47350,19500"
1114st "FACT_FAD_TB_lib"
1115blo "39650,19300"
1116tm "BdLibraryNameMgr"
1117)
1118*34 (Text
1119uid 183,0
1120va (VaSet
1121font "Arial,8,1"
1122)
1123xt "39650,19500,49050,20500"
1124st "w5300_interface_tester"
1125blo "39650,20300"
1126tm "BlkNameMgr"
1127)
1128*35 (Text
1129uid 184,0
1130va (VaSet
1131font "Arial,8,1"
1132)
1133xt "39650,20500,41450,21500"
1134st "U_1"
1135blo "39650,21300"
1136tm "InstanceNameMgr"
1137)
1138]
1139)
1140ga (GenericAssociation
1141uid 185,0
1142ps "EdgeToEdgeStrategy"
1143matrix (Matrix
1144uid 186,0
1145text (MLText
1146uid 187,0
1147va (VaSet
1148font "Courier New,8,0"
1149)
1150xt "39650,28500,39650,28500"
1151)
1152header ""
1153)
1154elements [
1155]
1156)
1157viewicon (ZoomableIcon
1158uid 188,0
1159sl 0
1160va (VaSet
1161vasetType 1
1162fg "49152,49152,49152"
1163)
1164xt "36250,23250,37750,24750"
1165iconName "VhdlFileViewIcon.png"
1166iconMaskName "VhdlFileViewIcon.msk"
1167ftype 10
1168)
1169ordering 1
1170viewiconposition 0
1171blkPorts [
1172"clk"
1173"cs"
1174"rd"
1175"wiz_addr"
1176"wiz_data"
1177"wiz_reset"
1178"wr"
1179"int"
1180"data_o"
1181"addr_i"
1182"data_i"
1183"read_i"
1184"ready_o"
1185"write_i"
1186]
1187)
1188*36 (Grouping
1189uid 293,0
1190optionalChildren [
1191*37 (CommentText
1192uid 295,0
1193shape (Rectangle
1194uid 296,0
1195sl 0
1196va (VaSet
1197vasetType 1
1198fg "65280,65280,46080"
1199)
1200xt "27000,48000,44000,49000"
1201)
1202oxt "18000,70000,35000,71000"
1203text (MLText
1204uid 297,0
1205va (VaSet
1206fg "0,0,32768"
1207bg "0,0,32768"
1208)
1209xt "27200,48000,36800,49000"
1210st "
1211by %user on %dd %month %year
1212"
1213tm "CommentText"
1214wrapOption 3
1215visibleHeight 1000
1216visibleWidth 17000
1217)
1218position 1
1219ignorePrefs 1
1220titleBlock 1
1221)
1222*38 (CommentText
1223uid 298,0
1224shape (Rectangle
1225uid 299,0
1226sl 0
1227va (VaSet
1228vasetType 1
1229fg "65280,65280,46080"
1230)
1231xt "44000,44000,48000,45000"
1232)
1233oxt "35000,66000,39000,67000"
1234text (MLText
1235uid 300,0
1236va (VaSet
1237fg "0,0,32768"
1238bg "0,0,32768"
1239)
1240xt "44200,44000,47200,45000"
1241st "
1242Project:
1243"
1244tm "CommentText"
1245wrapOption 3
1246visibleHeight 1000
1247visibleWidth 4000
1248)
1249position 1
1250ignorePrefs 1
1251titleBlock 1
1252)
1253*39 (CommentText
1254uid 301,0
1255shape (Rectangle
1256uid 302,0
1257sl 0
1258va (VaSet
1259vasetType 1
1260fg "65280,65280,46080"
1261)
1262xt "27000,46000,44000,47000"
1263)
1264oxt "18000,68000,35000,69000"
1265text (MLText
1266uid 303,0
1267va (VaSet
1268fg "0,0,32768"
1269bg "0,0,32768"
1270)
1271xt "27200,46000,37200,47000"
1272st "
1273<enter diagram title here>
1274"
1275tm "CommentText"
1276wrapOption 3
1277visibleHeight 1000
1278visibleWidth 17000
1279)
1280position 1
1281ignorePrefs 1
1282titleBlock 1
1283)
1284*40 (CommentText
1285uid 304,0
1286shape (Rectangle
1287uid 305,0
1288sl 0
1289va (VaSet
1290vasetType 1
1291fg "65280,65280,46080"
1292)
1293xt "23000,46000,27000,47000"
1294)
1295oxt "14000,68000,18000,69000"
1296text (MLText
1297uid 306,0
1298va (VaSet
1299fg "0,0,32768"
1300bg "0,0,32768"
1301)
1302xt "23200,46000,25300,47000"
1303st "
1304Title:
1305"
1306tm "CommentText"
1307wrapOption 3
1308visibleHeight 1000
1309visibleWidth 4000
1310)
1311position 1
1312ignorePrefs 1
1313titleBlock 1
1314)
1315*41 (CommentText
1316uid 307,0
1317shape (Rectangle
1318uid 308,0
1319sl 0
1320va (VaSet
1321vasetType 1
1322fg "65280,65280,46080"
1323)
1324xt "44000,45000,64000,49000"
1325)
1326oxt "35000,67000,55000,71000"
1327text (MLText
1328uid 309,0
1329va (VaSet
1330fg "0,0,32768"
1331bg "0,0,32768"
1332)
1333xt "44200,45200,53400,46200"
1334st "
1335<enter comments here>
1336"
1337tm "CommentText"
1338wrapOption 3
1339visibleHeight 4000
1340visibleWidth 20000
1341)
1342ignorePrefs 1
1343titleBlock 1
1344)
1345*42 (CommentText
1346uid 310,0
1347shape (Rectangle
1348uid 311,0
1349sl 0
1350va (VaSet
1351vasetType 1
1352fg "65280,65280,46080"
1353)
1354xt "48000,44000,64000,45000"
1355)
1356oxt "39000,66000,55000,67000"
1357text (MLText
1358uid 312,0
1359va (VaSet
1360fg "0,0,32768"
1361bg "0,0,32768"
1362)
1363xt "48200,44000,52700,45000"
1364st "
1365%project_name
1366"
1367tm "CommentText"
1368wrapOption 3
1369visibleHeight 1000
1370visibleWidth 16000
1371)
1372position 1
1373ignorePrefs 1
1374titleBlock 1
1375)
1376*43 (CommentText
1377uid 313,0
1378shape (Rectangle
1379uid 314,0
1380sl 0
1381va (VaSet
1382vasetType 1
1383fg "65280,65280,46080"
1384)
1385xt "23000,44000,44000,46000"
1386)
1387oxt "14000,66000,35000,68000"
1388text (MLText
1389uid 315,0
1390va (VaSet
1391fg "32768,0,0"
1392)
1393xt "30150,44500,36850,45500"
1394st "
1395<company name>
1396"
1397ju 0
1398tm "CommentText"
1399wrapOption 3
1400visibleHeight 2000
1401visibleWidth 21000
1402)
1403position 1
1404ignorePrefs 1
1405titleBlock 1
1406)
1407*44 (CommentText
1408uid 316,0
1409shape (Rectangle
1410uid 317,0
1411sl 0
1412va (VaSet
1413vasetType 1
1414fg "65280,65280,46080"
1415)
1416xt "23000,47000,27000,48000"
1417)
1418oxt "14000,69000,18000,70000"
1419text (MLText
1420uid 318,0
1421va (VaSet
1422fg "0,0,32768"
1423bg "0,0,32768"
1424)
1425xt "23200,47000,25300,48000"
1426st "
1427Path:
1428"
1429tm "CommentText"
1430wrapOption 3
1431visibleHeight 1000
1432visibleWidth 4000
1433)
1434position 1
1435ignorePrefs 1
1436titleBlock 1
1437)
1438*45 (CommentText
1439uid 319,0
1440shape (Rectangle
1441uid 320,0
1442sl 0
1443va (VaSet
1444vasetType 1
1445fg "65280,65280,46080"
1446)
1447xt "23000,48000,27000,49000"
1448)
1449oxt "14000,70000,18000,71000"
1450text (MLText
1451uid 321,0
1452va (VaSet
1453fg "0,0,32768"
1454bg "0,0,32768"
1455)
1456xt "23200,48000,25900,49000"
1457st "
1458Edited:
1459"
1460tm "CommentText"
1461wrapOption 3
1462visibleHeight 1000
1463visibleWidth 4000
1464)
1465position 1
1466ignorePrefs 1
1467titleBlock 1
1468)
1469*46 (CommentText
1470uid 322,0
1471shape (Rectangle
1472uid 323,0
1473sl 0
1474va (VaSet
1475vasetType 1
1476fg "65280,65280,46080"
1477)
1478xt "27000,47000,44000,48000"
1479)
1480oxt "18000,69000,35000,70000"
1481text (MLText
1482uid 324,0
1483va (VaSet
1484fg "0,0,32768"
1485bg "0,0,32768"
1486)
1487xt "27200,47000,44200,48000"
1488st "
1489%library/%unit/%view
1490"
1491tm "CommentText"
1492wrapOption 3
1493visibleHeight 1000
1494visibleWidth 17000
1495)
1496position 1
1497ignorePrefs 1
1498titleBlock 1
1499)
1500]
1501shape (GroupingShape
1502uid 294,0
1503va (VaSet
1504vasetType 1
1505fg "65535,65535,65535"
1506lineStyle 2
1507lineWidth 2
1508)
1509xt "23000,44000,64000,49000"
1510)
1511oxt "14000,66000,55000,71000"
1512)
1513*47 (SaComponent
1514uid 617,0
1515optionalChildren [
1516*48 (CptPort
1517uid 606,0
1518ps "OnEdgeStrategy"
1519shape (Triangle
1520uid 607,0
1521ro 90
1522va (VaSet
1523vasetType 1
1524fg "0,65535,0"
1525)
1526xt "-15000,4625,-14250,5375"
1527)
1528tg (CPTG
1529uid 608,0
1530ps "CptPortTextPlaceStrategy"
1531stg "RightVerticalLayoutStrategy"
1532f (Text
1533uid 609,0
1534va (VaSet
1535)
1536xt "-17300,4500,-16000,5500"
1537st "clk"
1538ju 2
1539blo "-16000,5300"
1540)
1541)
1542thePort (LogicalPort
1543m 1
1544decl (Decl
1545n "clk"
1546t "std_logic"
1547preAdd 0
1548posAdd 0
1549o 1
1550suid 1,0
1551i "'0'"
1552)
1553)
1554)
1555*49 (CptPort
1556uid 610,0
1557ps "OnEdgeStrategy"
1558shape (Triangle
1559uid 611,0
1560ro 90
1561va (VaSet
1562vasetType 1
1563fg "0,65535,0"
1564)
1565xt "-15000,5625,-14250,6375"
1566)
1567tg (CPTG
1568uid 612,0
1569ps "CptPortTextPlaceStrategy"
1570stg "RightVerticalLayoutStrategy"
1571f (Text
1572uid 613,0
1573va (VaSet
1574)
1575xt "-17300,5500,-16000,6500"
1576st "rst"
1577ju 2
1578blo "-16000,6300"
1579)
1580)
1581thePort (LogicalPort
1582m 1
1583decl (Decl
1584n "rst"
1585t "std_logic"
1586preAdd 0
1587posAdd 0
1588o 2
1589suid 2,0
1590i "'0'"
1591)
1592)
1593)
1594*50 (CommentText
1595uid 614,0
1596ps "EdgeToEdgeStrategy"
1597shape (Rectangle
1598uid 615,0
1599layer 0
1600va (VaSet
1601vasetType 1
1602fg "65280,65280,46080"
1603lineColor "0,0,32768"
1604)
1605xt "-23500,-7000,-8500,-3000"
1606)
1607oxt "21500,4000,36500,8000"
1608text (MLText
1609uid 616,0
1610va (VaSet
1611fg "0,0,32768"
1612)
1613xt "-23300,-6800,-13500,-5800"
1614st "
1615-- synthesis translate_off
1616"
1617tm "CommentText"
1618wrapOption 3
1619visibleHeight 4000
1620visibleWidth 15000
1621)
1622included 1
1623excludeCommentLeader 1
1624)
1625]
1626shape (Rectangle
1627uid 618,0
1628va (VaSet
1629vasetType 1
1630fg "0,49152,49152"
1631lineColor "0,0,50000"
1632lineWidth 2
1633)
1634xt "-23000,4000,-15000,8000"
1635)
1636oxt "22000,15000,30000,19000"
1637ttg (MlTextGroup
1638uid 619,0
1639ps "CenterOffsetStrategy"
1640stg "VerticalLayoutStrategy"
1641textVec [
1642*51 (Text
1643uid 620,0
1644va (VaSet
1645font "Arial,8,1"
1646)
1647xt "-22850,8000,-15150,9000"
1648st "FACT_FAD_TB_lib"
1649blo "-22850,8800"
1650tm "BdLibraryNameMgr"
1651)
1652*52 (Text
1653uid 621,0
1654va (VaSet
1655font "Arial,8,1"
1656)
1657xt "-22850,9000,-16150,10000"
1658st "clock_generator"
1659blo "-22850,9800"
1660tm "CptNameMgr"
1661)
1662*53 (Text
1663uid 622,0
1664va (VaSet
1665font "Arial,8,1"
1666)
1667xt "-22850,10000,-21050,11000"
1668st "U_2"
1669blo "-22850,10800"
1670tm "InstanceNameMgr"
1671)
1672]
1673)
1674ga (GenericAssociation
1675uid 623,0
1676ps "EdgeToEdgeStrategy"
1677matrix (Matrix
1678uid 624,0
1679text (MLText
1680uid 625,0
1681va (VaSet
1682font "Courier New,8,0"
1683)
1684xt "-23500,-4600,-5000,-3000"
1685st "clock_period = 20 ns ( time )
1686reset_time = 50 ns ( time ) "
1687)
1688header ""
1689)
1690elements [
1691(GiElement
1692name "clock_period"
1693type "time"
1694value "20 ns"
1695)
1696(GiElement
1697name "reset_time"
1698type "time"
1699value "50 ns"
1700)
1701]
1702)
1703viewicon (ZoomableIcon
1704uid 626,0
1705sl 0
1706va (VaSet
1707vasetType 1
1708fg "49152,49152,49152"
1709)
1710xt "-22750,6250,-21250,7750"
1711iconName "VhdlFileViewIcon.png"
1712iconMaskName "VhdlFileViewIcon.msk"
1713ftype 10
1714)
1715ordering 1
1716viewiconposition 0
1717portVis (PortSigDisplay
1718)
1719archFileType "UNKNOWN"
1720)
1721*54 (Net
1722uid 740,0
1723lang 10
1724decl (Decl
1725n "ready_o"
1726t "std_logic"
1727o 14
1728suid 14,0
1729)
1730declText (MLText
1731uid 741,0
1732va (VaSet
1733font "Courier New,8,0"
1734)
1735xt "22000,9400,37500,10200"
1736st "SIGNAL ready_o : std_logic"
1737)
1738)
1739*55 (Wire
1740uid 77,0
1741shape (OrthoPolyLine
1742uid 78,0
1743va (VaSet
1744vasetType 3
1745)
1746xt "15750,21000,24000,21000"
1747pts [
1748"15750,21000"
1749"24000,21000"
1750]
1751)
1752start &3
1753sat 32
1754eat 16
1755st 0
1756sf 1
1757si 0
1758tg (WTG
1759uid 81,0
1760ps "ConnStartEndStrategy"
1761stg "STSignalDisplayStrategy"
1762f (Text
1763uid 82,0
1764va (VaSet
1765)
1766xt "17000,20000,20600,21000"
1767st "wiz_reset"
1768blo "17000,20800"
1769tm "WireNameMgr"
1770)
1771)
1772on &19
1773)
1774*56 (Wire
1775uid 85,0
1776shape (OrthoPolyLine
1777uid 86,0
1778va (VaSet
1779vasetType 3
1780)
1781xt "15750,22000,24000,22000"
1782pts [
1783"15750,22000"
1784"24000,22000"
1785]
1786)
1787start &4
1788sat 32
1789eat 16
1790st 0
1791sf 1
1792si 0
1793tg (WTG
1794uid 89,0
1795ps "ConnStartEndStrategy"
1796stg "STSignalDisplayStrategy"
1797f (Text
1798uid 90,0
1799va (VaSet
1800)
1801xt "17000,21000,18200,22000"
1802st "cs"
1803blo "17000,21800"
1804tm "WireNameMgr"
1805)
1806)
1807on &20
1808)
1809*57 (Wire
1810uid 93,0
1811shape (OrthoPolyLine
1812uid 94,0
1813va (VaSet
1814vasetType 3
1815)
1816xt "15750,23000,24000,23000"
1817pts [
1818"15750,23000"
1819"24000,23000"
1820]
1821)
1822start &5
1823sat 32
1824eat 16
1825st 0
1826sf 1
1827si 0
1828tg (WTG
1829uid 97,0
1830ps "ConnStartEndStrategy"
1831stg "STSignalDisplayStrategy"
1832f (Text
1833uid 98,0
1834va (VaSet
1835)
1836xt "17000,22000,18200,23000"
1837st "wr"
1838blo "17000,22800"
1839tm "WireNameMgr"
1840)
1841)
1842on &21
1843)
1844*58 (Wire
1845uid 101,0
1846shape (OrthoPolyLine
1847uid 102,0
1848va (VaSet
1849vasetType 3
1850)
1851xt "15750,24000,24000,24000"
1852pts [
1853"15750,24000"
1854"24000,24000"
1855]
1856)
1857start &6
1858sat 32
1859eat 16
1860st 0
1861sf 1
1862si 0
1863tg (WTG
1864uid 105,0
1865ps "ConnStartEndStrategy"
1866stg "STSignalDisplayStrategy"
1867f (Text
1868uid 106,0
1869va (VaSet
1870)
1871xt "17000,23000,18100,24000"
1872st "rd"
1873blo "17000,23800"
1874tm "WireNameMgr"
1875)
1876)
1877on &22
1878)
1879*59 (Wire
1880uid 109,0
1881shape (OrthoPolyLine
1882uid 110,0
1883va (VaSet
1884vasetType 3
1885lineWidth 2
1886)
1887xt "15750,25000,24000,25000"
1888pts [
1889"15750,25000"
1890"24000,25000"
1891]
1892)
1893start &8
1894sat 32
1895eat 16
1896sty 1
1897st 0
1898sf 1
1899si 0
1900tg (WTG
1901uid 113,0
1902ps "ConnStartEndStrategy"
1903stg "STSignalDisplayStrategy"
1904f (Text
1905uid 114,0
1906va (VaSet
1907)
1908xt "17000,24000,23300,25000"
1909st "wiz_data : (15:0)"
1910blo "17000,24800"
1911tm "WireNameMgr"
1912)
1913)
1914on &23
1915)
1916*60 (Wire
1917uid 117,0
1918shape (OrthoPolyLine
1919uid 118,0
1920va (VaSet
1921vasetType 3
1922lineWidth 2
1923)
1924xt "15750,26000,24000,26000"
1925pts [
1926"15750,26000"
1927"24000,26000"
1928]
1929)
1930start &9
1931sat 32
1932eat 16
1933sty 1
1934st 0
1935sf 1
1936si 0
1937tg (WTG
1938uid 121,0
1939ps "ConnStartEndStrategy"
1940stg "STSignalDisplayStrategy"
1941f (Text
1942uid 122,0
1943va (VaSet
1944)
1945xt "17000,25000,23000,26000"
1946st "wiz_addr : (9:0)"
1947blo "17000,25800"
1948tm "WireNameMgr"
1949)
1950)
1951on &24
1952)
1953*61 (Wire
1954uid 125,0
1955shape (OrthoPolyLine
1956uid 126,0
1957va (VaSet
1958vasetType 3
1959lineWidth 2
1960)
1961xt "15750,27000,24000,27000"
1962pts [
1963"15750,27000"
1964"24000,27000"
1965]
1966)
1967start &13
1968sat 32
1969eat 16
1970sty 1
1971st 0
1972sf 1
1973si 0
1974tg (WTG
1975uid 129,0
1976ps "ConnStartEndStrategy"
1977stg "STSignalDisplayStrategy"
1978f (Text
1979uid 130,0
1980va (VaSet
1981)
1982xt "17000,26000,22600,27000"
1983st "data_o : (15:0)"
1984blo "17000,26800"
1985tm "WireNameMgr"
1986)
1987)
1988on &25
1989)
1990*62 (Wire
1991uid 133,0
1992shape (OrthoPolyLine
1993uid 134,0
1994va (VaSet
1995vasetType 3
1996)
1997xt "-14250,5000,-750,21000"
1998pts [
1999"-14250,5000"
2000"-4000,5000"
2001"-4000,21000"
2002"-750,21000"
2003]
2004)
2005start &48
2006end &2
2007sat 32
2008eat 32
2009st 0
2010sf 1
2011si 0
2012tg (WTG
2013uid 137,0
2014ps "ConnStartEndStrategy"
2015stg "STSignalDisplayStrategy"
2016f (Text
2017uid 138,0
2018va (VaSet
2019)
2020xt "-12250,4000,-10950,5000"
2021st "clk"
2022blo "-12250,4800"
2023tm "WireNameMgr"
2024)
2025)
2026on &26
2027)
2028*63 (Wire
2029uid 141,0
2030shape (OrthoPolyLine
2031uid 142,0
2032va (VaSet
2033vasetType 3
2034)
2035xt "-8000,22000,-750,22000"
2036pts [
2037"-8000,22000"
2038"-750,22000"
2039]
2040)
2041end &7
2042sat 16
2043eat 32
2044st 0
2045sf 1
2046si 0
2047tg (WTG
2048uid 145,0
2049ps "ConnStartEndStrategy"
2050stg "STSignalDisplayStrategy"
2051f (Text
2052uid 146,0
2053va (VaSet
2054)
2055xt "-7000,21000,-5800,22000"
2056st "int"
2057blo "-7000,21800"
2058tm "WireNameMgr"
2059)
2060)
2061on &27
2062)
2063*64 (Wire
2064uid 149,0
2065shape (OrthoPolyLine
2066uid 150,0
2067va (VaSet
2068vasetType 3
2069)
2070xt "-8000,23000,-750,23000"
2071pts [
2072"-8000,23000"
2073"-750,23000"
2074]
2075)
2076end &10
2077sat 16
2078eat 32
2079st 0
2080sf 1
2081si 0
2082tg (WTG
2083uid 153,0
2084ps "ConnStartEndStrategy"
2085stg "STSignalDisplayStrategy"
2086f (Text
2087uid 154,0
2088va (VaSet
2089)
2090xt "-7000,22000,-4500,23000"
2091st "read_i"
2092blo "-7000,22800"
2093tm "WireNameMgr"
2094)
2095)
2096on &28
2097)
2098*65 (Wire
2099uid 157,0
2100shape (OrthoPolyLine
2101uid 158,0
2102va (VaSet
2103vasetType 3
2104)
2105xt "-8000,24000,-750,24000"
2106pts [
2107"-8000,24000"
2108"-750,24000"
2109]
2110)
2111end &11
2112sat 16
2113eat 32
2114st 0
2115sf 1
2116si 0
2117tg (WTG
2118uid 161,0
2119ps "ConnStartEndStrategy"
2120stg "STSignalDisplayStrategy"
2121f (Text
2122uid 162,0
2123va (VaSet
2124)
2125xt "-7000,23000,-4400,24000"
2126st "write_i"
2127blo "-7000,23800"
2128tm "WireNameMgr"
2129)
2130)
2131on &29
2132)
2133*66 (Wire
2134uid 165,0
2135shape (OrthoPolyLine
2136uid 166,0
2137va (VaSet
2138vasetType 3
2139lineWidth 2
2140)
2141xt "-8000,25000,-750,25000"
2142pts [
2143"-8000,25000"
2144"-750,25000"
2145]
2146)
2147end &12
2148sat 16
2149eat 32
2150sty 1
2151st 0
2152sf 1
2153si 0
2154tg (WTG
2155uid 169,0
2156ps "ConnStartEndStrategy"
2157stg "STSignalDisplayStrategy"
2158f (Text
2159uid 170,0
2160va (VaSet
2161)
2162xt "-7000,24000,-1900,25000"
2163st "addr_i : (9:0)"
2164blo "-7000,24800"
2165tm "WireNameMgr"
2166)
2167)
2168on &30
2169)
2170*67 (Wire
2171uid 173,0
2172shape (OrthoPolyLine
2173uid 174,0
2174va (VaSet
2175vasetType 3
2176lineWidth 2
2177)
2178xt "-8000,26000,-750,26000"
2179pts [
2180"-8000,26000"
2181"-750,26000"
2182]
2183)
2184end &14
2185sat 16
2186eat 32
2187sty 1
2188st 0
2189sf 1
2190si 0
2191tg (WTG
2192uid 177,0
2193ps "ConnStartEndStrategy"
2194stg "STSignalDisplayStrategy"
2195f (Text
2196uid 178,0
2197va (VaSet
2198)
2199xt "-7000,25000,-1600,26000"
2200st "data_i : (15:0)"
2201blo "-7000,25800"
2202tm "WireNameMgr"
2203)
2204)
2205on &31
2206)
2207*68 (Wire
2208uid 189,0
2209shape (OrthoPolyLine
2210uid 190,0
2211va (VaSet
2212vasetType 3
2213)
2214xt "51000,18000,60000,18000"
2215pts [
2216"51000,18000"
2217"60000,18000"
2218]
2219)
2220start &32
2221sat 1
2222eat 16
2223st 0
2224sf 1
2225si 0
2226tg (WTG
2227uid 195,0
2228ps "ConnStartEndStrategy"
2229stg "STSignalDisplayStrategy"
2230f (Text
2231uid 196,0
2232va (VaSet
2233)
2234xt "52000,17000,53200,18000"
2235st "cs"
2236blo "52000,17800"
2237tm "WireNameMgr"
2238)
2239)
2240on &20
2241)
2242*69 (Wire
2243uid 197,0
2244shape (OrthoPolyLine
2245uid 198,0
2246va (VaSet
2247vasetType 3
2248)
2249xt "51000,17000,60000,17000"
2250pts [
2251"51000,17000"
2252"60000,17000"
2253]
2254)
2255start &32
2256sat 1
2257eat 16
2258st 0
2259sf 1
2260si 0
2261tg (WTG
2262uid 203,0
2263ps "ConnStartEndStrategy"
2264stg "STSignalDisplayStrategy"
2265f (Text
2266uid 204,0
2267va (VaSet
2268)
2269xt "52000,16000,55600,17000"
2270st "wiz_reset"
2271blo "52000,16800"
2272tm "WireNameMgr"
2273)
2274)
2275on &19
2276)
2277*70 (Wire
2278uid 205,0
2279shape (OrthoPolyLine
2280uid 206,0
2281va (VaSet
2282vasetType 3
2283)
2284xt "51000,19000,60000,19000"
2285pts [
2286"51000,19000"
2287"60000,19000"
2288]
2289)
2290start &32
2291sat 1
2292eat 16
2293st 0
2294sf 1
2295si 0
2296tg (WTG
2297uid 211,0
2298ps "ConnStartEndStrategy"
2299stg "STSignalDisplayStrategy"
2300f (Text
2301uid 212,0
2302va (VaSet
2303)
2304xt "52000,18000,53200,19000"
2305st "wr"
2306blo "52000,18800"
2307tm "WireNameMgr"
2308)
2309)
2310on &21
2311)
2312*71 (Wire
2313uid 213,0
2314shape (OrthoPolyLine
2315uid 214,0
2316va (VaSet
2317vasetType 3
2318)
2319xt "51000,20000,60000,20000"
2320pts [
2321"51000,20000"
2322"60000,20000"
2323]
2324)
2325start &32
2326sat 1
2327eat 16
2328st 0
2329sf 1
2330si 0
2331tg (WTG
2332uid 219,0
2333ps "ConnStartEndStrategy"
2334stg "STSignalDisplayStrategy"
2335f (Text
2336uid 220,0
2337va (VaSet
2338)
2339xt "52000,19000,53100,20000"
2340st "rd"
2341blo "52000,19800"
2342tm "WireNameMgr"
2343)
2344)
2345on &22
2346)
2347*72 (Wire
2348uid 221,0
2349shape (OrthoPolyLine
2350uid 222,0
2351va (VaSet
2352vasetType 3
2353lineWidth 2
2354)
2355xt "51000,21000,60000,21000"
2356pts [
2357"51000,21000"
2358"60000,21000"
2359]
2360)
2361start &32
2362sat 4
2363eat 16
2364sty 1
2365st 0
2366sf 1
2367si 0
2368tg (WTG
2369uid 227,0
2370ps "ConnStartEndStrategy"
2371stg "STSignalDisplayStrategy"
2372f (Text
2373uid 228,0
2374va (VaSet
2375)
2376xt "53000,20000,59300,21000"
2377st "wiz_data : (15:0)"
2378blo "53000,20800"
2379tm "WireNameMgr"
2380)
2381)
2382on &23
2383)
2384*73 (Wire
2385uid 229,0
2386shape (OrthoPolyLine
2387uid 230,0
2388va (VaSet
2389vasetType 3
2390lineWidth 2
2391)
2392xt "51000,22000,60000,22000"
2393pts [
2394"51000,22000"
2395"60000,22000"
2396]
2397)
2398start &32
2399sat 1
2400eat 16
2401sty 1
2402st 0
2403sf 1
2404si 0
2405tg (WTG
2406uid 235,0
2407ps "ConnStartEndStrategy"
2408stg "STSignalDisplayStrategy"
2409f (Text
2410uid 236,0
2411va (VaSet
2412)
2413xt "53000,21000,59000,22000"
2414st "wiz_addr : (9:0)"
2415blo "53000,21800"
2416tm "WireNameMgr"
2417)
2418)
2419on &24
2420)
2421*74 (Wire
2422uid 237,0
2423shape (OrthoPolyLine
2424uid 238,0
2425va (VaSet
2426vasetType 3
2427)
2428xt "28000,18000,36000,18000"
2429pts [
2430"28000,18000"
2431"36000,18000"
2432]
2433)
2434end &32
2435sat 16
2436eat 2
2437st 0
2438sf 1
2439si 0
2440tg (WTG
2441uid 243,0
2442ps "ConnStartEndStrategy"
2443stg "STSignalDisplayStrategy"
2444f (Text
2445uid 244,0
2446va (VaSet
2447)
2448xt "29000,17000,30200,18000"
2449st "int"
2450blo "29000,17800"
2451tm "WireNameMgr"
2452)
2453)
2454on &27
2455)
2456*75 (Wire
2457uid 253,0
2458shape (OrthoPolyLine
2459uid 254,0
2460va (VaSet
2461vasetType 3
2462lineWidth 2
2463)
2464xt "51000,23000,60000,23000"
2465pts [
2466"51000,23000"
2467"60000,23000"
2468]
2469)
2470start &32
2471sat 1
2472eat 16
2473sty 1
2474st 0
2475sf 1
2476si 0
2477tg (WTG
2478uid 259,0
2479ps "ConnStartEndStrategy"
2480stg "STSignalDisplayStrategy"
2481f (Text
2482uid 260,0
2483va (VaSet
2484)
2485xt "52000,22000,57600,23000"
2486st "data_o : (15:0)"
2487blo "52000,22800"
2488tm "WireNameMgr"
2489)
2490)
2491on &25
2492)
2493*76 (Wire
2494uid 261,0
2495shape (OrthoPolyLine
2496uid 262,0
2497va (VaSet
2498vasetType 3
2499)
2500xt "28000,19000,36000,19000"
2501pts [
2502"28000,19000"
2503"36000,19000"
2504]
2505)
2506end &32
2507sat 16
2508eat 2
2509st 0
2510sf 1
2511si 0
2512tg (WTG
2513uid 267,0
2514ps "ConnStartEndStrategy"
2515stg "STSignalDisplayStrategy"
2516f (Text
2517uid 268,0
2518va (VaSet
2519)
2520xt "29000,18000,31500,19000"
2521st "read_i"
2522blo "29000,18800"
2523tm "WireNameMgr"
2524)
2525)
2526on &28
2527)
2528*77 (Wire
2529uid 269,0
2530shape (OrthoPolyLine
2531uid 270,0
2532va (VaSet
2533vasetType 3
2534)
2535xt "28000,20000,36000,20000"
2536pts [
2537"28000,20000"
2538"36000,20000"
2539]
2540)
2541end &32
2542sat 16
2543eat 2
2544st 0
2545sf 1
2546si 0
2547tg (WTG
2548uid 275,0
2549ps "ConnStartEndStrategy"
2550stg "STSignalDisplayStrategy"
2551f (Text
2552uid 276,0
2553va (VaSet
2554)
2555xt "29000,19000,31600,20000"
2556st "write_i"
2557blo "29000,19800"
2558tm "WireNameMgr"
2559)
2560)
2561on &29
2562)
2563*78 (Wire
2564uid 277,0
2565shape (OrthoPolyLine
2566uid 278,0
2567va (VaSet
2568vasetType 3
2569lineWidth 2
2570)
2571xt "28000,21000,36000,21000"
2572pts [
2573"28000,21000"
2574"36000,21000"
2575]
2576)
2577end &32
2578sat 16
2579eat 2
2580sty 1
2581st 0
2582sf 1
2583si 0
2584tg (WTG
2585uid 283,0
2586ps "ConnStartEndStrategy"
2587stg "STSignalDisplayStrategy"
2588f (Text
2589uid 284,0
2590va (VaSet
2591)
2592xt "29000,20000,34100,21000"
2593st "addr_i : (9:0)"
2594blo "29000,20800"
2595tm "WireNameMgr"
2596)
2597)
2598on &30
2599)
2600*79 (Wire
2601uid 285,0
2602shape (OrthoPolyLine
2603uid 286,0
2604va (VaSet
2605vasetType 3
2606lineWidth 2
2607)
2608xt "28000,22000,36000,22000"
2609pts [
2610"28000,22000"
2611"36000,22000"
2612]
2613)
2614end &32
2615sat 16
2616eat 2
2617sty 1
2618st 0
2619sf 1
2620si 0
2621tg (WTG
2622uid 291,0
2623ps "ConnStartEndStrategy"
2624stg "STSignalDisplayStrategy"
2625f (Text
2626uid 292,0
2627va (VaSet
2628)
2629xt "30000,21000,35400,22000"
2630st "data_i : (15:0)"
2631blo "30000,21800"
2632tm "WireNameMgr"
2633)
2634)
2635on &31
2636)
2637*80 (Wire
2638uid 742,0
2639shape (OrthoPolyLine
2640uid 743,0
2641va (VaSet
2642vasetType 3
2643)
2644xt "15750,28000,24000,28000"
2645pts [
2646"15750,28000"
2647"24000,28000"
2648]
2649)
2650start &15
2651sat 32
2652eat 16
2653st 0
2654sf 1
2655si 0
2656tg (WTG
2657uid 746,0
2658ps "ConnStartEndStrategy"
2659stg "STSignalDisplayStrategy"
2660f (Text
2661uid 747,0
2662va (VaSet
2663)
2664xt "20000,27000,23000,28000"
2665st "ready_o"
2666blo "20000,27800"
2667tm "WireNameMgr"
2668)
2669)
2670on &54
2671)
2672*81 (Wire
2673uid 748,0
2674shape (OrthoPolyLine
2675uid 749,0
2676va (VaSet
2677vasetType 3
2678)
2679xt "28000,23000,36000,23000"
2680pts [
2681"28000,23000"
2682"36000,23000"
2683]
2684)
2685end &32
2686sat 16
2687eat 1
2688st 0
2689sf 1
2690si 0
2691tg (WTG
2692uid 754,0
2693ps "ConnStartEndStrategy"
2694stg "STSignalDisplayStrategy"
2695f (Text
2696uid 755,0
2697va (VaSet
2698)
2699xt "30000,22000,33000,23000"
2700st "ready_o"
2701blo "30000,22800"
2702tm "WireNameMgr"
2703)
2704)
2705on &54
2706)
2707*82 (Wire
2708uid 1940,0
2709shape (OrthoPolyLine
2710uid 1941,0
2711va (VaSet
2712vasetType 3
2713)
2714xt "28000,24000,36000,24000"
2715pts [
2716"28000,24000"
2717"36000,24000"
2718]
2719)
2720end &32
2721sat 16
2722eat 1
2723st 0
2724sf 1
2725si 0
2726tg (WTG
2727uid 1946,0
2728ps "ConnStartEndStrategy"
2729stg "STSignalDisplayStrategy"
2730f (Text
2731uid 1947,0
2732va (VaSet
2733)
2734xt "30000,23000,31300,24000"
2735st "clk"
2736blo "30000,23800"
2737tm "WireNameMgr"
2738)
2739)
2740on &26
2741)
2742]
2743bg "65535,65535,65535"
2744grid (Grid
2745origin "0,0"
2746isVisible 1
2747isActive 1
2748xSpacing 1000
2749xySpacing 1000
2750xShown 1
2751yShown 1
2752color "26368,26368,26368"
2753)
2754packageList *83 (PackageList
2755uid 351,0
2756stg "VerticalLayoutStrategy"
2757textVec [
2758*84 (Text
2759uid 352,0
2760va (VaSet
2761font "arial,8,1"
2762)
2763xt "0,0,5400,1000"
2764st "Package List"
2765blo "0,800"
2766)
2767*85 (MLText
2768uid 353,0
2769va (VaSet
2770)
2771xt "0,1000,13000,5000"
2772st "LIBRARY IEEE;
2773USE IEEE.STD_LOGIC_1164.ALL;
2774USE IEEE.NUMERIC_STD.ALL;
2775USE ieee.std_logic_unsigned.all;"
2776tm "PackageList"
2777)
2778]
2779)
2780compDirBlock (MlTextGroup
2781uid 354,0
2782stg "VerticalLayoutStrategy"
2783textVec [
2784*86 (Text
2785uid 355,0
2786va (VaSet
2787isHidden 1
2788font "Arial,8,1"
2789)
2790xt "20000,0,28100,1000"
2791st "Compiler Directives"
2792blo "20000,800"
2793)
2794*87 (Text
2795uid 356,0
2796va (VaSet
2797isHidden 1
2798font "Arial,8,1"
2799)
2800xt "20000,1000,29600,2000"
2801st "Pre-module directives:"
2802blo "20000,1800"
2803)
2804*88 (MLText
2805uid 357,0
2806va (VaSet
2807isHidden 1
2808)
2809xt "20000,2000,27500,4000"
2810st "`resetall
2811`timescale 1ns/10ps"
2812tm "BdCompilerDirectivesTextMgr"
2813)
2814*89 (Text
2815uid 358,0
2816va (VaSet
2817isHidden 1
2818font "Arial,8,1"
2819)
2820xt "20000,4000,30100,5000"
2821st "Post-module directives:"
2822blo "20000,4800"
2823)
2824*90 (MLText
2825uid 359,0
2826va (VaSet
2827isHidden 1
2828)
2829xt "20000,0,20000,0"
2830tm "BdCompilerDirectivesTextMgr"
2831)
2832*91 (Text
2833uid 360,0
2834va (VaSet
2835isHidden 1
2836font "Arial,8,1"
2837)
2838xt "20000,5000,29900,6000"
2839st "End-module directives:"
2840blo "20000,5800"
2841)
2842*92 (MLText
2843uid 361,0
2844va (VaSet
2845isHidden 1
2846)
2847xt "20000,6000,20000,6000"
2848tm "BdCompilerDirectivesTextMgr"
2849)
2850]
2851associable 1
2852)
2853windowSize "0,22,1281,1024"
2854viewArea "-29100,-23500,67451,51575"
2855cachedDiagramExtent "-23500,-7000,64000,49000"
2856hasePageBreakOrigin 1
2857pageBreakOrigin "-82000,-49000"
2858lastUid 1947,0
2859defaultCommentText (CommentText
2860shape (Rectangle
2861layer 0
2862va (VaSet
2863vasetType 1
2864fg "65280,65280,46080"
2865lineColor "0,0,32768"
2866)
2867xt "0,0,15000,5000"
2868)
2869text (MLText
2870va (VaSet
2871fg "0,0,32768"
2872)
2873xt "200,200,2000,1200"
2874st "
2875Text
2876"
2877tm "CommentText"
2878wrapOption 3
2879visibleHeight 4600
2880visibleWidth 14600
2881)
2882)
2883defaultPanel (Panel
2884shape (RectFrame
2885va (VaSet
2886vasetType 1
2887fg "65535,65535,65535"
2888lineColor "32768,0,0"
2889lineWidth 3
2890)
2891xt "0,0,20000,20000"
2892)
2893title (TextAssociate
2894ps "TopLeftStrategy"
2895text (Text
2896va (VaSet
2897font "Arial,8,1"
2898)
2899xt "1000,1000,3800,2000"
2900st "Panel0"
2901blo "1000,1800"
2902tm "PanelText"
2903)
2904)
2905)
2906defaultBlk (Blk
2907shape (Rectangle
2908va (VaSet
2909vasetType 1
2910fg "39936,56832,65280"
2911lineColor "0,0,32768"
2912lineWidth 2
2913)
2914xt "0,0,8000,10000"
2915)
2916ttg (MlTextGroup
2917ps "CenterOffsetStrategy"
2918stg "VerticalLayoutStrategy"
2919textVec [
2920*93 (Text
2921va (VaSet
2922font "Arial,8,1"
2923)
2924xt "2200,3500,5800,4500"
2925st "<library>"
2926blo "2200,4300"
2927tm "BdLibraryNameMgr"
2928)
2929*94 (Text
2930va (VaSet
2931font "Arial,8,1"
2932)
2933xt "2200,4500,5600,5500"
2934st "<block>"
2935blo "2200,5300"
2936tm "BlkNameMgr"
2937)
2938*95 (Text
2939va (VaSet
2940font "Arial,8,1"
2941)
2942xt "2200,5500,4000,6500"
2943st "U_0"
2944blo "2200,6300"
2945tm "InstanceNameMgr"
2946)
2947]
2948)
2949ga (GenericAssociation
2950ps "EdgeToEdgeStrategy"
2951matrix (Matrix
2952text (MLText
2953va (VaSet
2954font "Courier New,8,0"
2955)
2956xt "2200,13500,2200,13500"
2957)
2958header ""
2959)
2960elements [
2961]
2962)
2963viewicon (ZoomableIcon
2964sl 0
2965va (VaSet
2966vasetType 1
2967fg "49152,49152,49152"
2968)
2969xt "0,0,1500,1500"
2970iconName "UnknownFile.png"
2971iconMaskName "UnknownFile.msk"
2972)
2973viewiconposition 0
2974)
2975defaultMWComponent (MWC
2976shape (Rectangle
2977va (VaSet
2978vasetType 1
2979fg "0,65535,0"
2980lineColor "0,32896,0"
2981lineWidth 2
2982)
2983xt "0,0,8000,10000"
2984)
2985ttg (MlTextGroup
2986ps "CenterOffsetStrategy"
2987stg "VerticalLayoutStrategy"
2988textVec [
2989*96 (Text
2990va (VaSet
2991font "Arial,8,1"
2992)
2993xt "550,3500,3450,4500"
2994st "Library"
2995blo "550,4300"
2996)
2997*97 (Text
2998va (VaSet
2999font "Arial,8,1"
3000)
3001xt "550,4500,7450,5500"
3002st "MWComponent"
3003blo "550,5300"
3004)
3005*98 (Text
3006va (VaSet
3007font "Arial,8,1"
3008)
3009xt "550,5500,2350,6500"
3010st "U_0"
3011blo "550,6300"
3012tm "InstanceNameMgr"
3013)
3014]
3015)
3016ga (GenericAssociation
3017ps "EdgeToEdgeStrategy"
3018matrix (Matrix
3019text (MLText
3020va (VaSet
3021font "Courier New,8,0"
3022)
3023xt "-6450,1500,-6450,1500"
3024)
3025header ""
3026)
3027elements [
3028]
3029)
3030portVis (PortSigDisplay
3031)
3032prms (Property
3033pclass "params"
3034pname "params"
3035ptn "String"
3036)
3037visOptions (mwParamsVisibilityOptions
3038)
3039)
3040defaultSaComponent (SaComponent
3041shape (Rectangle
3042va (VaSet
3043vasetType 1
3044fg "0,65535,0"
3045lineColor "0,32896,0"
3046lineWidth 2
3047)
3048xt "0,0,8000,10000"
3049)
3050ttg (MlTextGroup
3051ps "CenterOffsetStrategy"
3052stg "VerticalLayoutStrategy"
3053textVec [
3054*99 (Text
3055va (VaSet
3056font "Arial,8,1"
3057)
3058xt "900,3500,3800,4500"
3059st "Library"
3060blo "900,4300"
3061tm "BdLibraryNameMgr"
3062)
3063*100 (Text
3064va (VaSet
3065font "Arial,8,1"
3066)
3067xt "900,4500,7100,5500"
3068st "SaComponent"
3069blo "900,5300"
3070tm "CptNameMgr"
3071)
3072*101 (Text
3073va (VaSet
3074font "Arial,8,1"
3075)
3076xt "900,5500,2700,6500"
3077st "U_0"
3078blo "900,6300"
3079tm "InstanceNameMgr"
3080)
3081]
3082)
3083ga (GenericAssociation
3084ps "EdgeToEdgeStrategy"
3085matrix (Matrix
3086text (MLText
3087va (VaSet
3088font "Courier New,8,0"
3089)
3090xt "-6100,1500,-6100,1500"
3091)
3092header ""
3093)
3094elements [
3095]
3096)
3097viewicon (ZoomableIcon
3098sl 0
3099va (VaSet
3100vasetType 1
3101fg "49152,49152,49152"
3102)
3103xt "0,0,1500,1500"
3104iconName "UnknownFile.png"
3105iconMaskName "UnknownFile.msk"
3106)
3107viewiconposition 0
3108portVis (PortSigDisplay
3109)
3110archFileType "UNKNOWN"
3111)
3112defaultVhdlComponent (VhdlComponent
3113shape (Rectangle
3114va (VaSet
3115vasetType 1
3116fg "0,65535,0"
3117lineColor "0,32896,0"
3118lineWidth 2
3119)
3120xt "0,0,8000,10000"
3121)
3122ttg (MlTextGroup
3123ps "CenterOffsetStrategy"
3124stg "VerticalLayoutStrategy"
3125textVec [
3126*102 (Text
3127va (VaSet
3128font "Arial,8,1"
3129)
3130xt "500,3500,3400,4500"
3131st "Library"
3132blo "500,4300"
3133)
3134*103 (Text
3135va (VaSet
3136font "Arial,8,1"
3137)
3138xt "500,4500,7500,5500"
3139st "VhdlComponent"
3140blo "500,5300"
3141)
3142*104 (Text
3143va (VaSet
3144font "Arial,8,1"
3145)
3146xt "500,5500,2300,6500"
3147st "U_0"
3148blo "500,6300"
3149tm "InstanceNameMgr"
3150)
3151]
3152)
3153ga (GenericAssociation
3154ps "EdgeToEdgeStrategy"
3155matrix (Matrix
3156text (MLText
3157va (VaSet
3158font "Courier New,8,0"
3159)
3160xt "-6500,1500,-6500,1500"
3161)
3162header ""
3163)
3164elements [
3165]
3166)
3167portVis (PortSigDisplay
3168)
3169entityPath ""
3170archName ""
3171archPath ""
3172)
3173defaultVerilogComponent (VerilogComponent
3174shape (Rectangle
3175va (VaSet
3176vasetType 1
3177fg "0,65535,0"
3178lineColor "0,32896,0"
3179lineWidth 2
3180)
3181xt "-450,0,8450,10000"
3182)
3183ttg (MlTextGroup
3184ps "CenterOffsetStrategy"
3185stg "VerticalLayoutStrategy"
3186textVec [
3187*105 (Text
3188va (VaSet
3189font "Arial,8,1"
3190)
3191xt "50,3500,2950,4500"
3192st "Library"
3193blo "50,4300"
3194)
3195*106 (Text
3196va (VaSet
3197font "Arial,8,1"
3198)
3199xt "50,4500,7950,5500"
3200st "VerilogComponent"
3201blo "50,5300"
3202)
3203*107 (Text
3204va (VaSet
3205font "Arial,8,1"
3206)
3207xt "50,5500,1850,6500"
3208st "U_0"
3209blo "50,6300"
3210tm "InstanceNameMgr"
3211)
3212]
3213)
3214ga (GenericAssociation
3215ps "EdgeToEdgeStrategy"
3216matrix (Matrix
3217text (MLText
3218va (VaSet
3219font "Courier New,8,0"
3220)
3221xt "-6950,1500,-6950,1500"
3222)
3223header ""
3224)
3225elements [
3226]
3227)
3228entityPath ""
3229)
3230defaultHdlText (HdlText
3231shape (Rectangle
3232va (VaSet
3233vasetType 1
3234fg "65535,65535,37120"
3235lineColor "0,0,32768"
3236lineWidth 2
3237)
3238xt "0,0,8000,10000"
3239)
3240ttg (MlTextGroup
3241ps "CenterOffsetStrategy"
3242stg "VerticalLayoutStrategy"
3243textVec [
3244*108 (Text
3245va (VaSet
3246font "Arial,8,1"
3247)
3248xt "3150,4000,4850,5000"
3249st "eb1"
3250blo "3150,4800"
3251tm "HdlTextNameMgr"
3252)
3253*109 (Text
3254va (VaSet
3255font "Arial,8,1"
3256)
3257xt "3150,5000,3950,6000"
3258st "1"
3259blo "3150,5800"
3260tm "HdlTextNumberMgr"
3261)
3262]
3263)
3264viewicon (ZoomableIcon
3265sl 0
3266va (VaSet
3267vasetType 1
3268fg "49152,49152,49152"
3269)
3270xt "0,0,1500,1500"
3271iconName "UnknownFile.png"
3272iconMaskName "UnknownFile.msk"
3273)
3274viewiconposition 0
3275)
3276defaultEmbeddedText (EmbeddedText
3277commentText (CommentText
3278ps "CenterOffsetStrategy"
3279shape (Rectangle
3280va (VaSet
3281vasetType 1
3282fg "65535,65535,65535"
3283lineColor "0,0,32768"
3284lineWidth 2
3285)
3286xt "0,0,18000,5000"
3287)
3288text (MLText
3289va (VaSet
3290)
3291xt "200,200,2000,1200"
3292st "
3293Text
3294"
3295tm "HdlTextMgr"
3296wrapOption 3
3297visibleHeight 4600
3298visibleWidth 17600
3299)
3300)
3301)
3302defaultGlobalConnector (GlobalConnector
3303shape (Circle
3304va (VaSet
3305vasetType 1
3306fg "65535,65535,0"
3307)
3308xt "-1000,-1000,1000,1000"
3309radius 1000
3310)
3311name (Text
3312va (VaSet
3313font "Arial,8,1"
3314)
3315xt "-500,-500,500,500"
3316st "G"
3317blo "-500,300"
3318)
3319)
3320defaultRipper (Ripper
3321ps "OnConnectorStrategy"
3322shape (Line2D
3323pts [
3324"0,0"
3325"1000,1000"
3326]
3327va (VaSet
3328vasetType 1
3329)
3330xt "0,0,1000,1000"
3331)
3332)
3333defaultBdJunction (BdJunction
3334ps "OnConnectorStrategy"
3335shape (Circle
3336va (VaSet
3337vasetType 1
3338)
3339xt "-400,-400,400,400"
3340radius 400
3341)
3342)
3343defaultPortIoIn (PortIoIn
3344shape (CompositeShape
3345va (VaSet
3346vasetType 1
3347fg "0,0,32768"
3348)
3349optionalChildren [
3350(Pentagon
3351sl 0
3352ro 270
3353xt "-2000,-375,-500,375"
3354)
3355(Line
3356sl 0
3357ro 270
3358xt "-500,0,0,0"
3359pts [
3360"-500,0"
3361"0,0"
3362]
3363)
3364]
3365)
3366stc 0
3367sf 1
3368tg (WTG
3369ps "PortIoTextPlaceStrategy"
3370stg "STSignalDisplayStrategy"
3371f (Text
3372va (VaSet
3373)
3374xt "-1375,-1000,-1375,-1000"
3375ju 2
3376blo "-1375,-1000"
3377tm "WireNameMgr"
3378)
3379)
3380)
3381defaultPortIoOut (PortIoOut
3382shape (CompositeShape
3383va (VaSet
3384vasetType 1
3385fg "0,0,32768"
3386)
3387optionalChildren [
3388(Pentagon
3389sl 0
3390ro 270
3391xt "500,-375,2000,375"
3392)
3393(Line
3394sl 0
3395ro 270
3396xt "0,0,500,0"
3397pts [
3398"0,0"
3399"500,0"
3400]
3401)
3402]
3403)
3404stc 0
3405sf 1
3406tg (WTG
3407ps "PortIoTextPlaceStrategy"
3408stg "STSignalDisplayStrategy"
3409f (Text
3410va (VaSet
3411)
3412xt "625,-1000,625,-1000"
3413blo "625,-1000"
3414tm "WireNameMgr"
3415)
3416)
3417)
3418defaultPortIoInOut (PortIoInOut
3419shape (CompositeShape
3420va (VaSet
3421vasetType 1
3422fg "0,0,32768"
3423)
3424optionalChildren [
3425(Hexagon
3426sl 0
3427xt "500,-375,2000,375"
3428)
3429(Line
3430sl 0
3431xt "0,0,500,0"
3432pts [
3433"0,0"
3434"500,0"
3435]
3436)
3437]
3438)
3439stc 0
3440sf 1
3441tg (WTG
3442ps "PortIoTextPlaceStrategy"
3443stg "STSignalDisplayStrategy"
3444f (Text
3445va (VaSet
3446)
3447xt "0,-375,0,-375"
3448blo "0,-375"
3449tm "WireNameMgr"
3450)
3451)
3452)
3453defaultPortIoBuffer (PortIoBuffer
3454shape (CompositeShape
3455va (VaSet
3456vasetType 1
3457fg "65535,65535,65535"
3458lineColor "0,0,32768"
3459)
3460optionalChildren [
3461(Hexagon
3462sl 0
3463xt "500,-375,2000,375"
3464)
3465(Line
3466sl 0
3467xt "0,0,500,0"
3468pts [
3469"0,0"
3470"500,0"
3471]
3472)
3473]
3474)
3475stc 0
3476sf 1
3477tg (WTG
3478ps "PortIoTextPlaceStrategy"
3479stg "STSignalDisplayStrategy"
3480f (Text
3481va (VaSet
3482)
3483xt "0,-375,0,-375"
3484blo "0,-375"
3485tm "WireNameMgr"
3486)
3487)
3488)
3489defaultSignal (Wire
3490shape (OrthoPolyLine
3491va (VaSet
3492vasetType 3
3493)
3494pts [
3495"0,0"
3496"0,0"
3497]
3498)
3499ss 0
3500es 0
3501sat 32
3502eat 32
3503st 0
3504sf 1
3505si 0
3506tg (WTG
3507ps "ConnStartEndStrategy"
3508stg "STSignalDisplayStrategy"
3509f (Text
3510va (VaSet
3511)
3512xt "0,0,1900,1000"
3513st "sig0"
3514blo "0,800"
3515tm "WireNameMgr"
3516)
3517)
3518)
3519defaultBus (Wire
3520shape (OrthoPolyLine
3521va (VaSet
3522vasetType 3
3523lineWidth 2
3524)
3525pts [
3526"0,0"
3527"0,0"
3528]
3529)
3530ss 0
3531es 0
3532sat 32
3533eat 32
3534sty 1
3535st 0
3536sf 1
3537si 0
3538tg (WTG
3539ps "ConnStartEndStrategy"
3540stg "STSignalDisplayStrategy"
3541f (Text
3542va (VaSet
3543)
3544xt "0,0,2400,1000"
3545st "dbus0"
3546blo "0,800"
3547tm "WireNameMgr"
3548)
3549)
3550)
3551defaultBundle (Bundle
3552shape (OrthoPolyLine
3553va (VaSet
3554vasetType 3
3555lineColor "32768,0,0"
3556lineWidth 2
3557)
3558pts [
3559"0,0"
3560"0,0"
3561]
3562)
3563ss 0
3564es 0
3565sat 32
3566eat 32
3567textGroup (BiTextGroup
3568ps "ConnStartEndStrategy"
3569stg "VerticalLayoutStrategy"
3570first (Text
3571va (VaSet
3572)
3573xt "0,0,3000,1000"
3574st "bundle0"
3575blo "0,800"
3576tm "BundleNameMgr"
3577)
3578second (MLText
3579va (VaSet
3580)
3581xt "0,1000,1000,2000"
3582st "()"
3583tm "BundleContentsMgr"
3584)
3585)
3586bundleNet &0
3587)
3588defaultPortMapFrame (PortMapFrame
3589ps "PortMapFrameStrategy"
3590shape (RectFrame
3591va (VaSet
3592vasetType 1
3593fg "65535,65535,65535"
3594lineColor "0,0,32768"
3595lineWidth 2
3596)
3597xt "0,0,10000,12000"
3598)
3599portMapText (BiTextGroup
3600ps "BottomRightOffsetStrategy"
3601stg "VerticalLayoutStrategy"
3602first (MLText
3603va (VaSet
3604)
3605)
3606second (MLText
3607va (VaSet
3608)
3609tm "PortMapTextMgr"
3610)
3611)
3612)
3613defaultGenFrame (Frame
3614shape (RectFrame
3615va (VaSet
3616vasetType 1
3617fg "65535,65535,65535"
3618lineColor "26368,26368,26368"
3619lineStyle 2
3620lineWidth 3
3621)
3622xt "0,0,20000,20000"
3623)
3624title (TextAssociate
3625ps "TopLeftStrategy"
3626text (MLText
3627va (VaSet
3628)
3629xt "0,-1100,12600,-100"
3630st "g0: FOR i IN 0 TO n GENERATE"
3631tm "FrameTitleTextMgr"
3632)
3633)
3634seqNum (FrameSequenceNumber
3635ps "TopLeftStrategy"
3636shape (Rectangle
3637va (VaSet
3638vasetType 1
3639fg "65535,65535,65535"
3640)
3641xt "50,50,1250,1450"
3642)
3643num (Text
3644va (VaSet
3645)
3646xt "250,250,1050,1250"
3647st "1"
3648blo "250,1050"
3649tm "FrameSeqNumMgr"
3650)
3651)
3652decls (MlTextGroup
3653ps "BottomRightOffsetStrategy"
3654stg "VerticalLayoutStrategy"
3655textVec [
3656*110 (Text
3657va (VaSet
3658font "Arial,8,1"
3659)
3660xt "14100,20000,22000,21000"
3661st "Frame Declarations"
3662blo "14100,20800"
3663)
3664*111 (MLText
3665va (VaSet
3666)
3667xt "14100,21000,14100,21000"
3668tm "BdFrameDeclTextMgr"
3669)
3670]
3671)
3672)
3673defaultBlockFrame (Frame
3674shape (RectFrame
3675va (VaSet
3676vasetType 1
3677fg "65535,65535,65535"
3678lineColor "26368,26368,26368"
3679lineStyle 1
3680lineWidth 3
3681)
3682xt "0,0,20000,20000"
3683)
3684title (TextAssociate
3685ps "TopLeftStrategy"
3686text (MLText
3687va (VaSet
3688)
3689xt "0,-1100,7400,-100"
3690st "b0: BLOCK (guard)"
3691tm "FrameTitleTextMgr"
3692)
3693)
3694seqNum (FrameSequenceNumber
3695ps "TopLeftStrategy"
3696shape (Rectangle
3697va (VaSet
3698vasetType 1
3699fg "65535,65535,65535"
3700)
3701xt "50,50,1250,1450"
3702)
3703num (Text
3704va (VaSet
3705)
3706xt "250,250,1050,1250"
3707st "1"
3708blo "250,1050"
3709tm "FrameSeqNumMgr"
3710)
3711)
3712decls (MlTextGroup
3713ps "BottomRightOffsetStrategy"
3714stg "VerticalLayoutStrategy"
3715textVec [
3716*112 (Text
3717va (VaSet
3718font "Arial,8,1"
3719)
3720xt "14100,20000,22000,21000"
3721st "Frame Declarations"
3722blo "14100,20800"
3723)
3724*113 (MLText
3725va (VaSet
3726)
3727xt "14100,21000,14100,21000"
3728tm "BdFrameDeclTextMgr"
3729)
3730]
3731)
3732style 3
3733)
3734defaultSaCptPort (CptPort
3735ps "OnEdgeStrategy"
3736shape (Triangle
3737ro 90
3738va (VaSet
3739vasetType 1
3740fg "0,65535,0"
3741)
3742xt "0,0,750,750"
3743)
3744tg (CPTG
3745ps "CptPortTextPlaceStrategy"
3746stg "VerticalLayoutStrategy"
3747f (Text
3748va (VaSet
3749)
3750xt "0,750,1800,1750"
3751st "Port"
3752blo "0,1550"
3753)
3754)
3755thePort (LogicalPort
3756decl (Decl
3757n "Port"
3758t ""
3759o 0
3760)
3761)
3762)
3763defaultSaCptPortBuffer (CptPort
3764ps "OnEdgeStrategy"
3765shape (Diamond
3766va (VaSet
3767vasetType 1
3768fg "65535,65535,65535"
3769)
3770xt "0,0,750,750"
3771)
3772tg (CPTG
3773ps "CptPortTextPlaceStrategy"
3774stg "VerticalLayoutStrategy"
3775f (Text
3776va (VaSet
3777)
3778xt "0,750,1800,1750"
3779st "Port"
3780blo "0,1550"
3781)
3782)
3783thePort (LogicalPort
3784m 3
3785decl (Decl
3786n "Port"
3787t ""
3788o 0
3789)
3790)
3791)
3792defaultDeclText (MLText
3793va (VaSet
3794font "Courier New,8,0"
3795)
3796)
3797archDeclarativeBlock (BdArchDeclBlock
3798uid 1,0
3799stg "BdArchDeclBlockLS"
3800declLabel (Text
3801uid 2,0
3802va (VaSet
3803font "Arial,8,1"
3804)
3805xt "20000,0,25400,1000"
3806st "Declarations"
3807blo "20000,800"
3808)
3809portLabel (Text
3810uid 3,0
3811va (VaSet
3812font "Arial,8,1"
3813)
3814xt "20000,1000,22700,2000"
3815st "Ports:"
3816blo "20000,1800"
3817)
3818preUserLabel (Text
3819uid 4,0
3820va (VaSet
3821isHidden 1
3822font "Arial,8,1"
3823)
3824xt "20000,0,23800,1000"
3825st "Pre User:"
3826blo "20000,800"
3827)
3828preUserText (MLText
3829uid 5,0
3830va (VaSet
3831isHidden 1
3832font "Courier New,8,0"
3833)
3834xt "20000,0,20000,0"
3835tm "BdDeclarativeTextMgr"
3836)
3837diagSignalLabel (Text
3838uid 6,0
3839va (VaSet
3840font "Arial,8,1"
3841)
3842xt "20000,2000,27100,3000"
3843st "Diagram Signals:"
3844blo "20000,2800"
3845)
3846postUserLabel (Text
3847uid 7,0
3848va (VaSet
3849isHidden 1
3850font "Arial,8,1"
3851)
3852xt "20000,0,24700,1000"
3853st "Post User:"
3854blo "20000,800"
3855)
3856postUserText (MLText
3857uid 8,0
3858va (VaSet
3859isHidden 1
3860font "Courier New,8,0"
3861)
3862xt "20000,0,20000,0"
3863tm "BdDeclarativeTextMgr"
3864)
3865)
3866commonDM (CommonDM
3867ldm (LogicalDM
3868suid 16,0
3869usingSuid 1
3870emptyRow *114 (LEmptyRow
3871)
3872uid 364,0
3873optionalChildren [
3874*115 (RefLabelRowHdr
3875)
3876*116 (TitleRowHdr
3877)
3878*117 (FilterRowHdr
3879)
3880*118 (RefLabelColHdr
3881tm "RefLabelColHdrMgr"
3882)
3883*119 (RowExpandColHdr
3884tm "RowExpandColHdrMgr"
3885)
3886*120 (GroupColHdr
3887tm "GroupColHdrMgr"
3888)
3889*121 (NameColHdr
3890tm "BlockDiagramNameColHdrMgr"
3891)
3892*122 (ModeColHdr
3893tm "BlockDiagramModeColHdrMgr"
3894)
3895*123 (TypeColHdr
3896tm "BlockDiagramTypeColHdrMgr"
3897)
3898*124 (BoundsColHdr
3899tm "BlockDiagramBoundsColHdrMgr"
3900)
3901*125 (InitColHdr
3902tm "BlockDiagramInitColHdrMgr"
3903)
3904*126 (EolColHdr
3905tm "BlockDiagramEolColHdrMgr"
3906)
3907*127 (LeafLogPort
3908port (LogicalPort
3909m 4
3910decl (Decl
3911n "wiz_reset"
3912t "std_logic"
3913o 1
3914suid 1,0
3915)
3916)
3917uid 325,0
3918)
3919*128 (LeafLogPort
3920port (LogicalPort
3921m 4
3922decl (Decl
3923n "cs"
3924t "std_logic"
3925o 2
3926suid 2,0
3927)
3928)
3929uid 327,0
3930)
3931*129 (LeafLogPort
3932port (LogicalPort
3933m 4
3934decl (Decl
3935n "wr"
3936t "std_logic"
3937o 3
3938suid 3,0
3939)
3940)
3941uid 329,0
3942)
3943*130 (LeafLogPort
3944port (LogicalPort
3945m 4
3946decl (Decl
3947n "rd"
3948t "std_logic"
3949o 4
3950suid 4,0
3951)
3952)
3953uid 331,0
3954)
3955*131 (LeafLogPort
3956port (LogicalPort
3957m 4
3958decl (Decl
3959n "wiz_data"
3960t "std_logic_vector"
3961b "(15 DOWNTO 0)"
3962o 5
3963suid 5,0
3964)
3965)
3966uid 333,0
3967)
3968*132 (LeafLogPort
3969port (LogicalPort
3970m 4
3971decl (Decl
3972n "wiz_addr"
3973t "std_logic_vector"
3974b "(9 DOWNTO 0)"
3975o 6
3976suid 6,0
3977)
3978)
3979uid 335,0
3980)
3981*133 (LeafLogPort
3982port (LogicalPort
3983lang 10
3984m 4
3985decl (Decl
3986n "data_o"
3987t "std_logic_vector"
3988b "(15 DOWNTO 0)"
3989o 7
3990suid 7,0
3991)
3992)
3993uid 337,0
3994)
3995*134 (LeafLogPort
3996port (LogicalPort
3997m 4
3998decl (Decl
3999n "clk"
4000t "std_logic"
4001o 8
4002suid 8,0
4003)
4004)
4005uid 339,0
4006)
4007*135 (LeafLogPort
4008port (LogicalPort
4009m 4
4010decl (Decl
4011n "int"
4012t "std_logic"
4013o 9
4014suid 9,0
4015)
4016)
4017uid 341,0
4018)
4019*136 (LeafLogPort
4020port (LogicalPort
4021m 4
4022decl (Decl
4023n "read_i"
4024t "std_logic"
4025o 10
4026suid 10,0
4027)
4028)
4029uid 343,0
4030)
4031*137 (LeafLogPort
4032port (LogicalPort
4033m 4
4034decl (Decl
4035n "write_i"
4036t "std_logic"
4037o 11
4038suid 11,0
4039)
4040)
4041uid 345,0
4042)
4043*138 (LeafLogPort
4044port (LogicalPort
4045m 4
4046decl (Decl
4047n "addr_i"
4048t "std_logic_vector"
4049b "(9 DOWNTO 0)"
4050o 12
4051suid 12,0
4052)
4053)
4054uid 347,0
4055)
4056*139 (LeafLogPort
4057port (LogicalPort
4058m 4
4059decl (Decl
4060n "data_i"
4061t "std_logic_vector"
4062b "(15 DOWNTO 0)"
4063o 13
4064suid 13,0
4065)
4066)
4067uid 349,0
4068)
4069*140 (LeafLogPort
4070port (LogicalPort
4071lang 10
4072m 4
4073decl (Decl
4074n "ready_o"
4075t "std_logic"
4076o 14
4077suid 14,0
4078)
4079)
4080uid 756,0
4081)
4082]
4083)
4084pdm (PhysicalDM
4085displayShortBounds 1
4086editShortBounds 1
4087uid 377,0
4088optionalChildren [
4089*141 (Sheet
4090sheetRow (SheetRow
4091headerVa (MVa
4092cellColor "49152,49152,49152"
4093fontColor "0,0,0"
4094font "Tahoma,10,0"
4095)
4096cellVa (MVa
4097cellColor "65535,65535,65535"
4098fontColor "0,0,0"
4099font "Tahoma,10,0"
4100)
4101groupVa (MVa
4102cellColor "39936,56832,65280"
4103fontColor "0,0,0"
4104font "Tahoma,10,0"
4105)
4106emptyMRCItem *142 (MRCItem
4107litem &114
4108pos 14
4109dimension 20
4110)
4111uid 379,0
4112optionalChildren [
4113*143 (MRCItem
4114litem &115
4115pos 0
4116dimension 20
4117uid 380,0
4118)
4119*144 (MRCItem
4120litem &116
4121pos 1
4122dimension 23
4123uid 381,0
4124)
4125*145 (MRCItem
4126litem &117
4127pos 2
4128hidden 1
4129dimension 20
4130uid 382,0
4131)
4132*146 (MRCItem
4133litem &127
4134pos 0
4135dimension 20
4136uid 326,0
4137)
4138*147 (MRCItem
4139litem &128
4140pos 1
4141dimension 20
4142uid 328,0
4143)
4144*148 (MRCItem
4145litem &129
4146pos 2
4147dimension 20
4148uid 330,0
4149)
4150*149 (MRCItem
4151litem &130
4152pos 3
4153dimension 20
4154uid 332,0
4155)
4156*150 (MRCItem
4157litem &131
4158pos 4
4159dimension 20
4160uid 334,0
4161)
4162*151 (MRCItem
4163litem &132
4164pos 5
4165dimension 20
4166uid 336,0
4167)
4168*152 (MRCItem
4169litem &133
4170pos 6
4171dimension 20
4172uid 338,0
4173)
4174*153 (MRCItem
4175litem &134
4176pos 7
4177dimension 20
4178uid 340,0
4179)
4180*154 (MRCItem
4181litem &135
4182pos 8
4183dimension 20
4184uid 342,0
4185)
4186*155 (MRCItem
4187litem &136
4188pos 9
4189dimension 20
4190uid 344,0
4191)
4192*156 (MRCItem
4193litem &137
4194pos 10
4195dimension 20
4196uid 346,0
4197)
4198*157 (MRCItem
4199litem &138
4200pos 11
4201dimension 20
4202uid 348,0
4203)
4204*158 (MRCItem
4205litem &139
4206pos 12
4207dimension 20
4208uid 350,0
4209)
4210*159 (MRCItem
4211litem &140
4212pos 13
4213dimension 20
4214uid 757,0
4215)
4216]
4217)
4218sheetCol (SheetCol
4219propVa (MVa
4220cellColor "0,49152,49152"
4221fontColor "0,0,0"
4222font "Tahoma,10,0"
4223textAngle 90
4224)
4225uid 383,0
4226optionalChildren [
4227*160 (MRCItem
4228litem &118
4229pos 0
4230dimension 20
4231uid 384,0
4232)
4233*161 (MRCItem
4234litem &120
4235pos 1
4236dimension 50
4237uid 385,0
4238)
4239*162 (MRCItem
4240litem &121
4241pos 2
4242dimension 100
4243uid 386,0
4244)
4245*163 (MRCItem
4246litem &122
4247pos 3
4248dimension 50
4249uid 387,0
4250)
4251*164 (MRCItem
4252litem &123
4253pos 4
4254dimension 100
4255uid 388,0
4256)
4257*165 (MRCItem
4258litem &124
4259pos 5
4260dimension 100
4261uid 389,0
4262)
4263*166 (MRCItem
4264litem &125
4265pos 6
4266dimension 50
4267uid 390,0
4268)
4269*167 (MRCItem
4270litem &126
4271pos 7
4272dimension 80
4273uid 391,0
4274)
4275]
4276)
4277fixedCol 4
4278fixedRow 2
4279name "Ports"
4280uid 378,0
4281vaOverrides [
4282]
4283)
4284]
4285)
4286uid 363,0
4287)
4288genericsCommonDM (CommonDM
4289ldm (LogicalDM
4290emptyRow *168 (LEmptyRow
4291)
4292uid 393,0
4293optionalChildren [
4294*169 (RefLabelRowHdr
4295)
4296*170 (TitleRowHdr
4297)
4298*171 (FilterRowHdr
4299)
4300*172 (RefLabelColHdr
4301tm "RefLabelColHdrMgr"
4302)
4303*173 (RowExpandColHdr
4304tm "RowExpandColHdrMgr"
4305)
4306*174 (GroupColHdr
4307tm "GroupColHdrMgr"
4308)
4309*175 (NameColHdr
4310tm "GenericNameColHdrMgr"
4311)
4312*176 (TypeColHdr
4313tm "GenericTypeColHdrMgr"
4314)
4315*177 (InitColHdr
4316tm "GenericValueColHdrMgr"
4317)
4318*178 (PragmaColHdr
4319tm "GenericPragmaColHdrMgr"
4320)
4321*179 (EolColHdr
4322tm "GenericEolColHdrMgr"
4323)
4324*180 (LogGeneric
4325generic (GiElement
4326name "TIME_UNTIL_READ_READY"
4327type "integer"
4328value "4"
4329)
4330uid 9,0
4331)
4332*181 (LogGeneric
4333generic (GiElement
4334name "TIME_UNTIL_READ_READY"
4335type "integer"
4336value "4"
4337)
4338uid 11,0
4339)
4340]
4341)
4342pdm (PhysicalDM
4343displayShortBounds 1
4344editShortBounds 1
4345uid 405,0
4346optionalChildren [
4347*182 (Sheet
4348sheetRow (SheetRow
4349headerVa (MVa
4350cellColor "49152,49152,49152"
4351fontColor "0,0,0"
4352font "Tahoma,10,0"
4353)
4354cellVa (MVa
4355cellColor "65535,65535,65535"
4356fontColor "0,0,0"
4357font "Tahoma,10,0"
4358)
4359groupVa (MVa
4360cellColor "39936,56832,65280"
4361fontColor "0,0,0"
4362font "Tahoma,10,0"
4363)
4364emptyMRCItem *183 (MRCItem
4365litem &168
4366pos 2
4367dimension 20
4368)
4369uid 407,0
4370optionalChildren [
4371*184 (MRCItem
4372litem &169
4373pos 0
4374dimension 20
4375uid 408,0
4376)
4377*185 (MRCItem
4378litem &170
4379pos 1
4380dimension 23
4381uid 409,0
4382)
4383*186 (MRCItem
4384litem &171
4385pos 2
4386hidden 1
4387dimension 20
4388uid 410,0
4389)
4390*187 (MRCItem
4391litem &180
4392pos 0
4393dimension 20
4394uid 10,0
4395)
4396*188 (MRCItem
4397litem &181
4398pos 1
4399dimension 20
4400uid 12,0
4401)
4402]
4403)
4404sheetCol (SheetCol
4405propVa (MVa
4406cellColor "0,49152,49152"
4407fontColor "0,0,0"
4408font "Tahoma,10,0"
4409textAngle 90
4410)
4411uid 411,0
4412optionalChildren [
4413*189 (MRCItem
4414litem &172
4415pos 0
4416dimension 20
4417uid 412,0
4418)
4419*190 (MRCItem
4420litem &174
4421pos 1
4422dimension 50
4423uid 413,0
4424)
4425*191 (MRCItem
4426litem &175
4427pos 2
4428dimension 100
4429uid 414,0
4430)
4431*192 (MRCItem
4432litem &176
4433pos 3
4434dimension 100
4435uid 415,0
4436)
4437*193 (MRCItem
4438litem &177
4439pos 4
4440dimension 50
4441uid 416,0
4442)
4443*194 (MRCItem
4444litem &178
4445pos 5
4446dimension 50
4447uid 417,0
4448)
4449*195 (MRCItem
4450litem &179
4451pos 6
4452dimension 80
4453uid 418,0
4454)
4455]
4456)
4457fixedCol 3
4458fixedRow 2
4459name "Ports"
4460uid 406,0
4461vaOverrides [
4462]
4463)
4464]
4465)
4466uid 392,0
4467type 1
4468)
4469activeModelName "BlockDiag"
4470)
Note: See TracBrowser for help on using the repository browser.