source: firmware/FAD/FACT_FAD_TB_lib/hds/w5300_interface_tb/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 17.2 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5]
6)
7version "24.1"
8appVersion "2009.2 (Build 10)"
9model (Symbol
10commonDM (CommonDM
11ldm (LogicalDM
12usingSuid 1
13emptyRow *1 (LEmptyRow
14)
15uid 53,0
16optionalChildren [
17*2 (RefLabelRowHdr
18)
19*3 (TitleRowHdr
20)
21*4 (FilterRowHdr
22)
23*5 (RefLabelColHdr
24tm "RefLabelColHdrMgr"
25)
26*6 (RowExpandColHdr
27tm "RowExpandColHdrMgr"
28)
29*7 (GroupColHdr
30tm "GroupColHdrMgr"
31)
32*8 (NameColHdr
33tm "NameColHdrMgr"
34)
35*9 (ModeColHdr
36tm "ModeColHdrMgr"
37)
38*10 (TypeColHdr
39tm "TypeColHdrMgr"
40)
41*11 (BoundsColHdr
42tm "BoundsColHdrMgr"
43)
44*12 (InitColHdr
45tm "InitColHdrMgr"
46)
47*13 (EolColHdr
48tm "EolColHdrMgr"
49)
50]
51)
52pdm (PhysicalDM
53displayShortBounds 1
54editShortBounds 1
55uid 66,0
56optionalChildren [
57*14 (Sheet
58sheetRow (SheetRow
59headerVa (MVa
60cellColor "49152,49152,49152"
61fontColor "0,0,0"
62font "Tahoma,10,0"
63)
64cellVa (MVa
65cellColor "65535,65535,65535"
66fontColor "0,0,0"
67font "Tahoma,10,0"
68)
69groupVa (MVa
70cellColor "39936,56832,65280"
71fontColor "0,0,0"
72font "Tahoma,10,0"
73)
74emptyMRCItem *15 (MRCItem
75litem &1
76pos 3
77dimension 20
78)
79uid 68,0
80optionalChildren [
81*16 (MRCItem
82litem &2
83pos 0
84dimension 20
85uid 69,0
86)
87*17 (MRCItem
88litem &3
89pos 1
90dimension 23
91uid 70,0
92)
93*18 (MRCItem
94litem &4
95pos 2
96hidden 1
97dimension 20
98uid 71,0
99)
100]
101)
102sheetCol (SheetCol
103propVa (MVa
104cellColor "0,49152,49152"
105fontColor "0,0,0"
106font "Tahoma,10,0"
107textAngle 90
108)
109uid 72,0
110optionalChildren [
111*19 (MRCItem
112litem &5
113pos 0
114dimension 20
115uid 73,0
116)
117*20 (MRCItem
118litem &7
119pos 1
120dimension 50
121uid 74,0
122)
123*21 (MRCItem
124litem &8
125pos 2
126dimension 100
127uid 75,0
128)
129*22 (MRCItem
130litem &9
131pos 3
132dimension 50
133uid 76,0
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139uid 77,0
140)
141*24 (MRCItem
142litem &11
143pos 5
144dimension 100
145uid 78,0
146)
147*25 (MRCItem
148litem &12
149pos 6
150dimension 50
151uid 79,0
152)
153*26 (MRCItem
154litem &13
155pos 7
156dimension 80
157uid 80,0
158)
159]
160)
161fixedCol 4
162fixedRow 2
163name "Ports"
164uid 67,0
165vaOverrides [
166]
167)
168]
169)
170uid 52,0
171)
172genericsCommonDM (CommonDM
173ldm (LogicalDM
174emptyRow *27 (LEmptyRow
175)
176uid 82,0
177optionalChildren [
178*28 (RefLabelRowHdr
179)
180*29 (TitleRowHdr
181)
182*30 (FilterRowHdr
183)
184*31 (RefLabelColHdr
185tm "RefLabelColHdrMgr"
186)
187*32 (RowExpandColHdr
188tm "RowExpandColHdrMgr"
189)
190*33 (GroupColHdr
191tm "GroupColHdrMgr"
192)
193*34 (NameColHdr
194tm "GenericNameColHdrMgr"
195)
196*35 (TypeColHdr
197tm "GenericTypeColHdrMgr"
198)
199*36 (InitColHdr
200tm "GenericValueColHdrMgr"
201)
202*37 (PragmaColHdr
203tm "GenericPragmaColHdrMgr"
204)
205*38 (EolColHdr
206tm "GenericEolColHdrMgr"
207)
208*39 (LogGeneric
209generic (GiElement
210name "TIME_UNTIL_READ_READY"
211type "integer"
212value "4"
213)
214uid 109,0
215)
216]
217)
218pdm (PhysicalDM
219displayShortBounds 1
220editShortBounds 1
221uid 94,0
222optionalChildren [
223*40 (Sheet
224sheetRow (SheetRow
225headerVa (MVa
226cellColor "49152,49152,49152"
227fontColor "0,0,0"
228font "Tahoma,10,0"
229)
230cellVa (MVa
231cellColor "65535,65535,65535"
232fontColor "0,0,0"
233font "Tahoma,10,0"
234)
235groupVa (MVa
236cellColor "39936,56832,65280"
237fontColor "0,0,0"
238font "Tahoma,10,0"
239)
240emptyMRCItem *41 (MRCItem
241litem &27
242pos 3
243dimension 20
244)
245uid 96,0
246optionalChildren [
247*42 (MRCItem
248litem &28
249pos 0
250dimension 20
251uid 97,0
252)
253*43 (MRCItem
254litem &29
255pos 1
256dimension 23
257uid 98,0
258)
259*44 (MRCItem
260litem &30
261pos 2
262hidden 1
263dimension 20
264uid 99,0
265)
266*45 (MRCItem
267litem &39
268pos 0
269dimension 20
270uid 108,0
271)
272]
273)
274sheetCol (SheetCol
275propVa (MVa
276cellColor "0,49152,49152"
277fontColor "0,0,0"
278font "Tahoma,10,0"
279textAngle 90
280)
281uid 100,0
282optionalChildren [
283*46 (MRCItem
284litem &31
285pos 0
286dimension 20
287uid 101,0
288)
289*47 (MRCItem
290litem &33
291pos 1
292dimension 50
293uid 102,0
294)
295*48 (MRCItem
296litem &34
297pos 2
298dimension 100
299uid 103,0
300)
301*49 (MRCItem
302litem &35
303pos 3
304dimension 100
305uid 104,0
306)
307*50 (MRCItem
308litem &36
309pos 4
310dimension 50
311uid 105,0
312)
313*51 (MRCItem
314litem &37
315pos 5
316dimension 50
317uid 106,0
318)
319*52 (MRCItem
320litem &38
321pos 6
322dimension 80
323uid 107,0
324)
325]
326)
327fixedCol 3
328fixedRow 2
329name "Ports"
330uid 95,0
331vaOverrides [
332]
333)
334]
335)
336uid 81,0
337type 1
338)
339VExpander (VariableExpander
340vvMap [
341(vvPair
342variable "HDLDir"
343value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
344)
345(vvPair
346variable "HDSDir"
347value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
348)
349(vvPair
350variable "SideDataDesignDir"
351value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\symbol.sb.info"
352)
353(vvPair
354variable "SideDataUserDir"
355value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\symbol.sb.user"
356)
357(vvPair
358variable "SourceDir"
359value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
360)
361(vvPair
362variable "appl"
363value "HDL Designer"
364)
365(vvPair
366variable "arch_name"
367value "symbol"
368)
369(vvPair
370variable "config"
371value "%(unit)_%(view)_config"
372)
373(vvPair
374variable "d"
375value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb"
376)
377(vvPair
378variable "d_logical"
379value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb"
380)
381(vvPair
382variable "date"
383value "31.05.2011"
384)
385(vvPair
386variable "day"
387value "Di"
388)
389(vvPair
390variable "day_long"
391value "Dienstag"
392)
393(vvPair
394variable "dd"
395value "31"
396)
397(vvPair
398variable "entity_name"
399value "w5300_interface_tb"
400)
401(vvPair
402variable "ext"
403value "<TBD>"
404)
405(vvPair
406variable "f"
407value "symbol.sb"
408)
409(vvPair
410variable "f_logical"
411value "symbol.sb"
412)
413(vvPair
414variable "f_noext"
415value "symbol"
416)
417(vvPair
418variable "group"
419value "UNKNOWN"
420)
421(vvPair
422variable "host"
423value "E5B-LABOR6"
424)
425(vvPair
426variable "language"
427value "VHDL"
428)
429(vvPair
430variable "library"
431value "FACT_FAD_TB_lib"
432)
433(vvPair
434variable "library_downstream_HdsLintPlugin"
435value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
436)
437(vvPair
438variable "library_downstream_ISEPARInvoke"
439value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
440)
441(vvPair
442variable "library_downstream_ImpactInvoke"
443value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
444)
445(vvPair
446variable "library_downstream_ModelSimCompiler"
447value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
448)
449(vvPair
450variable "library_downstream_XSTDataPrep"
451value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
452)
453(vvPair
454variable "mm"
455value "05"
456)
457(vvPair
458variable "module_name"
459value "w5300_interface_tb"
460)
461(vvPair
462variable "month"
463value "Mai"
464)
465(vvPair
466variable "month_long"
467value "Mai"
468)
469(vvPair
470variable "p"
471value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\symbol.sb"
472)
473(vvPair
474variable "p_logical"
475value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tb\\symbol.sb"
476)
477(vvPair
478variable "package_name"
479value "<Undefined Variable>"
480)
481(vvPair
482variable "project_name"
483value "FACT_FAD"
484)
485(vvPair
486variable "series"
487value "HDL Designer Series"
488)
489(vvPair
490variable "task_DesignCompilerPath"
491value "<TBD>"
492)
493(vvPair
494variable "task_LeonardoPath"
495value "<TBD>"
496)
497(vvPair
498variable "task_ModelSimPath"
499value "C:\\modeltech_6.6a\\win32"
500)
501(vvPair
502variable "task_NC-SimPath"
503value "<TBD>"
504)
505(vvPair
506variable "task_PrecisionRTLPath"
507value "<TBD>"
508)
509(vvPair
510variable "task_QuestaSimPath"
511value "<TBD>"
512)
513(vvPair
514variable "task_VCSPath"
515value "<TBD>"
516)
517(vvPair
518variable "this_ext"
519value "sb"
520)
521(vvPair
522variable "this_file"
523value "symbol"
524)
525(vvPair
526variable "this_file_logical"
527value "symbol"
528)
529(vvPair
530variable "time"
531value "10:46:05"
532)
533(vvPair
534variable "unit"
535value "w5300_interface_tb"
536)
537(vvPair
538variable "user"
539value "dneise"
540)
541(vvPair
542variable "version"
543value "2009.2 (Build 10)"
544)
545(vvPair
546variable "view"
547value "symbol"
548)
549(vvPair
550variable "year"
551value "2011"
552)
553(vvPair
554variable "yy"
555value "11"
556)
557]
558)
559LanguageMgr "VhdlLangMgr"
560uid 51,0
561optionalChildren [
562*53 (SymbolBody
563uid 8,0
564shape (Rectangle
565uid 9,0
566va (VaSet
567vasetType 1
568fg "0,65535,0"
569lineColor "0,32896,0"
570lineWidth 2
571)
572xt "15000,6000,33000,26000"
573)
574biTextGroup (BiTextGroup
575uid 10,0
576ps "CenterOffsetStrategy"
577stg "VerticalLayoutStrategy"
578first (Text
579uid 11,0
580va (VaSet
581font "Arial,8,1"
582)
583xt "22200,15000,29900,16000"
584st "FACT_FAD_TB_lib"
585blo "22200,15800"
586)
587second (Text
588uid 12,0
589va (VaSet
590font "Arial,8,1"
591)
592xt "22200,16000,29900,17000"
593st "w5300_interface_tb"
594blo "22200,16800"
595)
596)
597gi *54 (GenericInterface
598uid 13,0
599ps "CenterOffsetStrategy"
600matrix (Matrix
601uid 14,0
602text (MLText
603uid 15,0
604va (VaSet
605font "Courier New,8,0"
606)
607xt "0,12000,18000,14400"
608st "Generic Declarations
609
610TIME_UNTIL_READ_READY integer 4 "
611)
612header "Generic Declarations"
613showHdrWhenContentsEmpty 1
614)
615elements [
616(GiElement
617name "TIME_UNTIL_READ_READY"
618type "integer"
619value "4"
620)
621]
622)
623portInstanceVisAsIs 1
624portInstanceVis (PortSigDisplay
625sIVOD 1
626)
627portVis (PortSigDisplay
628sIVOD 1
629)
630)
631*55 (Grouping
632uid 16,0
633optionalChildren [
634*56 (CommentText
635uid 18,0
636shape (Rectangle
637uid 19,0
638sl 0
639va (VaSet
640vasetType 1
641fg "65280,65280,46080"
642)
643xt "36000,48000,53000,49000"
644)
645oxt "18000,70000,35000,71000"
646text (MLText
647uid 20,0
648va (VaSet
649fg "0,0,32768"
650bg "0,0,32768"
651)
652xt "36200,48000,45800,49000"
653st "
654by %user on %dd %month %year
655"
656tm "CommentText"
657wrapOption 3
658visibleHeight 1000
659visibleWidth 17000
660)
661position 1
662ignorePrefs 1
663titleBlock 1
664)
665*57 (CommentText
666uid 21,0
667shape (Rectangle
668uid 22,0
669sl 0
670va (VaSet
671vasetType 1
672fg "65280,65280,46080"
673)
674xt "53000,44000,57000,45000"
675)
676oxt "35000,66000,39000,67000"
677text (MLText
678uid 23,0
679va (VaSet
680fg "0,0,32768"
681bg "0,0,32768"
682)
683xt "53200,44000,56200,45000"
684st "
685Project:
686"
687tm "CommentText"
688wrapOption 3
689visibleHeight 1000
690visibleWidth 4000
691)
692position 1
693ignorePrefs 1
694titleBlock 1
695)
696*58 (CommentText
697uid 24,0
698shape (Rectangle
699uid 25,0
700sl 0
701va (VaSet
702vasetType 1
703fg "65280,65280,46080"
704)
705xt "36000,46000,53000,47000"
706)
707oxt "18000,68000,35000,69000"
708text (MLText
709uid 26,0
710va (VaSet
711fg "0,0,32768"
712bg "0,0,32768"
713)
714xt "36200,46000,46200,47000"
715st "
716<enter diagram title here>
717"
718tm "CommentText"
719wrapOption 3
720visibleHeight 1000
721visibleWidth 17000
722)
723position 1
724ignorePrefs 1
725titleBlock 1
726)
727*59 (CommentText
728uid 27,0
729shape (Rectangle
730uid 28,0
731sl 0
732va (VaSet
733vasetType 1
734fg "65280,65280,46080"
735)
736xt "32000,46000,36000,47000"
737)
738oxt "14000,68000,18000,69000"
739text (MLText
740uid 29,0
741va (VaSet
742fg "0,0,32768"
743bg "0,0,32768"
744)
745xt "32200,46000,34300,47000"
746st "
747Title:
748"
749tm "CommentText"
750wrapOption 3
751visibleHeight 1000
752visibleWidth 4000
753)
754position 1
755ignorePrefs 1
756titleBlock 1
757)
758*60 (CommentText
759uid 30,0
760shape (Rectangle
761uid 31,0
762sl 0
763va (VaSet
764vasetType 1
765fg "65280,65280,46080"
766)
767xt "53000,45000,73000,49000"
768)
769oxt "35000,67000,55000,71000"
770text (MLText
771uid 32,0
772va (VaSet
773fg "0,0,32768"
774bg "0,0,32768"
775)
776xt "53200,45200,62400,46200"
777st "
778<enter comments here>
779"
780tm "CommentText"
781wrapOption 3
782visibleHeight 4000
783visibleWidth 20000
784)
785ignorePrefs 1
786titleBlock 1
787)
788*61 (CommentText
789uid 33,0
790shape (Rectangle
791uid 34,0
792sl 0
793va (VaSet
794vasetType 1
795fg "65280,65280,46080"
796)
797xt "57000,44000,73000,45000"
798)
799oxt "39000,66000,55000,67000"
800text (MLText
801uid 35,0
802va (VaSet
803fg "0,0,32768"
804bg "0,0,32768"
805)
806xt "57200,44000,61700,45000"
807st "
808%project_name
809"
810tm "CommentText"
811wrapOption 3
812visibleHeight 1000
813visibleWidth 16000
814)
815position 1
816ignorePrefs 1
817titleBlock 1
818)
819*62 (CommentText
820uid 36,0
821shape (Rectangle
822uid 37,0
823sl 0
824va (VaSet
825vasetType 1
826fg "65280,65280,46080"
827)
828xt "32000,44000,53000,46000"
829)
830oxt "14000,66000,35000,68000"
831text (MLText
832uid 38,0
833va (VaSet
834fg "32768,0,0"
835)
836xt "39150,44500,45850,45500"
837st "
838<company name>
839"
840ju 0
841tm "CommentText"
842wrapOption 3
843visibleHeight 2000
844visibleWidth 21000
845)
846position 1
847ignorePrefs 1
848titleBlock 1
849)
850*63 (CommentText
851uid 39,0
852shape (Rectangle
853uid 40,0
854sl 0
855va (VaSet
856vasetType 1
857fg "65280,65280,46080"
858)
859xt "32000,47000,36000,48000"
860)
861oxt "14000,69000,18000,70000"
862text (MLText
863uid 41,0
864va (VaSet
865fg "0,0,32768"
866bg "0,0,32768"
867)
868xt "32200,47000,34300,48000"
869st "
870Path:
871"
872tm "CommentText"
873wrapOption 3
874visibleHeight 1000
875visibleWidth 4000
876)
877position 1
878ignorePrefs 1
879titleBlock 1
880)
881*64 (CommentText
882uid 42,0
883shape (Rectangle
884uid 43,0
885sl 0
886va (VaSet
887vasetType 1
888fg "65280,65280,46080"
889)
890xt "32000,48000,36000,49000"
891)
892oxt "14000,70000,18000,71000"
893text (MLText
894uid 44,0
895va (VaSet
896fg "0,0,32768"
897bg "0,0,32768"
898)
899xt "32200,48000,34900,49000"
900st "
901Edited:
902"
903tm "CommentText"
904wrapOption 3
905visibleHeight 1000
906visibleWidth 4000
907)
908position 1
909ignorePrefs 1
910titleBlock 1
911)
912*65 (CommentText
913uid 45,0
914shape (Rectangle
915uid 46,0
916sl 0
917va (VaSet
918vasetType 1
919fg "65280,65280,46080"
920)
921xt "36000,47000,53000,48000"
922)
923oxt "18000,69000,35000,70000"
924text (MLText
925uid 47,0
926va (VaSet
927fg "0,0,32768"
928bg "0,0,32768"
929)
930xt "36200,47000,50900,48000"
931st "
932%library/%unit/%view
933"
934tm "CommentText"
935wrapOption 3
936visibleHeight 1000
937visibleWidth 17000
938)
939position 1
940ignorePrefs 1
941titleBlock 1
942)
943]
944shape (GroupingShape
945uid 17,0
946va (VaSet
947vasetType 1
948fg "65535,65535,65535"
949lineStyle 2
950lineWidth 2
951)
952xt "32000,44000,73000,49000"
953)
954oxt "14000,66000,55000,71000"
955)
956]
957bg "65535,65535,65535"
958grid (Grid
959origin "0,0"
960isVisible 1
961isActive 1
962xSpacing 1000
963xySpacing 1000
964xShown 1
965yShown 1
966color "26368,26368,26368"
967)
968packageList *66 (PackageList
969uid 48,0
970stg "VerticalLayoutStrategy"
971textVec [
972*67 (Text
973uid 49,0
974va (VaSet
975font "arial,8,1"
976)
977xt "0,0,5400,1000"
978st "Package List"
979blo "0,800"
980)
981*68 (MLText
982uid 50,0
983va (VaSet
984)
985xt "0,1000,10900,4000"
986tm "PackageList"
987)
988]
989)
990windowSize "0,0,1015,690"
991viewArea "0,0,0,0"
992cachedDiagramExtent "0,0,0,0"
993pageBreakOrigin "0,0"
994defaultCommentText (CommentText
995shape (Rectangle
996layer 0
997va (VaSet
998vasetType 1
999fg "65280,65280,46080"
1000lineColor "0,0,32768"
1001)
1002xt "0,0,15000,5000"
1003)
1004text (MLText
1005va (VaSet
1006fg "0,0,32768"
1007)
1008xt "200,200,2000,1200"
1009st "
1010Text
1011"
1012tm "CommentText"
1013wrapOption 3
1014visibleHeight 4600
1015visibleWidth 14600
1016)
1017)
1018defaultPanel (Panel
1019shape (RectFrame
1020va (VaSet
1021vasetType 1
1022fg "65535,65535,65535"
1023lineColor "32768,0,0"
1024lineWidth 3
1025)
1026xt "0,0,20000,20000"
1027)
1028title (TextAssociate
1029ps "TopLeftStrategy"
1030text (Text
1031va (VaSet
1032font "Arial,8,1"
1033)
1034xt "1000,1000,3800,2000"
1035st "Panel0"
1036blo "1000,1800"
1037tm "PanelText"
1038)
1039)
1040)
1041parentGraphicsRef (HdmGraphicsRef
1042libraryName ""
1043entityName ""
1044viewName ""
1045)
1046defaultSymbolBody (SymbolBody
1047shape (Rectangle
1048va (VaSet
1049vasetType 1
1050fg "0,65535,0"
1051lineColor "0,32896,0"
1052lineWidth 2
1053)
1054xt "15000,6000,33000,26000"
1055)
1056biTextGroup (BiTextGroup
1057ps "CenterOffsetStrategy"
1058stg "VerticalLayoutStrategy"
1059first (Text
1060va (VaSet
1061font "Arial,8,1"
1062)
1063xt "22200,15000,25800,16000"
1064st "<library>"
1065blo "22200,15800"
1066)
1067second (Text
1068va (VaSet
1069font "Arial,8,1"
1070)
1071xt "22200,16000,24800,17000"
1072st "<cell>"
1073blo "22200,16800"
1074)
1075)
1076gi *69 (GenericInterface
1077ps "CenterOffsetStrategy"
1078matrix (Matrix
1079text (MLText
1080va (VaSet
1081font "Courier New,8,0"
1082)
1083xt "0,12000,11500,12800"
1084st "Generic Declarations"
1085)
1086header "Generic Declarations"
1087showHdrWhenContentsEmpty 1
1088)
1089elements [
1090]
1091)
1092portInstanceVisAsIs 1
1093portInstanceVis (PortSigDisplay
1094sIVOD 1
1095)
1096portVis (PortSigDisplay
1097sIVOD 1
1098)
1099)
1100defaultCptPort (CptPort
1101ps "OnEdgeStrategy"
1102shape (Triangle
1103ro 90
1104va (VaSet
1105vasetType 1
1106fg "0,65535,0"
1107)
1108xt "0,0,750,750"
1109)
1110tg (CPTG
1111ps "CptPortTextPlaceStrategy"
1112stg "VerticalLayoutStrategy"
1113f (Text
1114va (VaSet
1115)
1116xt "0,750,1400,1750"
1117st "In0"
1118blo "0,1550"
1119tm "CptPortNameMgr"
1120)
1121)
1122dt (MLText
1123va (VaSet
1124font "Courier New,8,0"
1125)
1126)
1127thePort (LogicalPort
1128decl (Decl
1129n "In0"
1130t "std_logic_vector"
1131b "(15 DOWNTO 0)"
1132o 0
1133)
1134)
1135)
1136defaultCptPortBuffer (CptPort
1137ps "OnEdgeStrategy"
1138shape (Diamond
1139va (VaSet
1140vasetType 1
1141fg "65535,65535,65535"
1142bg "0,0,0"
1143)
1144xt "0,0,750,750"
1145)
1146tg (CPTG
1147ps "CptPortTextPlaceStrategy"
1148stg "VerticalLayoutStrategy"
1149f (Text
1150va (VaSet
1151)
1152xt "0,750,2800,1750"
1153st "Buffer0"
1154blo "0,1550"
1155tm "CptPortNameMgr"
1156)
1157)
1158dt (MLText
1159va (VaSet
1160font "Courier New,8,0"
1161)
1162)
1163thePort (LogicalPort
1164m 3
1165decl (Decl
1166n "Buffer0"
1167t "std_logic_vector"
1168b "(15 DOWNTO 0)"
1169o 0
1170)
1171)
1172)
1173DeclarativeBlock *70 (SymDeclBlock
1174uid 1,0
1175stg "SymDeclLayoutStrategy"
1176declLabel (Text
1177uid 2,0
1178va (VaSet
1179font "Arial,8,1"
1180)
1181xt "42000,0,47400,1000"
1182st "Declarations"
1183blo "42000,800"
1184)
1185portLabel (Text
1186uid 3,0
1187va (VaSet
1188font "Arial,8,1"
1189)
1190xt "42000,1000,44700,2000"
1191st "Ports:"
1192blo "42000,1800"
1193)
1194externalLabel (Text
1195uid 4,0
1196va (VaSet
1197font "Arial,8,1"
1198)
1199xt "42000,2000,44400,3000"
1200st "User:"
1201blo "42000,2800"
1202)
1203internalLabel (Text
1204uid 6,0
1205va (VaSet
1206isHidden 1
1207font "Arial,8,1"
1208)
1209xt "42000,0,47800,1000"
1210st "Internal User:"
1211blo "42000,800"
1212)
1213externalText (MLText
1214uid 5,0
1215va (VaSet
1216font "Courier New,8,0"
1217)
1218xt "44000,3000,44000,3000"
1219tm "SyDeclarativeTextMgr"
1220)
1221internalText (MLText
1222uid 7,0
1223va (VaSet
1224isHidden 1
1225font "Courier New,8,0"
1226)
1227xt "42000,0,42000,0"
1228tm "SyDeclarativeTextMgr"
1229)
1230)
1231lastUid 134,0
1232okToSyncOnLoad 1
1233OkToSyncGenericsOnLoad 1
1234activeModelName "Symbol:CDM"
1235)
Note: See TracBrowser for help on using the repository browser.