DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "IEEE" unitName "STD_LOGIC_1164" itemName "ALL" ) (DmPackageRef library "IEEE" unitName "NUMERIC_STD" itemName "ALL" ) (DmPackageRef library "ieee" unitName "std_logic_unsigned" ) ] libraryRefs [ "IEEE" ] ) version "24.1" appVersion "2009.2 (Build 10)" model (Symbol commonDM (CommonDM ldm (LogicalDM ordering 1 suid 378,0 usingSuid 1 emptyRow *1 (LEmptyRow ) uid 144,0 optionalChildren [ *2 (RefLabelRowHdr ) *3 (TitleRowHdr ) *4 (FilterRowHdr ) *5 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *6 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *7 (GroupColHdr tm "GroupColHdrMgr" ) *8 (NameColHdr tm "NameColHdrMgr" ) *9 (ModeColHdr tm "ModeColHdrMgr" ) *10 (TypeColHdr tm "TypeColHdrMgr" ) *11 (BoundsColHdr tm "BoundsColHdrMgr" ) *12 (InitColHdr tm "InitColHdrMgr" ) *13 (EolColHdr tm "EolColHdrMgr" ) *14 (LogPort port (LogicalPort m 1 decl (Decl n "addr_i" t "std_logic_vector" b "(9 DOWNTO 0)" o 10 suid 365,0 ) ) uid 3347,0 ) *15 (LogPort port (LogicalPort decl (Decl n "clk" t "std_logic" o 1 suid 366,0 ) ) uid 3349,0 ) *16 (LogPort port (LogicalPort decl (Decl n "cs" t "std_logic" o 2 suid 367,0 ) ) uid 3351,0 ) *17 (LogPort port (LogicalPort m 1 decl (Decl n "data_i" t "std_logic_vector" b "(15 DOWNTO 0)" o 11 suid 368,0 ) ) uid 3353,0 ) *18 (LogPort port (LogicalPort lang 10 decl (Decl n "data_o" t "std_logic_vector" b "(15 DOWNTO 0)" o 9 suid 369,0 ) ) uid 3355,0 ) *19 (LogPort port (LogicalPort m 1 decl (Decl n "int" t "std_logic" o 8 suid 370,0 ) ) uid 3357,0 ) *20 (LogPort port (LogicalPort decl (Decl n "rd" t "std_logic" o 3 suid 371,0 ) ) uid 3359,0 ) *21 (LogPort port (LogicalPort m 1 decl (Decl n "read_i" t "std_logic" o 12 suid 372,0 ) ) uid 3361,0 ) *22 (LogPort port (LogicalPort lang 10 decl (Decl n "ready_o" t "std_logic" o 13 suid 373,0 ) ) uid 3363,0 ) *23 (LogPort port (LogicalPort decl (Decl n "wiz_addr" t "std_logic_vector" b "(9 DOWNTO 0)" o 4 suid 374,0 ) ) uid 3365,0 ) *24 (LogPort port (LogicalPort m 2 decl (Decl n "wiz_data" t "std_logic_vector" b "(15 DOWNTO 0)" o 5 suid 375,0 ) ) uid 3367,0 ) *25 (LogPort port (LogicalPort decl (Decl n "wiz_reset" t "std_logic" o 6 suid 376,0 ) ) uid 3369,0 ) *26 (LogPort port (LogicalPort decl (Decl n "wr" t "std_logic" o 7 suid 377,0 ) ) uid 3371,0 ) *27 (LogPort port (LogicalPort m 1 decl (Decl n "write_i" t "std_logic" o 14 suid 378,0 ) ) uid 3373,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 157,0 optionalChildren [ *28 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *29 (MRCItem litem &1 pos 3 dimension 20 ) uid 159,0 optionalChildren [ *30 (MRCItem litem &2 pos 0 dimension 20 uid 160,0 ) *31 (MRCItem litem &3 pos 1 dimension 23 uid 161,0 ) *32 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 162,0 ) *33 (MRCItem litem &14 pos 0 dimension 20 uid 3348,0 ) *34 (MRCItem litem &15 pos 1 dimension 20 uid 3350,0 ) *35 (MRCItem litem &16 pos 2 dimension 20 uid 3352,0 ) *36 (MRCItem litem &17 pos 3 dimension 20 uid 3354,0 ) *37 (MRCItem litem &18 pos 4 dimension 20 uid 3356,0 ) *38 (MRCItem litem &19 pos 5 dimension 20 uid 3358,0 ) *39 (MRCItem litem &20 pos 6 dimension 20 uid 3360,0 ) *40 (MRCItem litem &21 pos 7 dimension 20 uid 3362,0 ) *41 (MRCItem litem &22 pos 8 dimension 20 uid 3364,0 ) *42 (MRCItem litem &23 pos 9 dimension 20 uid 3366,0 ) *43 (MRCItem litem &24 pos 10 dimension 20 uid 3368,0 ) *44 (MRCItem litem &25 pos 11 dimension 20 uid 3370,0 ) *45 (MRCItem litem &26 pos 12 dimension 20 uid 3372,0 ) *46 (MRCItem litem &27 pos 13 dimension 20 uid 3374,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 163,0 optionalChildren [ *47 (MRCItem litem &5 pos 0 dimension 20 uid 164,0 ) *48 (MRCItem litem &7 pos 1 dimension 50 uid 165,0 ) *49 (MRCItem litem &8 pos 2 dimension 100 uid 166,0 ) *50 (MRCItem litem &9 pos 3 dimension 50 uid 167,0 ) *51 (MRCItem litem &10 pos 4 dimension 100 uid 168,0 ) *52 (MRCItem litem &11 pos 5 dimension 100 uid 169,0 ) *53 (MRCItem litem &12 pos 6 dimension 50 uid 170,0 ) *54 (MRCItem litem &13 pos 7 dimension 80 uid 171,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 158,0 vaOverrides [ ] ) ] ) uid 143,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *55 (LEmptyRow ) uid 173,0 optionalChildren [ *56 (RefLabelRowHdr ) *57 (TitleRowHdr ) *58 (FilterRowHdr ) *59 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *60 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *61 (GroupColHdr tm "GroupColHdrMgr" ) *62 (NameColHdr tm "GenericNameColHdrMgr" ) *63 (TypeColHdr tm "GenericTypeColHdrMgr" ) *64 (InitColHdr tm "GenericValueColHdrMgr" ) *65 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *66 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 185,0 optionalChildren [ *67 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *68 (MRCItem litem &55 pos 3 dimension 20 ) uid 187,0 optionalChildren [ *69 (MRCItem litem &56 pos 0 dimension 20 uid 188,0 ) *70 (MRCItem litem &57 pos 1 dimension 23 uid 189,0 ) *71 (MRCItem litem &58 pos 2 hidden 1 dimension 20 uid 190,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 191,0 optionalChildren [ *72 (MRCItem litem &59 pos 0 dimension 20 uid 192,0 ) *73 (MRCItem litem &61 pos 1 dimension 50 uid 193,0 ) *74 (MRCItem litem &62 pos 2 dimension 100 uid 194,0 ) *75 (MRCItem litem &63 pos 3 dimension 100 uid 195,0 ) *76 (MRCItem litem &64 pos 4 dimension 50 uid 196,0 ) *77 (MRCItem litem &65 pos 5 dimension 50 uid 197,0 ) *78 (MRCItem litem &66 pos 6 dimension 80 uid 198,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 186,0 vaOverrides [ ] ) ] ) uid 172,0 type 1 ) VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" ) (vvPair variable "HDSDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface.info" ) (vvPair variable "SideDataUserDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface.user" ) (vvPair variable "SourceDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "interface" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester" ) (vvPair variable "d_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester" ) (vvPair variable "date" value "31.05.2011" ) (vvPair variable "day" value "Di" ) (vvPair variable "day_long" value "Dienstag" ) (vvPair variable "dd" value "31" ) (vvPair variable "entity_name" value "w5300_interface_tester" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "interface" ) (vvPair variable "f_logical" value "interface" ) (vvPair variable "f_noext" value "interface" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "E5B-LABOR6" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_TB_lib" ) (vvPair variable "library_downstream_HdsLintPlugin" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "mm" value "05" ) (vvPair variable "module_name" value "w5300_interface_tester" ) (vvPair variable "month" value "Mai" ) (vvPair variable "month_long" value "Mai" ) (vvPair variable "p" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface" ) (vvPair variable "p_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_interface_tester\\interface" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "C:\\modeltech_6.6a\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "" ) (vvPair variable "this_file" value "interface" ) (vvPair variable "this_file_logical" value "interface" ) (vvPair variable "time" value "13:38:06" ) (vvPair variable "unit" value "w5300_interface_tester" ) (vvPair variable "user" value "dneise" ) (vvPair variable "version" value "2009.2 (Build 10)" ) (vvPair variable "view" value "interface" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" uid 142,0 optionalChildren [ *79 (SymbolBody uid 8,0 optionalChildren [ *80 (CptPort uid 3277,0 ps "OnEdgeStrategy" shape (Triangle uid 3278,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,10625,15000,11375" ) tg (CPTG uid 3279,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3280,0 va (VaSet ) xt "16000,10500,18500,11500" st "addr_i" blo "16000,11300" tm "CptPortNameMgr" ) ) dt (MLText uid 3281,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 1 decl (Decl n "addr_i" t "std_logic_vector" b "(9 DOWNTO 0)" o 10 suid 365,0 ) ) ) *81 (CptPort uid 3282,0 ps "OnEdgeStrategy" shape (Triangle uid 3283,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,13625,15000,14375" ) tg (CPTG uid 3284,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3285,0 va (VaSet ) xt "16000,13500,17300,14500" st "clk" blo "16000,14300" tm "CptPortNameMgr" ) ) dt (MLText uid 3286,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "clk" t "std_logic" o 1 suid 366,0 ) ) ) *82 (CptPort uid 3287,0 ps "OnEdgeStrategy" shape (Triangle uid 3288,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "30000,7625,30750,8375" ) tg (CPTG uid 3289,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3290,0 va (VaSet ) xt "27800,7500,29000,8500" st "cs" ju 2 blo "29000,8300" tm "CptPortNameMgr" ) ) dt (MLText uid 3291,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "cs" t "std_logic" o 2 suid 367,0 ) ) ) *83 (CptPort uid 3292,0 ps "OnEdgeStrategy" shape (Triangle uid 3293,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,11625,15000,12375" ) tg (CPTG uid 3294,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3295,0 va (VaSet ) xt "16000,11500,18400,12500" st "data_i" blo "16000,12300" tm "CptPortNameMgr" ) ) dt (MLText uid 3296,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 1 decl (Decl n "data_i" t "std_logic_vector" b "(15 DOWNTO 0)" o 11 suid 368,0 ) ) ) *84 (CptPort uid 3297,0 ps "OnEdgeStrategy" shape (Triangle uid 3298,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "30000,12625,30750,13375" ) tg (CPTG uid 3299,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3300,0 va (VaSet ) xt "26400,12500,29000,13500" st "data_o" ju 2 blo "29000,13300" tm "CptPortNameMgr" ) ) dt (MLText uid 3301,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort lang 10 decl (Decl n "data_o" t "std_logic_vector" b "(15 DOWNTO 0)" o 9 suid 369,0 ) ) ) *85 (CptPort uid 3302,0 ps "OnEdgeStrategy" shape (Triangle uid 3303,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,7625,15000,8375" ) tg (CPTG uid 3304,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3305,0 va (VaSet ) xt "16000,7500,17200,8500" st "int" blo "16000,8300" tm "CptPortNameMgr" ) ) dt (MLText uid 3306,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 1 decl (Decl n "int" t "std_logic" o 8 suid 370,0 ) ) ) *86 (CptPort uid 3307,0 ps "OnEdgeStrategy" shape (Triangle uid 3308,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "30000,9625,30750,10375" ) tg (CPTG uid 3309,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3310,0 va (VaSet ) xt "27900,9500,29000,10500" st "rd" ju 2 blo "29000,10300" tm "CptPortNameMgr" ) ) dt (MLText uid 3311,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "rd" t "std_logic" o 3 suid 371,0 ) ) ) *87 (CptPort uid 3312,0 ps "OnEdgeStrategy" shape (Triangle uid 3313,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,8625,15000,9375" ) tg (CPTG uid 3314,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3315,0 va (VaSet ) xt "16000,8500,18500,9500" st "read_i" blo "16000,9300" tm "CptPortNameMgr" ) ) dt (MLText uid 3316,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 1 decl (Decl n "read_i" t "std_logic" o 12 suid 372,0 ) ) ) *88 (CptPort uid 3317,0 ps "OnEdgeStrategy" shape (Triangle uid 3318,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,12625,15000,13375" ) tg (CPTG uid 3319,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3320,0 va (VaSet ) xt "16000,12500,19000,13500" st "ready_o" blo "16000,13300" tm "CptPortNameMgr" ) ) dt (MLText uid 3321,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort lang 10 decl (Decl n "ready_o" t "std_logic" o 13 suid 373,0 ) ) ) *89 (CptPort uid 3322,0 ps "OnEdgeStrategy" shape (Triangle uid 3323,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "30000,11625,30750,12375" ) tg (CPTG uid 3324,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3325,0 va (VaSet ) xt "25600,11500,29000,12500" st "wiz_addr" ju 2 blo "29000,12300" tm "CptPortNameMgr" ) ) dt (MLText uid 3326,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "wiz_addr" t "std_logic_vector" b "(9 DOWNTO 0)" o 4 suid 374,0 ) ) ) *90 (CptPort uid 3327,0 ps "OnEdgeStrategy" shape (Diamond uid 3328,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "30000,10625,30750,11375" ) tg (CPTG uid 3329,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3330,0 va (VaSet ) xt "25700,10500,29000,11500" st "wiz_data" ju 2 blo "29000,11300" tm "CptPortNameMgr" ) ) dt (MLText uid 3331,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 2 decl (Decl n "wiz_data" t "std_logic_vector" b "(15 DOWNTO 0)" o 5 suid 375,0 ) ) ) *91 (CptPort uid 3332,0 ps "OnEdgeStrategy" shape (Triangle uid 3333,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "30000,6625,30750,7375" ) tg (CPTG uid 3334,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3335,0 va (VaSet ) xt "25400,6500,29000,7500" st "wiz_reset" ju 2 blo "29000,7300" tm "CptPortNameMgr" ) ) dt (MLText uid 3336,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "wiz_reset" t "std_logic" o 6 suid 376,0 ) ) ) *92 (CptPort uid 3337,0 ps "OnEdgeStrategy" shape (Triangle uid 3338,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "30000,8625,30750,9375" ) tg (CPTG uid 3339,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3340,0 va (VaSet ) xt "27800,8500,29000,9500" st "wr" ju 2 blo "29000,9300" tm "CptPortNameMgr" ) ) dt (MLText uid 3341,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "wr" t "std_logic" o 7 suid 377,0 ) ) ) *93 (CptPort uid 3342,0 ps "OnEdgeStrategy" shape (Triangle uid 3343,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,9625,15000,10375" ) tg (CPTG uid 3344,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3345,0 va (VaSet ) xt "16000,9500,18600,10500" st "write_i" blo "16000,10300" tm "CptPortNameMgr" ) ) dt (MLText uid 3346,0 va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 1 decl (Decl n "write_i" t "std_logic" o 14 suid 378,0 ) ) ) ] shape (Rectangle uid 9,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,6000,30000,15000" ) oxt "15000,6000,30000,14000" biTextGroup (BiTextGroup uid 10,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text uid 11,0 va (VaSet font "Arial,8,1" ) xt "17800,9500,25500,10500" st "FACT_FAD_TB_lib" blo "17800,10300" ) second (Text uid 12,0 va (VaSet font "Arial,8,1" ) xt "17800,10500,27200,11500" st "w5300_interface_tester" blo "17800,11300" ) ) gi *94 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix uid 14,0 text (MLText uid 15,0 va (VaSet font "Courier New,8,0" ) xt "-1500,6500,10000,7300" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sTC 0 sF 0 ) portVis (PortSigDisplay sTC 0 sF 0 ) ) *95 (Grouping uid 16,0 optionalChildren [ *96 (CommentText uid 18,0 shape (Rectangle uid 19,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,48000,53000,49000" ) oxt "18000,70000,35000,71000" text (MLText uid 20,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,48000,45800,49000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *97 (CommentText uid 21,0 shape (Rectangle uid 22,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "53000,44000,57000,45000" ) oxt "35000,66000,39000,67000" text (MLText uid 23,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "53200,44000,56200,45000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *98 (CommentText uid 24,0 shape (Rectangle uid 25,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,46000,53000,47000" ) oxt "18000,68000,35000,69000" text (MLText uid 26,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,46000,46200,47000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *99 (CommentText uid 27,0 shape (Rectangle uid 28,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,46000,36000,47000" ) oxt "14000,68000,18000,69000" text (MLText uid 29,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,46000,34300,47000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *100 (CommentText uid 30,0 shape (Rectangle uid 31,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "53000,45000,73000,49000" ) oxt "35000,67000,55000,71000" text (MLText uid 32,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "53200,45200,62400,46200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *101 (CommentText uid 33,0 shape (Rectangle uid 34,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "57000,44000,73000,45000" ) oxt "39000,66000,55000,67000" text (MLText uid 35,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "57200,44000,61700,45000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *102 (CommentText uid 36,0 shape (Rectangle uid 37,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,44000,53000,46000" ) oxt "14000,66000,35000,68000" text (MLText uid 38,0 va (VaSet fg "32768,0,0" ) xt "39150,44500,45850,45500" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *103 (CommentText uid 39,0 shape (Rectangle uid 40,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,47000,36000,48000" ) oxt "14000,69000,18000,70000" text (MLText uid 41,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,47000,34300,48000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *104 (CommentText uid 42,0 shape (Rectangle uid 43,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,48000,36000,49000" ) oxt "14000,70000,18000,71000" text (MLText uid 44,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,48000,34900,49000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *105 (CommentText uid 45,0 shape (Rectangle uid 46,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,47000,53000,48000" ) oxt "18000,69000,35000,70000" text (MLText uid 47,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,47000,52200,48000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 17,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "32000,44000,73000,49000" ) oxt "14000,66000,55000,71000" ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *106 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ *107 (Text uid 49,0 va (VaSet font "arial,8,1" ) xt "0,0,5400,1000" st "Package List" blo "0,800" ) *108 (MLText uid 50,0 va (VaSet ) xt "0,1000,13000,5000" st "LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; USE ieee.std_logic_unsigned.all;" tm "PackageList" ) ] ) windowSize "0,0,1015,690" viewArea "0,0,0,0" cachedDiagramExtent "0,0,0,0" pageBreakOrigin "0,0" defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2000,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) parentGraphicsRef (HdmGraphicsRef libraryName "FACT_FAD_TB_lib" entityName "w5300_interface_tb" viewName "struct.bd" ) defaultSymbolBody (SymbolBody shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,6000,33000,26000" ) biTextGroup (BiTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet font "Arial,8,1" ) xt "22200,15000,25800,16000" st "" blo "22200,15800" ) second (Text va (VaSet font "Arial,8,1" ) xt "22200,16000,24800,17000" st "" blo "22200,16800" ) ) gi *109 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "0,12000,11500,12800" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) defaultCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1400,1750" st "In0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "In0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) defaultCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,2800,1750" st "Buffer0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 3 decl (Decl n "Buffer0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) DeclarativeBlock *110 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text uid 2,0 va (VaSet font "Arial,8,1" ) xt "42000,0,47400,1000" st "Declarations" blo "42000,800" ) portLabel (Text uid 3,0 va (VaSet font "Arial,8,1" ) xt "42000,1000,44700,2000" st "Ports:" blo "42000,1800" ) externalLabel (Text uid 4,0 va (VaSet font "Arial,8,1" ) xt "42000,12400,44400,13400" st "User:" blo "42000,13200" ) internalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "42000,0,47800,1000" st "Internal User:" blo "42000,800" ) externalText (MLText uid 5,0 va (VaSet font "Courier New,8,0" ) xt "44000,13400,44000,13400" tm "SyDeclarativeTextMgr" ) internalText (MLText uid 7,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) lastUid 3374,0 activeModelName "Symbol:CDM" )