source: firmware/FAD/FACT_FAD_TB_lib/hds/w5300_modul2_tb/struct.bd

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 117.2 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "FACT_FAD_lib"
22unitName "fad_definitions"
23itemName "ALL"
24)
25]
26instances [
27(Instance
28name "inst_w5300_mod2"
29duLibraryName "FACT_FAD_lib"
30duName "w5300_modul2"
31elements [
32]
33mwi 0
34uid 211,0
35)
36(Instance
37name "U_1"
38duLibraryName "FACT_FAD_TB_lib"
39duName "w5300_modul2_tester"
40elements [
41]
42mwi 0
43uid 621,0
44)
45(Instance
46name "U_2"
47duLibraryName "FACT_FAD_TB_lib"
48duName "clock_generator"
49elements [
50(GiElement
51name "clock_period"
52type "time"
53value "20 ns"
54)
55(GiElement
56name "reset_time"
57type "time"
58value "50 ns"
59)
60]
61mwi 0
62uid 1542,0
63)
64(Instance
65name "U_0"
66duLibraryName "FACT_FAD_TB_lib"
67duName "w5300_emulator"
68elements [
69]
70mwi 0
71uid 2558,0
72)
73]
74libraryRefs [
75"IEEE"
76"FACT_FAD_lib"
77]
78)
79version "29.1"
80appVersion "2009.2 (Build 10)"
81noEmbeddedEditors 1
82model (BlockDiag
83VExpander (VariableExpander
84vvMap [
85(vvPair
86variable "HDLDir"
87value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
88)
89(vvPair
90variable "HDSDir"
91value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
92)
93(vvPair
94variable "SideDataDesignDir"
95value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd.info"
96)
97(vvPair
98variable "SideDataUserDir"
99value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd.user"
100)
101(vvPair
102variable "SourceDir"
103value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
104)
105(vvPair
106variable "appl"
107value "HDL Designer"
108)
109(vvPair
110variable "arch_name"
111value "struct"
112)
113(vvPair
114variable "config"
115value "%(unit)_%(view)_config"
116)
117(vvPair
118variable "d"
119value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb"
120)
121(vvPair
122variable "d_logical"
123value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb"
124)
125(vvPair
126variable "date"
127value "01.06.2011"
128)
129(vvPair
130variable "day"
131value "Mi"
132)
133(vvPair
134variable "day_long"
135value "Mittwoch"
136)
137(vvPair
138variable "dd"
139value "01"
140)
141(vvPair
142variable "entity_name"
143value "w5300_modul2_tb"
144)
145(vvPair
146variable "ext"
147value "<TBD>"
148)
149(vvPair
150variable "f"
151value "struct.bd"
152)
153(vvPair
154variable "f_logical"
155value "struct.bd"
156)
157(vvPair
158variable "f_noext"
159value "struct"
160)
161(vvPair
162variable "group"
163value "UNKNOWN"
164)
165(vvPair
166variable "host"
167value "E5B-LABOR6"
168)
169(vvPair
170variable "language"
171value "VHDL"
172)
173(vvPair
174variable "library"
175value "FACT_FAD_TB_lib"
176)
177(vvPair
178variable "library_downstream_HdsLintPlugin"
179value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
180)
181(vvPair
182variable "library_downstream_ISEPARInvoke"
183value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
184)
185(vvPair
186variable "library_downstream_ImpactInvoke"
187value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
188)
189(vvPair
190variable "library_downstream_ModelSimCompiler"
191value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
192)
193(vvPair
194variable "library_downstream_XSTDataPrep"
195value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
196)
197(vvPair
198variable "mm"
199value "06"
200)
201(vvPair
202variable "module_name"
203value "w5300_modul2_tb"
204)
205(vvPair
206variable "month"
207value "Jun"
208)
209(vvPair
210variable "month_long"
211value "Juni"
212)
213(vvPair
214variable "p"
215value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd"
216)
217(vvPair
218variable "p_logical"
219value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd"
220)
221(vvPair
222variable "package_name"
223value "<Undefined Variable>"
224)
225(vvPair
226variable "project_name"
227value "FACT_FAD"
228)
229(vvPair
230variable "series"
231value "HDL Designer Series"
232)
233(vvPair
234variable "task_DesignCompilerPath"
235value "<TBD>"
236)
237(vvPair
238variable "task_LeonardoPath"
239value "<TBD>"
240)
241(vvPair
242variable "task_ModelSimPath"
243value "C:\\modeltech_6.6a\\win32"
244)
245(vvPair
246variable "task_NC-SimPath"
247value "<TBD>"
248)
249(vvPair
250variable "task_PrecisionRTLPath"
251value "<TBD>"
252)
253(vvPair
254variable "task_QuestaSimPath"
255value "<TBD>"
256)
257(vvPair
258variable "task_VCSPath"
259value "<TBD>"
260)
261(vvPair
262variable "this_ext"
263value "bd"
264)
265(vvPair
266variable "this_file"
267value "struct"
268)
269(vvPair
270variable "this_file_logical"
271value "struct"
272)
273(vvPair
274variable "time"
275value "09:27:27"
276)
277(vvPair
278variable "unit"
279value "w5300_modul2_tb"
280)
281(vvPair
282variable "user"
283value "dneise"
284)
285(vvPair
286variable "version"
287value "2009.2 (Build 10)"
288)
289(vvPair
290variable "view"
291value "struct"
292)
293(vvPair
294variable "year"
295value "2011"
296)
297(vvPair
298variable "yy"
299value "11"
300)
301]
302)
303LanguageMgr "VhdlLangMgr"
304uid 1174,0
305optionalChildren [
306*1 (SaComponent
307uid 211,0
308optionalChildren [
309*2 (CptPort
310uid 11,0
311ps "OnEdgeStrategy"
312shape (Triangle
313uid 12,0
314ro 90
315va (VaSet
316vasetType 1
317fg "0,65535,0"
318)
319xt "34000,46625,34750,47375"
320)
321tg (CPTG
322uid 13,0
323ps "CptPortTextPlaceStrategy"
324stg "RightVerticalLayoutStrategy"
325f (Text
326uid 14,0
327va (VaSet
328)
329xt "28400,46500,33000,47500"
330st "state : (7:0)"
331ju 2
332blo "33000,47300"
333)
334)
335thePort (LogicalPort
336m 1
337decl (Decl
338n "state"
339t "std_logic_vector"
340b "(7 DOWNTO 0)"
341o 1
342)
343)
344)
345*3 (CptPort
346uid 15,0
347ps "OnEdgeStrategy"
348shape (Triangle
349uid 16,0
350ro 90
351va (VaSet
352vasetType 1
353fg "0,65535,0"
354)
355xt "34000,47625,34750,48375"
356)
357tg (CPTG
358uid 17,0
359ps "CptPortTextPlaceStrategy"
360stg "RightVerticalLayoutStrategy"
361f (Text
362uid 18,0
363va (VaSet
364)
365xt "23900,47500,33000,48500"
366st "debug_data_ram_empty"
367ju 2
368blo "33000,48300"
369)
370)
371thePort (LogicalPort
372m 1
373decl (Decl
374n "debug_data_ram_empty"
375t "std_logic"
376o 2
377)
378)
379)
380*4 (CptPort
381uid 19,0
382ps "OnEdgeStrategy"
383shape (Triangle
384uid 20,0
385ro 90
386va (VaSet
387vasetType 1
388fg "0,65535,0"
389)
390xt "34000,48625,34750,49375"
391)
392tg (CPTG
393uid 21,0
394ps "CptPortTextPlaceStrategy"
395stg "RightVerticalLayoutStrategy"
396f (Text
397uid 22,0
398va (VaSet
399)
400xt "26400,48500,33000,49500"
401st "debug_data_valid"
402ju 2
403blo "33000,49300"
404)
405)
406thePort (LogicalPort
407m 1
408decl (Decl
409n "debug_data_valid"
410t "std_logic"
411o 3
412)
413)
414)
415*5 (CptPort
416uid 23,0
417ps "OnEdgeStrategy"
418shape (Triangle
419uid 24,0
420ro 90
421va (VaSet
422vasetType 1
423fg "0,65535,0"
424)
425xt "-750,46625,0,47375"
426)
427tg (CPTG
428uid 25,0
429ps "CptPortTextPlaceStrategy"
430stg "VerticalLayoutStrategy"
431f (Text
432uid 26,0
433va (VaSet
434)
435xt "1000,46500,9500,47500"
436st "data_generator_idle_i"
437blo "1000,47300"
438)
439)
440thePort (LogicalPort
441decl (Decl
442n "data_generator_idle_i"
443t "std_logic"
444o 4
445)
446)
447)
448*6 (CptPort
449uid 31,0
450ps "OnEdgeStrategy"
451shape (Triangle
452uid 32,0
453ro 90
454va (VaSet
455vasetType 1
456fg "0,65535,0"
457)
458xt "-750,47625,0,48375"
459)
460tg (CPTG
461uid 33,0
462ps "CptPortTextPlaceStrategy"
463stg "VerticalLayoutStrategy"
464f (Text
465uid 34,0
466va (VaSet
467)
468xt "1000,47500,2300,48500"
469st "clk"
470blo "1000,48300"
471)
472)
473thePort (LogicalPort
474decl (Decl
475n "clk"
476t "std_logic"
477o 5
478)
479)
480)
481*7 (CptPort
482uid 35,0
483ps "OnEdgeStrategy"
484shape (Triangle
485uid 36,0
486ro 90
487va (VaSet
488vasetType 1
489fg "0,65535,0"
490)
491xt "34000,50625,34750,51375"
492)
493tg (CPTG
494uid 37,0
495ps "CptPortTextPlaceStrategy"
496stg "RightVerticalLayoutStrategy"
497f (Text
498uid 38,0
499va (VaSet
500)
501xt "29400,50500,33000,51500"
502st "wiz_reset"
503ju 2
504blo "33000,51300"
505)
506)
507thePort (LogicalPort
508m 1
509decl (Decl
510n "wiz_reset"
511t "std_logic"
512o 6
513i "'1'"
514)
515)
516)
517*8 (CptPort
518uid 39,0
519ps "OnEdgeStrategy"
520shape (Triangle
521uid 40,0
522ro 90
523va (VaSet
524vasetType 1
525fg "0,65535,0"
526)
527xt "34000,51625,34750,52375"
528)
529tg (CPTG
530uid 41,0
531ps "CptPortTextPlaceStrategy"
532stg "RightVerticalLayoutStrategy"
533f (Text
534uid 42,0
535va (VaSet
536)
537xt "28500,51500,33000,52500"
538st "addr : (9:0)"
539ju 2
540blo "33000,52300"
541)
542)
543thePort (LogicalPort
544m 1
545decl (Decl
546n "addr"
547t "std_logic_vector"
548b "(9 DOWNTO 0)"
549o 7
550)
551)
552)
553*9 (CptPort
554uid 43,0
555ps "OnEdgeStrategy"
556shape (Diamond
557uid 44,0
558ro 90
559va (VaSet
560vasetType 1
561fg "0,65535,0"
562)
563xt "34000,52625,34750,53375"
564)
565tg (CPTG
566uid 45,0
567ps "CptPortTextPlaceStrategy"
568stg "RightVerticalLayoutStrategy"
569f (Text
570uid 46,0
571va (VaSet
572)
573xt "28200,52500,33000,53500"
574st "data : (15:0)"
575ju 2
576blo "33000,53300"
577)
578)
579thePort (LogicalPort
580m 2
581decl (Decl
582n "data"
583t "std_logic_vector"
584b "(15 DOWNTO 0)"
585o 8
586)
587)
588)
589*10 (CptPort
590uid 47,0
591ps "OnEdgeStrategy"
592shape (Triangle
593uid 48,0
594ro 90
595va (VaSet
596vasetType 1
597fg "0,65535,0"
598)
599xt "34000,53625,34750,54375"
600)
601tg (CPTG
602uid 49,0
603ps "CptPortTextPlaceStrategy"
604stg "RightVerticalLayoutStrategy"
605f (Text
606uid 50,0
607va (VaSet
608)
609xt "31800,53500,33000,54500"
610st "cs"
611ju 2
612blo "33000,54300"
613)
614)
615thePort (LogicalPort
616m 1
617decl (Decl
618n "cs"
619t "std_logic"
620o 9
621i "'1'"
622)
623)
624)
625*11 (CptPort
626uid 51,0
627ps "OnEdgeStrategy"
628shape (Triangle
629uid 52,0
630ro 90
631va (VaSet
632vasetType 1
633fg "0,65535,0"
634)
635xt "34000,54625,34750,55375"
636)
637tg (CPTG
638uid 53,0
639ps "CptPortTextPlaceStrategy"
640stg "RightVerticalLayoutStrategy"
641f (Text
642uid 54,0
643va (VaSet
644)
645xt "31800,54500,33000,55500"
646st "wr"
647ju 2
648blo "33000,55300"
649)
650)
651thePort (LogicalPort
652m 1
653decl (Decl
654n "wr"
655t "std_logic"
656o 10
657i "'1'"
658)
659)
660)
661*12 (CptPort
662uid 55,0
663ps "OnEdgeStrategy"
664shape (Triangle
665uid 56,0
666ro 90
667va (VaSet
668vasetType 1
669fg "0,65535,0"
670)
671xt "34000,55625,34750,56375"
672)
673tg (CPTG
674uid 57,0
675ps "CptPortTextPlaceStrategy"
676stg "RightVerticalLayoutStrategy"
677f (Text
678uid 58,0
679va (VaSet
680)
681xt "31900,55500,33000,56500"
682st "rd"
683ju 2
684blo "33000,56300"
685)
686)
687thePort (LogicalPort
688m 1
689decl (Decl
690n "rd"
691t "std_logic"
692o 11
693i "'1'"
694)
695)
696)
697*13 (CptPort
698uid 63,0
699ps "OnEdgeStrategy"
700shape (Triangle
701uid 64,0
702ro 90
703va (VaSet
704vasetType 1
705fg "0,65535,0"
706)
707xt "-750,48625,0,49375"
708)
709tg (CPTG
710uid 65,0
711ps "CptPortTextPlaceStrategy"
712stg "VerticalLayoutStrategy"
713f (Text
714uid 66,0
715va (VaSet
716)
717xt "1000,48500,2200,49500"
718st "int"
719blo "1000,49300"
720)
721)
722thePort (LogicalPort
723decl (Decl
724n "int"
725t "std_logic"
726o 12
727)
728)
729)
730*14 (CptPort
731uid 67,0
732ps "OnEdgeStrategy"
733shape (Triangle
734uid 68,0
735ro 90
736va (VaSet
737vasetType 1
738fg "0,65535,0"
739)
740xt "-750,49625,0,50375"
741)
742tg (CPTG
743uid 69,0
744ps "CptPortTextPlaceStrategy"
745stg "VerticalLayoutStrategy"
746f (Text
747uid 70,0
748va (VaSet
749)
750xt "1000,49500,8900,50500"
751st "write_length : (16:0)"
752blo "1000,50300"
753)
754)
755thePort (LogicalPort
756decl (Decl
757n "write_length"
758t "std_logic_vector"
759b "(16 DOWNTO 0)"
760o 13
761)
762)
763)
764*15 (CptPort
765uid 71,0
766ps "OnEdgeStrategy"
767shape (Triangle
768uid 72,0
769ro 90
770va (VaSet
771vasetType 1
772fg "0,65535,0"
773)
774xt "-750,50625,0,51375"
775)
776tg (CPTG
777uid 73,0
778ps "CptPortTextPlaceStrategy"
779stg "VerticalLayoutStrategy"
780f (Text
781uid 74,0
782va (VaSet
783)
784xt "1000,50500,20400,51500"
785st "ram_start_addr : (W5300_RAM_ADDR_WIDTH-1:0)"
786blo "1000,51300"
787)
788)
789thePort (LogicalPort
790decl (Decl
791n "ram_start_addr"
792t "std_logic_vector"
793b "(W5300_RAM_ADDR_WIDTH-1 DOWNTO 0)"
794o 14
795)
796)
797)
798*16 (CptPort
799uid 75,0
800ps "OnEdgeStrategy"
801shape (Triangle
802uid 76,0
803ro 90
804va (VaSet
805vasetType 1
806fg "0,65535,0"
807)
808xt "-750,51625,0,52375"
809)
810tg (CPTG
811uid 77,0
812ps "CptPortTextPlaceStrategy"
813stg "VerticalLayoutStrategy"
814f (Text
815uid 78,0
816va (VaSet
817)
818xt "1000,51500,7500,52500"
819st "ram_data : (15:0)"
820blo "1000,52300"
821)
822)
823thePort (LogicalPort
824decl (Decl
825n "ram_data"
826t "std_logic_vector"
827b "(15 DOWNTO 0)"
828o 15
829)
830)
831)
832*17 (CptPort
833uid 79,0
834ps "OnEdgeStrategy"
835shape (Triangle
836uid 80,0
837ro 90
838va (VaSet
839vasetType 1
840fg "0,65535,0"
841)
842xt "34000,57625,34750,58375"
843)
844tg (CPTG
845uid 81,0
846ps "CptPortTextPlaceStrategy"
847stg "RightVerticalLayoutStrategy"
848f (Text
849uid 82,0
850va (VaSet
851)
852xt "15900,57500,33000,58500"
853st "ram_addr : (W5300_RAM_ADDR_WIDTH-1:0)"
854ju 2
855blo "33000,58300"
856)
857)
858thePort (LogicalPort
859m 1
860decl (Decl
861n "ram_addr"
862t "std_logic_vector"
863b "(W5300_RAM_ADDR_WIDTH-1 DOWNTO 0)"
864o 16
865)
866)
867)
868*18 (CptPort
869uid 83,0
870ps "OnEdgeStrategy"
871shape (Triangle
872uid 84,0
873ro 90
874va (VaSet
875vasetType 1
876fg "0,65535,0"
877)
878xt "-750,52625,0,53375"
879)
880tg (CPTG
881uid 85,0
882ps "CptPortTextPlaceStrategy"
883stg "VerticalLayoutStrategy"
884f (Text
885uid 86,0
886va (VaSet
887)
888xt "1000,52500,5100,53500"
889st "data_valid"
890blo "1000,53300"
891)
892)
893thePort (LogicalPort
894decl (Decl
895n "data_valid"
896t "std_logic"
897o 17
898)
899)
900)
901*19 (CptPort
902uid 87,0
903ps "OnEdgeStrategy"
904shape (Triangle
905uid 88,0
906ro 90
907va (VaSet
908vasetType 1
909fg "0,65535,0"
910)
911xt "34000,58625,34750,59375"
912)
913tg (CPTG
914uid 89,0
915ps "CptPortTextPlaceStrategy"
916stg "RightVerticalLayoutStrategy"
917f (Text
918uid 90,0
919va (VaSet
920)
921xt "27400,58500,33000,59500"
922st "data_valid_ack"
923ju 2
924blo "33000,59300"
925)
926)
927thePort (LogicalPort
928m 1
929decl (Decl
930n "data_valid_ack"
931t "std_logic"
932o 18
933i "'0'"
934)
935)
936)
937*20 (CptPort
938uid 91,0
939ps "OnEdgeStrategy"
940shape (Triangle
941uid 92,0
942ro 90
943va (VaSet
944vasetType 1
945fg "0,65535,0"
946)
947xt "34000,59625,34750,60375"
948)
949tg (CPTG
950uid 93,0
951ps "CptPortTextPlaceStrategy"
952stg "RightVerticalLayoutStrategy"
953f (Text
954uid 94,0
955va (VaSet
956)
957xt "31100,59500,33000,60500"
958st "busy"
959ju 2
960blo "33000,60300"
961)
962)
963thePort (LogicalPort
964m 1
965decl (Decl
966n "busy"
967t "std_logic"
968o 19
969i "'1'"
970)
971)
972)
973*21 (CptPort
974uid 95,0
975ps "OnEdgeStrategy"
976shape (Triangle
977uid 96,0
978ro 90
979va (VaSet
980vasetType 1
981fg "0,65535,0"
982)
983xt "-750,53625,0,54375"
984)
985tg (CPTG
986uid 97,0
987ps "CptPortTextPlaceStrategy"
988stg "VerticalLayoutStrategy"
989f (Text
990uid 98,0
991va (VaSet
992)
993xt "1000,53500,7800,54500"
994st "write_header_flag"
995blo "1000,54300"
996)
997)
998thePort (LogicalPort
999decl (Decl
1000n "write_header_flag"
1001t "std_logic"
1002o 20
1003)
1004)
1005)
1006*22 (CptPort
1007uid 99,0
1008ps "OnEdgeStrategy"
1009shape (Triangle
1010uid 100,0
1011ro 90
1012va (VaSet
1013vasetType 1
1014fg "0,65535,0"
1015)
1016xt "-750,54625,0,55375"
1017)
1018tg (CPTG
1019uid 101,0
1020ps "CptPortTextPlaceStrategy"
1021stg "VerticalLayoutStrategy"
1022f (Text
1023uid 102,0
1024va (VaSet
1025)
1026xt "1000,54500,6700,55500"
1027st "write_end_flag"
1028blo "1000,55300"
1029)
1030)
1031thePort (LogicalPort
1032decl (Decl
1033n "write_end_flag"
1034t "std_logic"
1035o 21
1036)
1037)
1038)
1039*23 (CptPort
1040uid 103,0
1041ps "OnEdgeStrategy"
1042shape (Triangle
1043uid 104,0
1044ro 90
1045va (VaSet
1046vasetType 1
1047fg "0,65535,0"
1048)
1049xt "-750,55625,0,56375"
1050)
1051tg (CPTG
1052uid 105,0
1053ps "CptPortTextPlaceStrategy"
1054stg "VerticalLayoutStrategy"
1055f (Text
1056uid 106,0
1057va (VaSet
1058)
1059xt "1000,55500,8800,56500"
1060st "fifo_channels : (3:0)"
1061blo "1000,56300"
1062)
1063)
1064thePort (LogicalPort
1065decl (Decl
1066n "fifo_channels"
1067t "std_logic_vector"
1068b "(3 downto 0)"
1069o 22
1070)
1071)
1072)
1073*24 (CptPort
1074uid 107,0
1075ps "OnEdgeStrategy"
1076shape (Triangle
1077uid 108,0
1078ro 90
1079va (VaSet
1080vasetType 1
1081fg "0,65535,0"
1082)
1083xt "34000,60625,34750,61375"
1084)
1085tg (CPTG
1086uid 109,0
1087ps "CptPortTextPlaceStrategy"
1088stg "RightVerticalLayoutStrategy"
1089f (Text
1090uid 110,0
1091va (VaSet
1092)
1093xt "29400,60500,33000,61500"
1094st "s_trigger"
1095ju 2
1096blo "33000,61300"
1097)
1098)
1099thePort (LogicalPort
1100m 1
1101decl (Decl
1102n "s_trigger"
1103t "std_logic"
1104o 23
1105i "'0'"
1106)
1107)
1108)
1109*25 (CptPort
1110uid 111,0
1111ps "OnEdgeStrategy"
1112shape (Triangle
1113uid 112,0
1114ro 90
1115va (VaSet
1116vasetType 1
1117fg "0,65535,0"
1118)
1119xt "34000,61625,34750,62375"
1120)
1121tg (CPTG
1122uid 113,0
1123ps "CptPortTextPlaceStrategy"
1124stg "RightVerticalLayoutStrategy"
1125f (Text
1126uid 114,0
1127va (VaSet
1128)
1129xt "26400,61500,33000,62500"
1130st "c_trigger_enable"
1131ju 2
1132blo "33000,62300"
1133)
1134)
1135thePort (LogicalPort
1136m 1
1137decl (Decl
1138n "c_trigger_enable"
1139t "std_logic"
1140o 24
1141i "'0'"
1142)
1143)
1144)
1145*26 (CptPort
1146uid 115,0
1147ps "OnEdgeStrategy"
1148shape (Triangle
1149uid 116,0
1150ro 90
1151va (VaSet
1152vasetType 1
1153fg "0,65535,0"
1154)
1155xt "34000,62625,34750,63375"
1156)
1157tg (CPTG
1158uid 117,0
1159ps "CptPortTextPlaceStrategy"
1160stg "RightVerticalLayoutStrategy"
1161f (Text
1162uid 118,0
1163va (VaSet
1164)
1165xt "24200,62500,33000,63500"
1166st "c_trigger_mult : (15:0)"
1167ju 2
1168blo "33000,63300"
1169)
1170)
1171thePort (LogicalPort
1172m 1
1173decl (Decl
1174n "c_trigger_mult"
1175t "std_logic_vector"
1176b "(15 DOWNTO 0)"
1177o 25
1178i "conv_std_logic_vector(0 ,16)"
1179)
1180)
1181)
1182*27 (CptPort
1183uid 119,0
1184ps "OnEdgeStrategy"
1185shape (Triangle
1186uid 120,0
1187ro 90
1188va (VaSet
1189vasetType 1
1190fg "0,65535,0"
1191)
1192xt "34000,63625,34750,64375"
1193)
1194tg (CPTG
1195uid 121,0
1196ps "CptPortTextPlaceStrategy"
1197stg "RightVerticalLayoutStrategy"
1198f (Text
1199uid 122,0
1200va (VaSet
1201)
1202xt "20600,63500,33000,64500"
1203st "memory_manager_config_start_o"
1204ju 2
1205blo "33000,64300"
1206)
1207)
1208thePort (LogicalPort
1209m 1
1210decl (Decl
1211n "memory_manager_config_start_o"
1212t "std_logic"
1213o 26
1214i "'0'"
1215)
1216)
1217)
1218*28 (CptPort
1219uid 123,0
1220ps "OnEdgeStrategy"
1221shape (Triangle
1222uid 124,0
1223ro 90
1224va (VaSet
1225vasetType 1
1226fg "0,65535,0"
1227)
1228xt "-750,56625,0,57375"
1229)
1230tg (CPTG
1231uid 125,0
1232ps "CptPortTextPlaceStrategy"
1233stg "VerticalLayoutStrategy"
1234f (Text
1235uid 126,0
1236va (VaSet
1237)
1238xt "1000,56500,13200,57500"
1239st "memory_manager_config_valid_i"
1240blo "1000,57300"
1241)
1242)
1243thePort (LogicalPort
1244decl (Decl
1245n "memory_manager_config_valid_i"
1246t "std_logic"
1247o 27
1248)
1249)
1250)
1251*29 (CptPort
1252uid 127,0
1253ps "OnEdgeStrategy"
1254shape (Triangle
1255uid 128,0
1256ro 90
1257va (VaSet
1258vasetType 1
1259fg "0,65535,0"
1260)
1261xt "34000,64625,34750,65375"
1262)
1263tg (CPTG
1264uid 129,0
1265ps "CptPortTextPlaceStrategy"
1266stg "RightVerticalLayoutStrategy"
1267f (Text
1268uid 130,0
1269va (VaSet
1270)
1271xt "22300,64500,33000,65500"
1272st "spi_interface_config_start_o"
1273ju 2
1274blo "33000,65300"
1275)
1276)
1277thePort (LogicalPort
1278m 1
1279decl (Decl
1280n "spi_interface_config_start_o"
1281t "std_logic"
1282o 28
1283i "'0'"
1284)
1285)
1286)
1287*30 (CptPort
1288uid 131,0
1289ps "OnEdgeStrategy"
1290shape (Triangle
1291uid 132,0
1292ro 90
1293va (VaSet
1294vasetType 1
1295fg "0,65535,0"
1296)
1297xt "-750,57625,0,58375"
1298)
1299tg (CPTG
1300uid 133,0
1301ps "CptPortTextPlaceStrategy"
1302stg "VerticalLayoutStrategy"
1303f (Text
1304uid 134,0
1305va (VaSet
1306)
1307xt "1000,57500,11500,58500"
1308st "spi_interface_config_valid_i"
1309blo "1000,58300"
1310)
1311)
1312thePort (LogicalPort
1313decl (Decl
1314n "spi_interface_config_valid_i"
1315t "std_logic"
1316o 29
1317)
1318)
1319)
1320*31 (CptPort
1321uid 135,0
1322ps "OnEdgeStrategy"
1323shape (Triangle
1324uid 136,0
1325ro 90
1326va (VaSet
1327vasetType 1
1328fg "0,65535,0"
1329)
1330xt "34000,65625,34750,66375"
1331)
1332tg (CPTG
1333uid 137,0
1334ps "CptPortTextPlaceStrategy"
1335stg "RightVerticalLayoutStrategy"
1336f (Text
1337uid 138,0
1338va (VaSet
1339)
1340xt "28300,65500,33000,66500"
1341st "dac_setting"
1342ju 2
1343blo "33000,66300"
1344)
1345)
1346thePort (LogicalPort
1347m 1
1348decl (Decl
1349n "dac_setting"
1350t "dac_array_type"
1351o 30
1352i "DEFAULT_DAC"
1353)
1354)
1355)
1356*32 (CptPort
1357uid 139,0
1358ps "OnEdgeStrategy"
1359shape (Triangle
1360uid 140,0
1361ro 90
1362va (VaSet
1363vasetType 1
1364fg "0,65535,0"
1365)
1366xt "34000,66625,34750,67375"
1367)
1368tg (CPTG
1369uid 141,0
1370ps "CptPortTextPlaceStrategy"
1371stg "RightVerticalLayoutStrategy"
1372f (Text
1373uid 142,0
1374va (VaSet
1375)
1376xt "28600,66500,33000,67500"
1377st "roi_setting"
1378ju 2
1379blo "33000,67300"
1380)
1381)
1382thePort (LogicalPort
1383m 1
1384decl (Decl
1385n "roi_setting"
1386t "roi_array_type"
1387o 31
1388i "DEFAULT_ROI"
1389)
1390)
1391)
1392*33 (CptPort
1393uid 143,0
1394ps "OnEdgeStrategy"
1395shape (Triangle
1396uid 144,0
1397ro 90
1398va (VaSet
1399vasetType 1
1400fg "0,65535,0"
1401)
1402xt "34000,67625,34750,68375"
1403)
1404tg (CPTG
1405uid 145,0
1406ps "CptPortTextPlaceStrategy"
1407stg "RightVerticalLayoutStrategy"
1408f (Text
1409uid 146,0
1410va (VaSet
1411)
1412xt "26000,67500,33000,68500"
1413st "runnumber : (31:0)"
1414ju 2
1415blo "33000,68300"
1416)
1417)
1418thePort (LogicalPort
1419m 1
1420decl (Decl
1421n "runnumber"
1422t "std_logic_vector"
1423b "(31 DOWNTO 0)"
1424o 32
1425i "conv_std_logic_vector(0 ,32)"
1426)
1427)
1428)
1429*34 (CptPort
1430uid 147,0
1431ps "OnEdgeStrategy"
1432shape (Triangle
1433uid 148,0
1434ro 90
1435va (VaSet
1436vasetType 1
1437fg "0,65535,0"
1438)
1439xt "34000,68625,34750,69375"
1440)
1441tg (CPTG
1442uid 149,0
1443ps "CptPortTextPlaceStrategy"
1444stg "RightVerticalLayoutStrategy"
1445f (Text
1446uid 150,0
1447va (VaSet
1448)
1449xt "26700,68500,33000,69500"
1450st "reset_trigger_id"
1451ju 2
1452blo "33000,69300"
1453)
1454)
1455thePort (LogicalPort
1456m 1
1457decl (Decl
1458n "reset_trigger_id"
1459t "std_logic"
1460o 33
1461i "'0'"
1462)
1463)
1464)
1465*35 (CptPort
1466uid 151,0
1467ps "OnEdgeStrategy"
1468shape (Triangle
1469uid 152,0
1470ro 90
1471va (VaSet
1472vasetType 1
1473fg "0,65535,0"
1474)
1475xt "-750,58625,0,59375"
1476)
1477tg (CPTG
1478uid 153,0
1479ps "CptPortTextPlaceStrategy"
1480stg "VerticalLayoutStrategy"
1481f (Text
1482uid 154,0
1483va (VaSet
1484)
1485xt "1000,58500,7200,59500"
1486st "data_ram_empty"
1487blo "1000,59300"
1488)
1489)
1490thePort (LogicalPort
1491decl (Decl
1492n "data_ram_empty"
1493t "std_logic"
1494o 34
1495)
1496)
1497)
1498*36 (CptPort
1499uid 155,0
1500ps "OnEdgeStrategy"
1501shape (Triangle
1502uid 156,0
1503ro 90
1504va (VaSet
1505vasetType 1
1506fg "0,65535,0"
1507)
1508xt "-750,59625,0,60375"
1509)
1510tg (CPTG
1511uid 157,0
1512ps "CptPortTextPlaceStrategy"
1513stg "VerticalLayoutStrategy"
1514f (Text
1515uid 158,0
1516va (VaSet
1517)
1518xt "1000,59500,8500,60500"
1519st "MAC_jumper : (1:0)"
1520blo "1000,60300"
1521)
1522)
1523thePort (LogicalPort
1524decl (Decl
1525n "MAC_jumper"
1526t "std_logic_vector"
1527b "(1 downto 0)"
1528o 35
1529)
1530)
1531)
1532*37 (CptPort
1533uid 159,0
1534ps "OnEdgeStrategy"
1535shape (Triangle
1536uid 160,0
1537ro 90
1538va (VaSet
1539vasetType 1
1540fg "0,65535,0"
1541)
1542xt "-750,60625,0,61375"
1543)
1544tg (CPTG
1545uid 161,0
1546ps "CptPortTextPlaceStrategy"
1547stg "VerticalLayoutStrategy"
1548f (Text
1549uid 162,0
1550va (VaSet
1551)
1552xt "1000,60500,6800,61500"
1553st "BoardID : (3:0)"
1554blo "1000,61300"
1555)
1556)
1557thePort (LogicalPort
1558decl (Decl
1559n "BoardID"
1560t "std_logic_vector"
1561b "(3 downto 0)"
1562o 36
1563)
1564)
1565)
1566*38 (CptPort
1567uid 163,0
1568ps "OnEdgeStrategy"
1569shape (Triangle
1570uid 164,0
1571ro 90
1572va (VaSet
1573vasetType 1
1574fg "0,65535,0"
1575)
1576xt "-750,61625,0,62375"
1577)
1578tg (CPTG
1579uid 165,0
1580ps "CptPortTextPlaceStrategy"
1581stg "VerticalLayoutStrategy"
1582f (Text
1583uid 166,0
1584va (VaSet
1585)
1586xt "1000,61500,6700,62500"
1587st "CrateID : (1:0)"
1588blo "1000,62300"
1589)
1590)
1591thePort (LogicalPort
1592decl (Decl
1593n "CrateID"
1594t "std_logic_vector"
1595b "(1 downto 0)"
1596o 37
1597)
1598)
1599)
1600*39 (CptPort
1601uid 167,0
1602ps "OnEdgeStrategy"
1603shape (Triangle
1604uid 168,0
1605ro 90
1606va (VaSet
1607vasetType 1
1608fg "0,65535,0"
1609)
1610xt "34000,69625,34750,70375"
1611)
1612tg (CPTG
1613uid 169,0
1614ps "CptPortTextPlaceStrategy"
1615stg "RightVerticalLayoutStrategy"
1616f (Text
1617uid 170,0
1618va (VaSet
1619)
1620xt "27200,69500,33000,70500"
1621st "trigger_enable"
1622ju 2
1623blo "33000,70300"
1624)
1625)
1626thePort (LogicalPort
1627m 1
1628decl (Decl
1629n "trigger_enable"
1630t "std_logic"
1631o 38
1632)
1633)
1634)
1635*40 (CptPort
1636uid 171,0
1637ps "OnEdgeStrategy"
1638shape (Triangle
1639uid 172,0
1640ro 90
1641va (VaSet
1642vasetType 1
1643fg "0,65535,0"
1644)
1645xt "34000,70625,34750,71375"
1646)
1647tg (CPTG
1648uid 173,0
1649ps "CptPortTextPlaceStrategy"
1650stg "RightVerticalLayoutStrategy"
1651f (Text
1652uid 174,0
1653va (VaSet
1654)
1655xt "30000,70500,33000,71500"
1656st "denable"
1657ju 2
1658blo "33000,71300"
1659)
1660)
1661thePort (LogicalPort
1662m 1
1663decl (Decl
1664n "denable"
1665t "std_logic"
1666o 39
1667i "'0'"
1668)
1669)
1670)
1671*41 (CptPort
1672uid 175,0
1673ps "OnEdgeStrategy"
1674shape (Triangle
1675uid 176,0
1676ro 90
1677va (VaSet
1678vasetType 1
1679fg "0,65535,0"
1680)
1681xt "34000,71625,34750,72375"
1682)
1683tg (CPTG
1684uid 177,0
1685ps "CptPortTextPlaceStrategy"
1686stg "RightVerticalLayoutStrategy"
1687f (Text
1688uid 178,0
1689va (VaSet
1690)
1691xt "27600,71500,33000,72500"
1692st "dwrite_enable"
1693ju 2
1694blo "33000,72300"
1695)
1696)
1697thePort (LogicalPort
1698m 1
1699decl (Decl
1700n "dwrite_enable"
1701t "std_logic"
1702o 40
1703i "'1'"
1704)
1705)
1706)
1707*42 (CptPort
1708uid 179,0
1709ps "OnEdgeStrategy"
1710shape (Triangle
1711uid 180,0
1712ro 90
1713va (VaSet
1714vasetType 1
1715fg "0,65535,0"
1716)
1717xt "34000,72625,34750,73375"
1718)
1719tg (CPTG
1720uid 181,0
1721ps "CptPortTextPlaceStrategy"
1722stg "RightVerticalLayoutStrategy"
1723f (Text
1724uid 182,0
1725va (VaSet
1726)
1727xt "28300,72500,33000,73500"
1728st "sclk_enable"
1729ju 2
1730blo "33000,73300"
1731)
1732)
1733thePort (LogicalPort
1734m 1
1735decl (Decl
1736n "sclk_enable"
1737t "std_logic"
1738o 41
1739i "'1'"
1740)
1741)
1742)
1743*43 (CptPort
1744uid 183,0
1745ps "OnEdgeStrategy"
1746shape (Triangle
1747uid 184,0
1748ro 90
1749va (VaSet
1750vasetType 1
1751fg "0,65535,0"
1752)
1753xt "34000,73625,34750,74375"
1754)
1755tg (CPTG
1756uid 185,0
1757ps "CptPortTextPlaceStrategy"
1758stg "RightVerticalLayoutStrategy"
1759f (Text
1760uid 186,0
1761va (VaSet
1762)
1763xt "28000,73500,33000,74500"
1764st "srclk_enable"
1765ju 2
1766blo "33000,74300"
1767)
1768)
1769thePort (LogicalPort
1770m 1
1771decl (Decl
1772n "srclk_enable"
1773t "std_logic"
1774o 42
1775i "'1'"
1776)
1777)
1778)
1779*44 (CptPort
1780uid 187,0
1781ps "OnEdgeStrategy"
1782shape (Triangle
1783uid 188,0
1784ro 90
1785va (VaSet
1786vasetType 1
1787fg "0,65535,0"
1788)
1789xt "34000,74625,34750,75375"
1790)
1791tg (CPTG
1792uid 189,0
1793ps "CptPortTextPlaceStrategy"
1794stg "RightVerticalLayoutStrategy"
1795f (Text
1796uid 190,0
1797va (VaSet
1798)
1799xt "28100,74500,33000,75500"
1800st "ps_direction"
1801ju 2
1802blo "33000,75300"
1803)
1804)
1805thePort (LogicalPort
1806m 1
1807decl (Decl
1808n "ps_direction"
1809t "std_logic"
1810o 43
1811i "'1'"
1812)
1813)
1814)
1815*45 (CptPort
1816uid 191,0
1817ps "OnEdgeStrategy"
1818shape (Triangle
1819uid 192,0
1820ro 90
1821va (VaSet
1822vasetType 1
1823fg "0,65535,0"
1824)
1825xt "34000,75625,34750,76375"
1826)
1827tg (CPTG
1828uid 193,0
1829ps "CptPortTextPlaceStrategy"
1830stg "RightVerticalLayoutStrategy"
1831f (Text
1832uid 194,0
1833va (VaSet
1834)
1835xt "26000,75500,33000,76500"
1836st "ps_do_phase_shift"
1837ju 2
1838blo "33000,76300"
1839)
1840)
1841thePort (LogicalPort
1842m 1
1843decl (Decl
1844n "ps_do_phase_shift"
1845t "std_logic"
1846o 44
1847i "'0'"
1848)
1849)
1850)
1851*46 (CptPort
1852uid 195,0
1853ps "OnEdgeStrategy"
1854shape (Triangle
1855uid 196,0
1856ro 90
1857va (VaSet
1858vasetType 1
1859fg "0,65535,0"
1860)
1861xt "34000,76625,34750,77375"
1862)
1863tg (CPTG
1864uid 197,0
1865ps "CptPortTextPlaceStrategy"
1866stg "RightVerticalLayoutStrategy"
1867f (Text
1868uid 198,0
1869va (VaSet
1870)
1871xt "29700,76500,33000,77500"
1872st "ps_reset"
1873ju 2
1874blo "33000,77300"
1875)
1876)
1877thePort (LogicalPort
1878m 1
1879decl (Decl
1880n "ps_reset"
1881t "std_logic"
1882o 45
1883i "'0'"
1884)
1885)
1886)
1887*47 (CptPort
1888uid 199,0
1889ps "OnEdgeStrategy"
1890shape (Triangle
1891uid 200,0
1892ro 90
1893va (VaSet
1894vasetType 1
1895fg "0,65535,0"
1896)
1897xt "-750,62625,0,63375"
1898)
1899tg (CPTG
1900uid 201,0
1901ps "CptPortTextPlaceStrategy"
1902stg "VerticalLayoutStrategy"
1903f (Text
1904uid 202,0
1905va (VaSet
1906)
1907xt "1000,62500,4400,63500"
1908st "ps_ready"
1909blo "1000,63300"
1910)
1911)
1912thePort (LogicalPort
1913decl (Decl
1914n "ps_ready"
1915t "std_logic"
1916o 46
1917)
1918)
1919)
1920*48 (CptPort
1921uid 203,0
1922ps "OnEdgeStrategy"
1923shape (Triangle
1924uid 204,0
1925ro 90
1926va (VaSet
1927vasetType 1
1928fg "0,65535,0"
1929)
1930xt "34000,77625,34750,78375"
1931)
1932tg (CPTG
1933uid 205,0
1934ps "CptPortTextPlaceStrategy"
1935stg "RightVerticalLayoutStrategy"
1936f (Text
1937uid 206,0
1938va (VaSet
1939)
1940xt "27500,77500,33000,78500"
1941st "socks_waiting"
1942ju 2
1943blo "33000,78300"
1944)
1945)
1946thePort (LogicalPort
1947m 1
1948decl (Decl
1949n "socks_waiting"
1950t "std_logic"
1951o 47
1952)
1953)
1954)
1955*49 (CptPort
1956uid 207,0
1957ps "OnEdgeStrategy"
1958shape (Triangle
1959uid 208,0
1960ro 90
1961va (VaSet
1962vasetType 1
1963fg "0,65535,0"
1964)
1965xt "34000,78625,34750,79375"
1966)
1967tg (CPTG
1968uid 209,0
1969ps "CptPortTextPlaceStrategy"
1970stg "RightVerticalLayoutStrategy"
1971f (Text
1972uid 210,0
1973va (VaSet
1974)
1975xt "26500,78500,33000,79500"
1976st "socks_connected"
1977ju 2
1978blo "33000,79300"
1979)
1980)
1981thePort (LogicalPort
1982m 1
1983decl (Decl
1984n "socks_connected"
1985t "std_logic"
1986o 48
1987)
1988)
1989)
1990]
1991shape (Rectangle
1992uid 212,0
1993va (VaSet
1994vasetType 1
1995fg "0,65535,0"
1996lineColor "0,32896,0"
1997lineWidth 2
1998)
1999xt "0,46000,34000,80000"
2000)
2001ttg (MlTextGroup
2002uid 213,0
2003ps "CenterOffsetStrategy"
2004stg "VerticalLayoutStrategy"
2005textVec [
2006*50 (Text
2007uid 214,0
2008va (VaSet
2009font "Arial,8,1"
2010)
2011xt "13900,80000,20100,81000"
2012st "FACT_FAD_lib"
2013blo "13900,80800"
2014tm "BdLibraryNameMgr"
2015)
2016*51 (Text
2017uid 215,0
2018va (VaSet
2019font "Arial,8,1"
2020)
2021xt "13900,81000,20000,82000"
2022st "w5300_modul2"
2023blo "13900,81800"
2024tm "CptNameMgr"
2025)
2026*52 (Text
2027uid 216,0
2028va (VaSet
2029font "Arial,8,1"
2030)
2031xt "13900,82000,21100,83000"
2032st "inst_w5300_mod2"
2033blo "13900,82800"
2034tm "InstanceNameMgr"
2035)
2036]
2037)
2038ga (GenericAssociation
2039uid 217,0
2040ps "EdgeToEdgeStrategy"
2041matrix (Matrix
2042uid 218,0
2043text (MLText
2044uid 219,0
2045va (VaSet
2046font "Courier New,8,0"
2047)
2048xt "7250,45200,26750,46000"
2049)
2050header ""
2051)
2052elements [
2053]
2054)
2055viewicon (ZoomableIcon
2056uid 220,0
2057sl 0
2058va (VaSet
2059vasetType 1
2060fg "49152,49152,49152"
2061)
2062xt "250,78250,1750,79750"
2063iconName "VhdlFileViewIcon.png"
2064iconMaskName "VhdlFileViewIcon.msk"
2065ftype 10
2066)
2067ordering 1
2068viewiconposition 0
2069portVis (PortSigDisplay
2070)
2071archType 1
2072archFileType "UNKNOWN"
2073)
2074*53 (Net
2075uid 221,0
2076decl (Decl
2077n "state"
2078t "std_logic_vector"
2079b "(7 DOWNTO 0)"
2080o 1
2081suid 1,0
2082)
2083declText (MLText
2084uid 222,0
2085va (VaSet
2086font "Courier New,8,0"
2087)
2088xt "22000,35800,57500,36600"
2089st "SIGNAL state : std_logic_vector(7 DOWNTO 0)"
2090)
2091)
2092*54 (Net
2093uid 229,0
2094decl (Decl
2095n "debug_data_ram_empty"
2096t "std_logic"
2097o 2
2098suid 2,0
2099)
2100declText (MLText
2101uid 230,0
2102va (VaSet
2103font "Courier New,8,0"
2104)
2105xt "22000,15000,48000,15800"
2106st "SIGNAL debug_data_ram_empty : std_logic"
2107)
2108)
2109*55 (Net
2110uid 237,0
2111decl (Decl
2112n "debug_data_valid"
2113t "std_logic"
2114o 3
2115suid 3,0
2116)
2117declText (MLText
2118uid 238,0
2119va (VaSet
2120font "Courier New,8,0"
2121)
2122xt "22000,15800,48000,16600"
2123st "SIGNAL debug_data_valid : std_logic"
2124)
2125)
2126*56 (Net
2127uid 253,0
2128lang 10
2129decl (Decl
2130n "wiz_reset"
2131t "std_logic"
2132o 5
2133suid 5,0
2134)
2135declText (MLText
2136uid 254,0
2137va (VaSet
2138font "Courier New,8,0"
2139)
2140xt "22000,37400,48000,38200"
2141st "SIGNAL wiz_reset : std_logic"
2142)
2143)
2144*57 (Net
2145uid 261,0
2146decl (Decl
2147n "addr"
2148t "std_logic_vector"
2149b "(9 DOWNTO 0)"
2150o 6
2151suid 6,0
2152)
2153declText (MLText
2154uid 262,0
2155va (VaSet
2156font "Courier New,8,0"
2157)
2158xt "22000,5400,57500,6200"
2159st "SIGNAL addr : std_logic_vector(9 DOWNTO 0)"
2160)
2161)
2162*58 (Net
2163uid 269,0
2164lang 10
2165decl (Decl
2166n "data"
2167t "std_logic_vector"
2168b "(15 DOWNTO 0)"
2169o 7
2170suid 7,0
2171i "(others => 'Z')"
2172)
2173declText (MLText
2174uid 270,0
2175va (VaSet
2176font "Courier New,8,0"
2177)
2178xt "22000,11000,67500,11800"
2179st "SIGNAL data : std_logic_vector(15 DOWNTO 0) := (others => 'Z')"
2180)
2181)
2182*59 (Net
2183uid 277,0
2184lang 10
2185decl (Decl
2186n "cs"
2187t "std_logic"
2188o 8
2189suid 8,0
2190)
2191declText (MLText
2192uid 278,0
2193va (VaSet
2194font "Courier New,8,0"
2195)
2196xt "22000,9400,48000,10200"
2197st "SIGNAL cs : std_logic"
2198)
2199)
2200*60 (Net
2201uid 285,0
2202lang 10
2203decl (Decl
2204n "wr"
2205t "std_logic"
2206o 9
2207suid 9,0
2208)
2209declText (MLText
2210uid 286,0
2211va (VaSet
2212font "Courier New,8,0"
2213)
2214xt "22000,38200,48000,39000"
2215st "SIGNAL wr : std_logic"
2216)
2217)
2218*61 (Net
2219uid 293,0
2220lang 10
2221decl (Decl
2222n "rd"
2223t "std_logic"
2224o 10
2225suid 10,0
2226)
2227declText (MLText
2228uid 294,0
2229va (VaSet
2230font "Courier New,8,0"
2231)
2232xt "22000,27000,48000,27800"
2233st "SIGNAL rd : std_logic"
2234)
2235)
2236*62 (Net
2237uid 309,0
2238lang 10
2239decl (Decl
2240n "ram_addr"
2241t "std_logic_vector"
2242b "(13 DOWNTO 0)"
2243o 12
2244suid 12,0
2245)
2246declText (MLText
2247uid 310,0
2248va (VaSet
2249font "Courier New,8,0"
2250)
2251xt "22000,24600,58000,25400"
2252st "SIGNAL ram_addr : std_logic_vector(13 DOWNTO 0)"
2253)
2254)
2255*63 (Net
2256uid 317,0
2257lang 10
2258decl (Decl
2259n "data_valid_ack"
2260t "std_logic"
2261o 13
2262suid 13,0
2263)
2264declText (MLText
2265uid 318,0
2266va (VaSet
2267font "Courier New,8,0"
2268)
2269xt "22000,14200,48000,15000"
2270st "SIGNAL data_valid_ack : std_logic"
2271)
2272)
2273*64 (Net
2274uid 325,0
2275lang 10
2276decl (Decl
2277n "busy"
2278t "std_logic"
2279o 14
2280suid 14,0
2281)
2282declText (MLText
2283uid 326,0
2284va (VaSet
2285font "Courier New,8,0"
2286)
2287xt "22000,6200,48000,7000"
2288st "SIGNAL busy : std_logic"
2289)
2290)
2291*65 (Net
2292uid 333,0
2293lang 10
2294decl (Decl
2295n "s_trigger"
2296t "std_logic"
2297o 15
2298suid 15,0
2299)
2300declText (MLText
2301uid 334,0
2302va (VaSet
2303font "Courier New,8,0"
2304)
2305xt "22000,30200,48000,31000"
2306st "SIGNAL s_trigger : std_logic"
2307)
2308)
2309*66 (Net
2310uid 341,0
2311lang 10
2312decl (Decl
2313n "c_trigger_enable"
2314t "std_logic"
2315o 16
2316suid 16,0
2317)
2318declText (MLText
2319uid 342,0
2320va (VaSet
2321font "Courier New,8,0"
2322)
2323xt "22000,7000,48000,7800"
2324st "SIGNAL c_trigger_enable : std_logic"
2325)
2326)
2327*67 (Net
2328uid 349,0
2329lang 10
2330decl (Decl
2331n "c_trigger_mult"
2332t "std_logic_vector"
2333b "(15 DOWNTO 0)"
2334o 17
2335suid 17,0
2336)
2337declText (MLText
2338uid 350,0
2339va (VaSet
2340font "Courier New,8,0"
2341)
2342xt "22000,7800,58000,8600"
2343st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0)"
2344)
2345)
2346*68 (Net
2347uid 357,0
2348lang 10
2349decl (Decl
2350n "memory_manager_config_start_o"
2351t "std_logic"
2352o 18
2353suid 18,0
2354)
2355declText (MLText
2356uid 358,0
2357va (VaSet
2358font "Courier New,8,0"
2359)
2360xt "22000,19800,48000,20600"
2361st "SIGNAL memory_manager_config_start_o : std_logic"
2362)
2363)
2364*69 (Net
2365uid 365,0
2366lang 10
2367decl (Decl
2368n "spi_interface_config_start_o"
2369t "std_logic"
2370o 19
2371suid 19,0
2372)
2373declText (MLText
2374uid 366,0
2375va (VaSet
2376font "Courier New,8,0"
2377)
2378xt "22000,33400,48000,34200"
2379st "SIGNAL spi_interface_config_start_o : std_logic"
2380)
2381)
2382*70 (Net
2383uid 373,0
2384lang 10
2385decl (Decl
2386n "dac_setting"
2387t "dac_array_type"
2388o 20
2389suid 20,0
2390)
2391declText (MLText
2392uid 374,0
2393va (VaSet
2394font "Courier New,8,0"
2395)
2396xt "22000,10200,50500,11000"
2397st "SIGNAL dac_setting : dac_array_type"
2398)
2399)
2400*71 (Net
2401uid 381,0
2402lang 10
2403decl (Decl
2404n "roi_setting"
2405t "roi_array_type"
2406o 21
2407suid 21,0
2408)
2409declText (MLText
2410uid 382,0
2411va (VaSet
2412font "Courier New,8,0"
2413)
2414xt "22000,28600,50500,29400"
2415st "SIGNAL roi_setting : roi_array_type"
2416)
2417)
2418*72 (Net
2419uid 389,0
2420lang 10
2421decl (Decl
2422n "runnumber"
2423t "std_logic_vector"
2424b "(31 DOWNTO 0)"
2425o 22
2426suid 22,0
2427)
2428declText (MLText
2429uid 390,0
2430va (VaSet
2431font "Courier New,8,0"
2432)
2433xt "22000,29400,58000,30200"
2434st "SIGNAL runnumber : std_logic_vector(31 DOWNTO 0)"
2435)
2436)
2437*73 (Net
2438uid 397,0
2439lang 10
2440decl (Decl
2441n "reset_trigger_id"
2442t "std_logic"
2443o 23
2444suid 23,0
2445)
2446declText (MLText
2447uid 398,0
2448va (VaSet
2449font "Courier New,8,0"
2450)
2451xt "22000,27800,48000,28600"
2452st "SIGNAL reset_trigger_id : std_logic"
2453)
2454)
2455*74 (Net
2456uid 405,0
2457decl (Decl
2458n "trigger_enable"
2459t "std_logic"
2460o 24
2461suid 24,0
2462)
2463declText (MLText
2464uid 406,0
2465va (VaSet
2466font "Courier New,8,0"
2467)
2468xt "22000,36600,48000,37400"
2469st "SIGNAL trigger_enable : std_logic"
2470)
2471)
2472*75 (Net
2473uid 413,0
2474lang 10
2475decl (Decl
2476n "denable"
2477t "std_logic"
2478o 25
2479suid 25,0
2480)
2481declText (MLText
2482uid 414,0
2483va (VaSet
2484font "Courier New,8,0"
2485)
2486xt "22000,16600,48000,17400"
2487st "SIGNAL denable : std_logic"
2488)
2489)
2490*76 (Net
2491uid 421,0
2492lang 10
2493decl (Decl
2494n "dwrite_enable"
2495t "std_logic"
2496o 26
2497suid 26,0
2498)
2499declText (MLText
2500uid 422,0
2501va (VaSet
2502font "Courier New,8,0"
2503)
2504xt "22000,17400,48000,18200"
2505st "SIGNAL dwrite_enable : std_logic"
2506)
2507)
2508*77 (Net
2509uid 429,0
2510lang 10
2511decl (Decl
2512n "sclk_enable"
2513t "std_logic"
2514o 27
2515suid 27,0
2516)
2517declText (MLText
2518uid 430,0
2519va (VaSet
2520font "Courier New,8,0"
2521)
2522xt "22000,31000,48000,31800"
2523st "SIGNAL sclk_enable : std_logic"
2524)
2525)
2526*78 (Net
2527uid 437,0
2528lang 10
2529decl (Decl
2530n "srclk_enable"
2531t "std_logic"
2532o 28
2533suid 28,0
2534)
2535declText (MLText
2536uid 438,0
2537va (VaSet
2538font "Courier New,8,0"
2539)
2540xt "22000,35000,48000,35800"
2541st "SIGNAL srclk_enable : std_logic"
2542)
2543)
2544*79 (Net
2545uid 445,0
2546lang 10
2547decl (Decl
2548n "ps_direction"
2549t "std_logic"
2550o 29
2551suid 29,0
2552)
2553declText (MLText
2554uid 446,0
2555va (VaSet
2556font "Courier New,8,0"
2557)
2558xt "22000,21400,48000,22200"
2559st "SIGNAL ps_direction : std_logic"
2560)
2561)
2562*80 (Net
2563uid 453,0
2564lang 10
2565decl (Decl
2566n "ps_do_phase_shift"
2567t "std_logic"
2568o 30
2569suid 30,0
2570)
2571declText (MLText
2572uid 454,0
2573va (VaSet
2574font "Courier New,8,0"
2575)
2576xt "22000,22200,48000,23000"
2577st "SIGNAL ps_do_phase_shift : std_logic"
2578)
2579)
2580*81 (Net
2581uid 461,0
2582lang 10
2583decl (Decl
2584n "ps_reset"
2585t "std_logic"
2586o 31
2587suid 31,0
2588)
2589declText (MLText
2590uid 462,0
2591va (VaSet
2592font "Courier New,8,0"
2593)
2594xt "22000,23800,48000,24600"
2595st "SIGNAL ps_reset : std_logic"
2596)
2597)
2598*82 (Net
2599uid 469,0
2600decl (Decl
2601n "socks_waiting"
2602t "std_logic"
2603o 32
2604suid 32,0
2605)
2606declText (MLText
2607uid 470,0
2608va (VaSet
2609font "Courier New,8,0"
2610)
2611xt "22000,32600,48000,33400"
2612st "SIGNAL socks_waiting : std_logic"
2613)
2614)
2615*83 (Net
2616uid 477,0
2617decl (Decl
2618n "socks_connected"
2619t "std_logic"
2620o 33
2621suid 33,0
2622)
2623declText (MLText
2624uid 478,0
2625va (VaSet
2626font "Courier New,8,0"
2627)
2628xt "22000,31800,48000,32600"
2629st "SIGNAL socks_connected : std_logic"
2630)
2631)
2632*84 (Net
2633uid 485,0
2634decl (Decl
2635n "data_generator_idle_i"
2636t "std_logic"
2637o 34
2638suid 34,0
2639)
2640declText (MLText
2641uid 486,0
2642va (VaSet
2643font "Courier New,8,0"
2644)
2645xt "22000,11800,48000,12600"
2646st "SIGNAL data_generator_idle_i : std_logic"
2647)
2648)
2649*85 (Net
2650uid 493,0
2651decl (Decl
2652n "clk"
2653t "std_logic"
2654o 35
2655suid 35,0
2656)
2657declText (MLText
2658uid 494,0
2659va (VaSet
2660font "Courier New,8,0"
2661)
2662xt "22000,8600,48000,9400"
2663st "SIGNAL clk : std_logic"
2664)
2665)
2666*86 (Net
2667uid 501,0
2668decl (Decl
2669n "int"
2670t "std_logic"
2671o 36
2672suid 36,0
2673)
2674declText (MLText
2675uid 502,0
2676va (VaSet
2677font "Courier New,8,0"
2678)
2679xt "22000,19000,48000,19800"
2680st "SIGNAL int : std_logic"
2681)
2682)
2683*87 (Net
2684uid 509,0
2685decl (Decl
2686n "write_length"
2687t "std_logic_vector"
2688b "(16 DOWNTO 0)"
2689o 37
2690suid 37,0
2691)
2692declText (MLText
2693uid 510,0
2694va (VaSet
2695font "Courier New,8,0"
2696)
2697xt "22000,40600,58000,41400"
2698st "SIGNAL write_length : std_logic_vector(16 DOWNTO 0)"
2699)
2700)
2701*88 (Net
2702uid 517,0
2703lang 10
2704decl (Decl
2705n "ram_start_addr"
2706t "std_logic_vector"
2707b "(13 DOWNTO 0)"
2708o 38
2709suid 38,0
2710)
2711declText (MLText
2712uid 518,0
2713va (VaSet
2714font "Courier New,8,0"
2715)
2716xt "22000,26200,58000,27000"
2717st "SIGNAL ram_start_addr : std_logic_vector(13 DOWNTO 0)"
2718)
2719)
2720*89 (Net
2721uid 525,0
2722decl (Decl
2723n "ram_data"
2724t "std_logic_vector"
2725b "(15 DOWNTO 0)"
2726o 39
2727suid 39,0
2728)
2729declText (MLText
2730uid 526,0
2731va (VaSet
2732font "Courier New,8,0"
2733)
2734xt "22000,25400,58000,26200"
2735st "SIGNAL ram_data : std_logic_vector(15 DOWNTO 0)"
2736)
2737)
2738*90 (Net
2739uid 533,0
2740decl (Decl
2741n "data_valid"
2742t "std_logic"
2743o 40
2744suid 40,0
2745)
2746declText (MLText
2747uid 534,0
2748va (VaSet
2749font "Courier New,8,0"
2750)
2751xt "22000,13400,48000,14200"
2752st "SIGNAL data_valid : std_logic"
2753)
2754)
2755*91 (Net
2756uid 541,0
2757decl (Decl
2758n "write_header_flag"
2759t "std_logic"
2760o 41
2761suid 41,0
2762)
2763declText (MLText
2764uid 542,0
2765va (VaSet
2766font "Courier New,8,0"
2767)
2768xt "22000,39800,48000,40600"
2769st "SIGNAL write_header_flag : std_logic"
2770)
2771)
2772*92 (Net
2773uid 549,0
2774decl (Decl
2775n "write_end_flag"
2776t "std_logic"
2777o 42
2778suid 42,0
2779)
2780declText (MLText
2781uid 550,0
2782va (VaSet
2783font "Courier New,8,0"
2784)
2785xt "22000,39000,48000,39800"
2786st "SIGNAL write_end_flag : std_logic"
2787)
2788)
2789*93 (Net
2790uid 557,0
2791lang 10
2792decl (Decl
2793n "fifo_channels"
2794t "std_logic_vector"
2795b "(3 DOWNTO 0)"
2796o 43
2797suid 43,0
2798)
2799declText (MLText
2800uid 558,0
2801va (VaSet
2802font "Courier New,8,0"
2803)
2804xt "22000,18200,57500,19000"
2805st "SIGNAL fifo_channels : std_logic_vector(3 DOWNTO 0)"
2806)
2807)
2808*94 (Net
2809uid 565,0
2810decl (Decl
2811n "memory_manager_config_valid_i"
2812t "std_logic"
2813o 44
2814suid 44,0
2815)
2816declText (MLText
2817uid 566,0
2818va (VaSet
2819font "Courier New,8,0"
2820)
2821xt "22000,20600,48000,21400"
2822st "SIGNAL memory_manager_config_valid_i : std_logic"
2823)
2824)
2825*95 (Net
2826uid 573,0
2827decl (Decl
2828n "spi_interface_config_valid_i"
2829t "std_logic"
2830o 45
2831suid 45,0
2832)
2833declText (MLText
2834uid 574,0
2835va (VaSet
2836font "Courier New,8,0"
2837)
2838xt "22000,34200,48000,35000"
2839st "SIGNAL spi_interface_config_valid_i : std_logic"
2840)
2841)
2842*96 (Net
2843uid 581,0
2844decl (Decl
2845n "data_ram_empty"
2846t "std_logic"
2847o 46
2848suid 46,0
2849)
2850declText (MLText
2851uid 582,0
2852va (VaSet
2853font "Courier New,8,0"
2854)
2855xt "22000,12600,48000,13400"
2856st "SIGNAL data_ram_empty : std_logic"
2857)
2858)
2859*97 (Net
2860uid 589,0
2861lang 10
2862decl (Decl
2863n "MAC_jumper"
2864t "std_logic_vector"
2865b "(1 DOWNTO 0)"
2866o 47
2867suid 47,0
2868)
2869declText (MLText
2870uid 590,0
2871va (VaSet
2872font "Courier New,8,0"
2873)
2874xt "22000,4600,57500,5400"
2875st "SIGNAL MAC_jumper : std_logic_vector(1 DOWNTO 0)"
2876)
2877)
2878*98 (Net
2879uid 597,0
2880lang 10
2881decl (Decl
2882n "BoardID"
2883t "std_logic_vector"
2884b "(3 DOWNTO 0)"
2885o 48
2886suid 48,0
2887)
2888declText (MLText
2889uid 598,0
2890va (VaSet
2891font "Courier New,8,0"
2892)
2893xt "22000,3000,57500,3800"
2894st "SIGNAL BoardID : std_logic_vector(3 DOWNTO 0)"
2895)
2896)
2897*99 (Net
2898uid 605,0
2899lang 10
2900decl (Decl
2901n "CrateID"
2902t "std_logic_vector"
2903b "(1 DOWNTO 0)"
2904o 49
2905suid 49,0
2906)
2907declText (MLText
2908uid 606,0
2909va (VaSet
2910font "Courier New,8,0"
2911)
2912xt "22000,3800,57500,4600"
2913st "SIGNAL CrateID : std_logic_vector(1 DOWNTO 0)"
2914)
2915)
2916*100 (Net
2917uid 613,0
2918decl (Decl
2919n "ps_ready"
2920t "std_logic"
2921o 50
2922suid 50,0
2923)
2924declText (MLText
2925uid 614,0
2926va (VaSet
2927font "Courier New,8,0"
2928)
2929xt "22000,23000,48000,23800"
2930st "SIGNAL ps_ready : std_logic"
2931)
2932)
2933*101 (Blk
2934uid 621,0
2935shape (Rectangle
2936uid 622,0
2937va (VaSet
2938vasetType 1
2939fg "39936,56832,65280"
2940lineColor "0,0,32768"
2941lineWidth 2
2942)
2943xt "74000,45000,108000,79000"
2944)
2945ttg (MlTextGroup
2946uid 623,0
2947ps "CenterOffsetStrategy"
2948stg "VerticalLayoutStrategy"
2949textVec [
2950*102 (Text
2951uid 624,0
2952va (VaSet
2953font "Arial,8,1"
2954)
2955xt "87150,60500,94850,61500"
2956st "FACT_FAD_TB_lib"
2957blo "87150,61300"
2958tm "BdLibraryNameMgr"
2959)
2960*103 (Text
2961uid 625,0
2962va (VaSet
2963font "Arial,8,1"
2964)
2965xt "87150,61500,95750,62500"
2966st "w5300_modul2_tester"
2967blo "87150,62300"
2968tm "BlkNameMgr"
2969)
2970*104 (Text
2971uid 626,0
2972va (VaSet
2973font "Arial,8,1"
2974)
2975xt "87150,62500,88950,63500"
2976st "U_1"
2977blo "87150,63300"
2978tm "InstanceNameMgr"
2979)
2980]
2981)
2982ga (GenericAssociation
2983uid 627,0
2984ps "EdgeToEdgeStrategy"
2985matrix (Matrix
2986uid 628,0
2987text (MLText
2988uid 629,0
2989va (VaSet
2990font "Courier New,8,0"
2991)
2992xt "87150,70500,87150,70500"
2993)
2994header ""
2995)
2996elements [
2997]
2998)
2999viewicon (ZoomableIcon
3000uid 630,0
3001sl 0
3002va (VaSet
3003vasetType 1
3004fg "49152,49152,49152"
3005)
3006xt "74250,77250,75750,78750"
3007iconName "VhdlFileViewIcon.png"
3008iconMaskName "VhdlFileViewIcon.msk"
3009ftype 10
3010)
3011ordering 1
3012viewiconposition 0
3013blkPorts [
3014"clk"
3015"busy"
3016"c_trigger_enable"
3017"c_trigger_mult"
3018"dac_setting"
3019"data_valid_ack"
3020"debug_data_ram_empty"
3021"debug_data_valid"
3022"denable"
3023"dwrite_enable"
3024"memory_manager_config_start_o"
3025"ps_direction"
3026"ps_do_phase_shift"
3027"ps_reset"
3028"ram_addr"
3029"reset_trigger_id"
3030"roi_setting"
3031"runnumber"
3032"s_trigger"
3033"sclk_enable"
3034"socks_connected"
3035"socks_waiting"
3036"spi_interface_config_start_o"
3037"srclk_enable"
3038"state"
3039"trigger_enable"
3040"wiz_reset"
3041"BoardID"
3042"CrateID"
3043"MAC_jumper"
3044"data_generator_idle_i"
3045"data_ram_empty"
3046"data_valid"
3047"fifo_channels"
3048"memory_manager_config_valid_i"
3049"ps_ready"
3050"ram_data"
3051"ram_start_addr"
3052"spi_interface_config_valid_i"
3053"write_end_flag"
3054"write_header_flag"
3055"write_length"
3056]
3057)
3058*105 (SaComponent
3059uid 1542,0
3060optionalChildren [
3061*106 (CptPort
3062uid 1531,0
3063ps "OnEdgeStrategy"
3064shape (Triangle
3065uid 1532,0
3066ro 90
3067va (VaSet
3068vasetType 1
3069fg "0,65535,0"
3070)
3071xt "80000,19625,80750,20375"
3072)
3073tg (CPTG
3074uid 1533,0
3075ps "CptPortTextPlaceStrategy"
3076stg "RightVerticalLayoutStrategy"
3077f (Text
3078uid 1534,0
3079va (VaSet
3080)
3081xt "77700,19500,79000,20500"
3082st "clk"
3083ju 2
3084blo "79000,20300"
3085)
3086)
3087thePort (LogicalPort
3088m 1
3089decl (Decl
3090n "clk"
3091t "std_logic"
3092preAdd 0
3093posAdd 0
3094o 1
3095suid 1,0
3096i "'0'"
3097)
3098)
3099)
3100*107 (CptPort
3101uid 1535,0
3102ps "OnEdgeStrategy"
3103shape (Triangle
3104uid 1536,0
3105ro 90
3106va (VaSet
3107vasetType 1
3108fg "0,65535,0"
3109)
3110xt "80000,20625,80750,21375"
3111)
3112tg (CPTG
3113uid 1537,0
3114ps "CptPortTextPlaceStrategy"
3115stg "RightVerticalLayoutStrategy"
3116f (Text
3117uid 1538,0
3118va (VaSet
3119)
3120xt "77700,20500,79000,21500"
3121st "rst"
3122ju 2
3123blo "79000,21300"
3124)
3125)
3126thePort (LogicalPort
3127m 1
3128decl (Decl
3129n "rst"
3130t "std_logic"
3131preAdd 0
3132posAdd 0
3133o 2
3134suid 2,0
3135i "'0'"
3136)
3137)
3138)
3139*108 (CommentText
3140uid 1539,0
3141ps "EdgeToEdgeStrategy"
3142shape (Rectangle
3143uid 1540,0
3144layer 0
3145va (VaSet
3146vasetType 1
3147fg "65280,65280,46080"
3148lineColor "0,0,32768"
3149)
3150xt "71500,8000,86500,12000"
3151)
3152oxt "21500,4000,36500,8000"
3153text (MLText
3154uid 1541,0
3155va (VaSet
3156fg "0,0,32768"
3157)
3158xt "71700,8200,81500,9200"
3159st "
3160-- synthesis translate_off
3161"
3162tm "CommentText"
3163wrapOption 3
3164visibleHeight 4000
3165visibleWidth 15000
3166)
3167included 1
3168excludeCommentLeader 1
3169)
3170]
3171shape (Rectangle
3172uid 1543,0
3173va (VaSet
3174vasetType 1
3175fg "0,49152,49152"
3176lineColor "0,0,50000"
3177lineWidth 2
3178)
3179xt "72000,19000,80000,23000"
3180)
3181oxt "22000,15000,30000,19000"
3182ttg (MlTextGroup
3183uid 1544,0
3184ps "CenterOffsetStrategy"
3185stg "VerticalLayoutStrategy"
3186textVec [
3187*109 (Text
3188uid 1545,0
3189va (VaSet
3190font "Arial,8,1"
3191)
3192xt "72150,23000,79850,24000"
3193st "FACT_FAD_TB_lib"
3194blo "72150,23800"
3195tm "BdLibraryNameMgr"
3196)
3197*110 (Text
3198uid 1546,0
3199va (VaSet
3200font "Arial,8,1"
3201)
3202xt "72150,24000,78850,25000"
3203st "clock_generator"
3204blo "72150,24800"
3205tm "CptNameMgr"
3206)
3207*111 (Text
3208uid 1547,0
3209va (VaSet
3210font "Arial,8,1"
3211)
3212xt "72150,25000,73950,26000"
3213st "U_2"
3214blo "72150,25800"
3215tm "InstanceNameMgr"
3216)
3217]
3218)
3219ga (GenericAssociation
3220uid 1548,0
3221ps "EdgeToEdgeStrategy"
3222matrix (Matrix
3223uid 1549,0
3224text (MLText
3225uid 1550,0
3226va (VaSet
3227font "Courier New,8,0"
3228)
3229xt "71500,10400,90000,12000"
3230st "clock_period = 20 ns ( time )
3231reset_time = 50 ns ( time ) "
3232)
3233header ""
3234)
3235elements [
3236(GiElement
3237name "clock_period"
3238type "time"
3239value "20 ns"
3240)
3241(GiElement
3242name "reset_time"
3243type "time"
3244value "50 ns"
3245)
3246]
3247)
3248viewicon (ZoomableIcon
3249uid 1551,0
3250sl 0
3251va (VaSet
3252vasetType 1
3253fg "49152,49152,49152"
3254)
3255xt "72250,21250,73750,22750"
3256iconName "VhdlFileViewIcon.png"
3257iconMaskName "VhdlFileViewIcon.msk"
3258ftype 10
3259)
3260ordering 1
3261viewiconposition 0
3262portVis (PortSigDisplay
3263)
3264archFileType "UNKNOWN"
3265)
3266*112 (SaComponent
3267uid 2558,0
3268optionalChildren [
3269*113 (CptPort
3270uid 2533,0
3271ps "OnEdgeStrategy"
3272shape (Triangle
3273uid 2534,0
3274ro 90
3275va (VaSet
3276vasetType 1
3277fg "0,65535,0"
3278)
3279xt "93250,27625,94000,28375"
3280)
3281tg (CPTG
3282uid 2535,0
3283ps "CptPortTextPlaceStrategy"
3284stg "VerticalLayoutStrategy"
3285f (Text
3286uid 2536,0
3287va (VaSet
3288)
3289xt "95000,27500,99500,28500"
3290st "addr : (9:0)"
3291blo "95000,28300"
3292)
3293)
3294thePort (LogicalPort
3295decl (Decl
3296n "addr"
3297t "std_logic_vector"
3298b "(9 DOWNTO 0)"
3299preAdd 0
3300posAdd 0
3301o 2
3302suid 1,0
3303)
3304)
3305)
3306*114 (CptPort
3307uid 2537,0
3308ps "OnEdgeStrategy"
3309shape (Diamond
3310uid 2538,0
3311ro 270
3312va (VaSet
3313vasetType 1
3314fg "0,65535,0"
3315)
3316xt "93250,28625,94000,29375"
3317)
3318tg (CPTG
3319uid 2539,0
3320ps "CptPortTextPlaceStrategy"
3321stg "VerticalLayoutStrategy"
3322f (Text
3323uid 2540,0
3324va (VaSet
3325)
3326xt "95000,28500,99800,29500"
3327st "data : (15:0)"
3328blo "95000,29300"
3329)
3330)
3331thePort (LogicalPort
3332m 2
3333decl (Decl
3334n "data"
3335t "std_logic_vector"
3336b "(15 DOWNTO 0)"
3337preAdd 0
3338posAdd 0
3339o 3
3340suid 2,0
3341)
3342)
3343)
3344*115 (CptPort
3345uid 2541,0
3346ps "OnEdgeStrategy"
3347shape (Triangle
3348uid 2542,0
3349ro 90
3350va (VaSet
3351vasetType 1
3352fg "0,65535,0"
3353)
3354xt "93250,31625,94000,32375"
3355)
3356tg (CPTG
3357uid 2543,0
3358ps "CptPortTextPlaceStrategy"
3359stg "VerticalLayoutStrategy"
3360f (Text
3361uid 2544,0
3362va (VaSet
3363)
3364xt "95000,31500,96100,32500"
3365st "rd"
3366blo "95000,32300"
3367)
3368)
3369thePort (LogicalPort
3370decl (Decl
3371n "rd"
3372t "std_logic"
3373preAdd 0
3374posAdd 0
3375o 4
3376suid 3,0
3377)
3378)
3379)
3380*116 (CptPort
3381uid 2545,0
3382ps "OnEdgeStrategy"
3383shape (Triangle
3384uid 2546,0
3385ro 90
3386va (VaSet
3387vasetType 1
3388fg "0,65535,0"
3389)
3390xt "93250,32625,94000,33375"
3391)
3392tg (CPTG
3393uid 2547,0
3394ps "CptPortTextPlaceStrategy"
3395stg "VerticalLayoutStrategy"
3396f (Text
3397uid 2548,0
3398va (VaSet
3399)
3400xt "95000,32500,96200,33500"
3401st "wr"
3402blo "95000,33300"
3403)
3404)
3405thePort (LogicalPort
3406decl (Decl
3407n "wr"
3408t "std_logic"
3409preAdd 0
3410posAdd 0
3411o 6
3412suid 4,0
3413)
3414)
3415)
3416*117 (CptPort
3417uid 2549,0
3418ps "OnEdgeStrategy"
3419shape (Triangle
3420uid 2550,0
3421ro 90
3422va (VaSet
3423vasetType 1
3424fg "0,65535,0"
3425)
3426xt "108000,27625,108750,28375"
3427)
3428tg (CPTG
3429uid 2551,0
3430ps "CptPortTextPlaceStrategy"
3431stg "RightVerticalLayoutStrategy"
3432f (Text
3433uid 2552,0
3434va (VaSet
3435)
3436xt "105800,27500,107000,28500"
3437st "int"
3438ju 2
3439blo "107000,28300"
3440)
3441t (Text
3442uid 2553,0
3443va (VaSet
3444)
3445xt "105800,28500,107000,29500"
3446st "'1'"
3447ju 2
3448blo "107000,29300"
3449)
3450)
3451thePort (LogicalPort
3452m 1
3453decl (Decl
3454n "int"
3455t "std_logic"
3456o 1
3457suid 5,0
3458i "'1'"
3459)
3460)
3461)
3462*118 (CptPort
3463uid 2554,0
3464ps "OnEdgeStrategy"
3465shape (Triangle
3466uid 2555,0
3467ro 90
3468va (VaSet
3469vasetType 1
3470fg "0,65535,0"
3471)
3472xt "93250,34625,94000,35375"
3473)
3474tg (CPTG
3475uid 2556,0
3476ps "CptPortTextPlaceStrategy"
3477stg "VerticalLayoutStrategy"
3478f (Text
3479uid 2557,0
3480va (VaSet
3481)
3482xt "95000,34500,96200,35500"
3483st "cs"
3484blo "95000,35300"
3485)
3486)
3487thePort (LogicalPort
3488decl (Decl
3489n "cs"
3490t "std_logic"
3491o 5
3492suid 6,0
3493)
3494)
3495)
3496]
3497shape (Rectangle
3498uid 2559,0
3499va (VaSet
3500vasetType 1
3501fg "0,49152,49152"
3502lineColor "0,0,50000"
3503lineWidth 2
3504)
3505xt "94000,26000,108000,38000"
3506)
3507oxt "29000,0,43000,12000"
3508ttg (MlTextGroup
3509uid 2560,0
3510ps "CenterOffsetStrategy"
3511stg "VerticalLayoutStrategy"
3512textVec [
3513*119 (Text
3514uid 2561,0
3515va (VaSet
3516font "Arial,8,1"
3517)
3518xt "94200,38000,101900,39000"
3519st "FACT_FAD_TB_lib"
3520blo "94200,38800"
3521tm "BdLibraryNameMgr"
3522)
3523*120 (Text
3524uid 2562,0
3525va (VaSet
3526font "Arial,8,1"
3527)
3528xt "94200,39000,100800,40000"
3529st "w5300_emulator"
3530blo "94200,39800"
3531tm "CptNameMgr"
3532)
3533*121 (Text
3534uid 2563,0
3535va (VaSet
3536font "Arial,8,1"
3537)
3538xt "94200,40000,96000,41000"
3539st "U_0"
3540blo "94200,40800"
3541tm "InstanceNameMgr"
3542)
3543]
3544)
3545ga (GenericAssociation
3546uid 2564,0
3547ps "EdgeToEdgeStrategy"
3548matrix (Matrix
3549uid 2565,0
3550text (MLText
3551uid 2566,0
3552va (VaSet
3553font "Courier New,8,0"
3554)
3555xt "94000,26200,94000,26200"
3556)
3557header ""
3558)
3559elements [
3560]
3561)
3562viewicon (ZoomableIcon
3563uid 2567,0
3564sl 0
3565va (VaSet
3566vasetType 1
3567fg "49152,49152,49152"
3568)
3569xt "94250,36250,95750,37750"
3570iconName "VhdlFileViewIcon.png"
3571iconMaskName "VhdlFileViewIcon.msk"
3572ftype 10
3573)
3574ordering 1
3575viewiconposition 0
3576portVis (PortSigDisplay
3577sIVOD 1
3578)
3579archFileType "UNKNOWN"
3580)
3581*122 (Wire
3582uid 223,0
3583shape (OrthoPolyLine
3584uid 224,0
3585va (VaSet
3586vasetType 3
3587lineWidth 2
3588)
3589xt "34750,47000,51000,47000"
3590pts [
3591"34750,47000"
3592"51000,47000"
3593]
3594)
3595start &2
3596sat 32
3597eat 16
3598sty 1
3599st 0
3600sf 1
3601si 0
3602tg (WTG
3603uid 227,0
3604ps "ConnStartEndStrategy"
3605stg "STSignalDisplayStrategy"
3606f (Text
3607uid 228,0
3608va (VaSet
3609)
3610xt "36000,46000,40600,47000"
3611st "state : (7:0)"
3612blo "36000,46800"
3613tm "WireNameMgr"
3614)
3615)
3616on &53
3617)
3618*123 (Wire
3619uid 231,0
3620shape (OrthoPolyLine
3621uid 232,0
3622va (VaSet
3623vasetType 3
3624)
3625xt "34750,48000,51000,48000"
3626pts [
3627"34750,48000"
3628"51000,48000"
3629]
3630)
3631start &3
3632sat 32
3633eat 16
3634st 0
3635sf 1
3636si 0
3637tg (WTG
3638uid 235,0
3639ps "ConnStartEndStrategy"
3640stg "STSignalDisplayStrategy"
3641f (Text
3642uid 236,0
3643va (VaSet
3644)
3645xt "36000,47000,45100,48000"
3646st "debug_data_ram_empty"
3647blo "36000,47800"
3648tm "WireNameMgr"
3649)
3650)
3651on &54
3652)
3653*124 (Wire
3654uid 239,0
3655shape (OrthoPolyLine
3656uid 240,0
3657va (VaSet
3658vasetType 3
3659)
3660xt "34750,49000,51000,49000"
3661pts [
3662"34750,49000"
3663"51000,49000"
3664]
3665)
3666start &4
3667sat 32
3668eat 16
3669st 0
3670sf 1
3671si 0
3672tg (WTG
3673uid 243,0
3674ps "ConnStartEndStrategy"
3675stg "STSignalDisplayStrategy"
3676f (Text
3677uid 244,0
3678va (VaSet
3679)
3680xt "36000,48000,42600,49000"
3681st "debug_data_valid"
3682blo "36000,48800"
3683tm "WireNameMgr"
3684)
3685)
3686on &55
3687)
3688*125 (Wire
3689uid 255,0
3690shape (OrthoPolyLine
3691uid 256,0
3692va (VaSet
3693vasetType 3
3694)
3695xt "34750,51000,51000,51000"
3696pts [
3697"34750,51000"
3698"51000,51000"
3699]
3700)
3701start &7
3702sat 32
3703eat 16
3704st 0
3705sf 1
3706si 0
3707tg (WTG
3708uid 259,0
3709ps "ConnStartEndStrategy"
3710stg "STSignalDisplayStrategy"
3711f (Text
3712uid 260,0
3713va (VaSet
3714)
3715xt "36000,50000,39600,51000"
3716st "wiz_reset"
3717blo "36000,50800"
3718tm "WireNameMgr"
3719)
3720)
3721on &56
3722)
3723*126 (Wire
3724uid 263,0
3725shape (OrthoPolyLine
3726uid 264,0
3727va (VaSet
3728vasetType 3
3729lineWidth 2
3730)
3731xt "34750,52000,51000,52000"
3732pts [
3733"34750,52000"
3734"51000,52000"
3735]
3736)
3737start &8
3738sat 32
3739eat 16
3740sty 1
3741st 0
3742sf 1
3743si 0
3744tg (WTG
3745uid 267,0
3746ps "ConnStartEndStrategy"
3747stg "STSignalDisplayStrategy"
3748f (Text
3749uid 268,0
3750va (VaSet
3751)
3752xt "36000,51000,40500,52000"
3753st "addr : (9:0)"
3754blo "36000,51800"
3755tm "WireNameMgr"
3756)
3757)
3758on &57
3759)
3760*127 (Wire
3761uid 271,0
3762shape (OrthoPolyLine
3763uid 272,0
3764va (VaSet
3765vasetType 3
3766lineWidth 2
3767)
3768xt "34750,53000,51000,53000"
3769pts [
3770"34750,53000"
3771"51000,53000"
3772]
3773)
3774start &9
3775sat 32
3776eat 16
3777sty 1
3778st 0
3779sf 1
3780si 0
3781tg (WTG
3782uid 275,0
3783ps "ConnStartEndStrategy"
3784stg "STSignalDisplayStrategy"
3785f (Text
3786uid 276,0
3787va (VaSet
3788)
3789xt "36000,52000,40800,53000"
3790st "data : (15:0)"
3791blo "36000,52800"
3792tm "WireNameMgr"
3793)
3794)
3795on &58
3796)
3797*128 (Wire
3798uid 279,0
3799shape (OrthoPolyLine
3800uid 280,0
3801va (VaSet
3802vasetType 3
3803)
3804xt "34750,54000,51000,54000"
3805pts [
3806"34750,54000"
3807"51000,54000"
3808]
3809)
3810start &10
3811sat 32
3812eat 16
3813st 0
3814sf 1
3815si 0
3816tg (WTG
3817uid 283,0
3818ps "ConnStartEndStrategy"
3819stg "STSignalDisplayStrategy"
3820f (Text
3821uid 284,0
3822va (VaSet
3823)
3824xt "36000,53000,37200,54000"
3825st "cs"
3826blo "36000,53800"
3827tm "WireNameMgr"
3828)
3829)
3830on &59
3831)
3832*129 (Wire
3833uid 287,0
3834shape (OrthoPolyLine
3835uid 288,0
3836va (VaSet
3837vasetType 3
3838)
3839xt "34750,55000,51000,55000"
3840pts [
3841"34750,55000"
3842"51000,55000"
3843]
3844)
3845start &11
3846sat 32
3847eat 16
3848st 0
3849sf 1
3850si 0
3851tg (WTG
3852uid 291,0
3853ps "ConnStartEndStrategy"
3854stg "STSignalDisplayStrategy"
3855f (Text
3856uid 292,0
3857va (VaSet
3858)
3859xt "36000,54000,37200,55000"
3860st "wr"
3861blo "36000,54800"
3862tm "WireNameMgr"
3863)
3864)
3865on &60
3866)
3867*130 (Wire
3868uid 295,0
3869shape (OrthoPolyLine
3870uid 296,0
3871va (VaSet
3872vasetType 3
3873)
3874xt "34750,56000,51000,56000"
3875pts [
3876"34750,56000"
3877"51000,56000"
3878]
3879)
3880start &12
3881sat 32
3882eat 16
3883st 0
3884sf 1
3885si 0
3886tg (WTG
3887uid 299,0
3888ps "ConnStartEndStrategy"
3889stg "STSignalDisplayStrategy"
3890f (Text
3891uid 300,0
3892va (VaSet
3893)
3894xt "36000,55000,37100,56000"
3895st "rd"
3896blo "36000,55800"
3897tm "WireNameMgr"
3898)
3899)
3900on &61
3901)
3902*131 (Wire
3903uid 311,0
3904shape (OrthoPolyLine
3905uid 312,0
3906va (VaSet
3907vasetType 3
3908lineWidth 2
3909)
3910xt "34750,58000,51000,58000"
3911pts [
3912"34750,58000"
3913"51000,58000"
3914]
3915)
3916start &17
3917sat 32
3918eat 16
3919sty 1
3920st 0
3921sf 1
3922si 0
3923tg (WTG
3924uid 315,0
3925ps "ConnStartEndStrategy"
3926stg "STSignalDisplayStrategy"
3927f (Text
3928uid 316,0
3929va (VaSet
3930)
3931xt "36000,57000,42600,58000"
3932st "ram_addr : (13:0)"
3933blo "36000,57800"
3934tm "WireNameMgr"
3935)
3936)
3937on &62
3938)
3939*132 (Wire
3940uid 319,0
3941shape (OrthoPolyLine
3942uid 320,0
3943va (VaSet
3944vasetType 3
3945)
3946xt "34750,59000,51000,59000"
3947pts [
3948"34750,59000"
3949"51000,59000"
3950]
3951)
3952start &19
3953sat 32
3954eat 16
3955st 0
3956sf 1
3957si 0
3958tg (WTG
3959uid 323,0
3960ps "ConnStartEndStrategy"
3961stg "STSignalDisplayStrategy"
3962f (Text
3963uid 324,0
3964va (VaSet
3965)
3966xt "36000,58000,41600,59000"
3967st "data_valid_ack"
3968blo "36000,58800"
3969tm "WireNameMgr"
3970)
3971)
3972on &63
3973)
3974*133 (Wire
3975uid 327,0
3976shape (OrthoPolyLine
3977uid 328,0
3978va (VaSet
3979vasetType 3
3980)
3981xt "34750,60000,51000,60000"
3982pts [
3983"34750,60000"
3984"51000,60000"
3985]
3986)
3987start &20
3988sat 32
3989eat 16
3990st 0
3991sf 1
3992si 0
3993tg (WTG
3994uid 331,0
3995ps "ConnStartEndStrategy"
3996stg "STSignalDisplayStrategy"
3997f (Text
3998uid 332,0
3999va (VaSet
4000)
4001xt "36000,59000,37900,60000"
4002st "busy"
4003blo "36000,59800"
4004tm "WireNameMgr"
4005)
4006)
4007on &64
4008)
4009*134 (Wire
4010uid 335,0
4011shape (OrthoPolyLine
4012uid 336,0
4013va (VaSet
4014vasetType 3
4015)
4016xt "34750,61000,51000,61000"
4017pts [
4018"34750,61000"
4019"51000,61000"
4020]
4021)
4022start &24
4023sat 32
4024eat 16
4025st 0
4026sf 1
4027si 0
4028tg (WTG
4029uid 339,0
4030ps "ConnStartEndStrategy"
4031stg "STSignalDisplayStrategy"
4032f (Text
4033uid 340,0
4034va (VaSet
4035)
4036xt "36000,60000,39600,61000"
4037st "s_trigger"
4038blo "36000,60800"
4039tm "WireNameMgr"
4040)
4041)
4042on &65
4043)
4044*135 (Wire
4045uid 343,0
4046shape (OrthoPolyLine
4047uid 344,0
4048va (VaSet
4049vasetType 3
4050)
4051xt "34750,62000,51000,62000"
4052pts [
4053"34750,62000"
4054"51000,62000"
4055]
4056)
4057start &25
4058sat 32
4059eat 16
4060st 0
4061sf 1
4062si 0
4063tg (WTG
4064uid 347,0
4065ps "ConnStartEndStrategy"
4066stg "STSignalDisplayStrategy"
4067f (Text
4068uid 348,0
4069va (VaSet
4070)
4071xt "36000,61000,42600,62000"
4072st "c_trigger_enable"
4073blo "36000,61800"
4074tm "WireNameMgr"
4075)
4076)
4077on &66
4078)
4079*136 (Wire
4080uid 351,0
4081shape (OrthoPolyLine
4082uid 352,0
4083va (VaSet
4084vasetType 3
4085lineWidth 2
4086)
4087xt "34750,63000,51000,63000"
4088pts [
4089"34750,63000"
4090"51000,63000"
4091]
4092)
4093start &26
4094sat 32
4095eat 16
4096sty 1
4097st 0
4098sf 1
4099si 0
4100tg (WTG
4101uid 355,0
4102ps "ConnStartEndStrategy"
4103stg "STSignalDisplayStrategy"
4104f (Text
4105uid 356,0
4106va (VaSet
4107)
4108xt "36000,62000,44800,63000"
4109st "c_trigger_mult : (15:0)"
4110blo "36000,62800"
4111tm "WireNameMgr"
4112)
4113)
4114on &67
4115)
4116*137 (Wire
4117uid 359,0
4118shape (OrthoPolyLine
4119uid 360,0
4120va (VaSet
4121vasetType 3
4122)
4123xt "34750,64000,51000,64000"
4124pts [
4125"34750,64000"
4126"51000,64000"
4127]
4128)
4129start &27
4130sat 32
4131eat 16
4132st 0
4133sf 1
4134si 0
4135tg (WTG
4136uid 363,0
4137ps "ConnStartEndStrategy"
4138stg "STSignalDisplayStrategy"
4139f (Text
4140uid 364,0
4141va (VaSet
4142)
4143xt "36000,63000,48400,64000"
4144st "memory_manager_config_start_o"
4145blo "36000,63800"
4146tm "WireNameMgr"
4147)
4148)
4149on &68
4150)
4151*138 (Wire
4152uid 367,0
4153shape (OrthoPolyLine
4154uid 368,0
4155va (VaSet
4156vasetType 3
4157)
4158xt "34750,65000,51000,65000"
4159pts [
4160"34750,65000"
4161"51000,65000"
4162]
4163)
4164start &29
4165sat 32
4166eat 16
4167st 0
4168sf 1
4169si 0
4170tg (WTG
4171uid 371,0
4172ps "ConnStartEndStrategy"
4173stg "STSignalDisplayStrategy"
4174f (Text
4175uid 372,0
4176va (VaSet
4177)
4178xt "36000,64000,46700,65000"
4179st "spi_interface_config_start_o"
4180blo "36000,64800"
4181tm "WireNameMgr"
4182)
4183)
4184on &69
4185)
4186*139 (Wire
4187uid 375,0
4188shape (OrthoPolyLine
4189uid 376,0
4190va (VaSet
4191vasetType 3
4192)
4193xt "34750,66000,51000,66000"
4194pts [
4195"34750,66000"
4196"51000,66000"
4197]
4198)
4199start &31
4200sat 32
4201eat 16
4202st 0
4203sf 1
4204si 0
4205tg (WTG
4206uid 379,0
4207ps "ConnStartEndStrategy"
4208stg "STSignalDisplayStrategy"
4209f (Text
4210uid 380,0
4211va (VaSet
4212)
4213xt "36000,65000,40700,66000"
4214st "dac_setting"
4215blo "36000,65800"
4216tm "WireNameMgr"
4217)
4218)
4219on &70
4220)
4221*140 (Wire
4222uid 383,0
4223shape (OrthoPolyLine
4224uid 384,0
4225va (VaSet
4226vasetType 3
4227)
4228xt "34750,67000,51000,67000"
4229pts [
4230"34750,67000"
4231"51000,67000"
4232]
4233)
4234start &32
4235sat 32
4236eat 16
4237st 0
4238sf 1
4239si 0
4240tg (WTG
4241uid 387,0
4242ps "ConnStartEndStrategy"
4243stg "STSignalDisplayStrategy"
4244f (Text
4245uid 388,0
4246va (VaSet
4247)
4248xt "36000,66000,40400,67000"
4249st "roi_setting"
4250blo "36000,66800"
4251tm "WireNameMgr"
4252)
4253)
4254on &71
4255)
4256*141 (Wire
4257uid 391,0
4258shape (OrthoPolyLine
4259uid 392,0
4260va (VaSet
4261vasetType 3
4262lineWidth 2
4263)
4264xt "34750,68000,51000,68000"
4265pts [
4266"34750,68000"
4267"51000,68000"
4268]
4269)
4270start &33
4271sat 32
4272eat 16
4273sty 1
4274st 0
4275sf 1
4276si 0
4277tg (WTG
4278uid 395,0
4279ps "ConnStartEndStrategy"
4280stg "STSignalDisplayStrategy"
4281f (Text
4282uid 396,0
4283va (VaSet
4284)
4285xt "36000,67000,43000,68000"
4286st "runnumber : (31:0)"
4287blo "36000,67800"
4288tm "WireNameMgr"
4289)
4290)
4291on &72
4292)
4293*142 (Wire
4294uid 399,0
4295shape (OrthoPolyLine
4296uid 400,0
4297va (VaSet
4298vasetType 3
4299)
4300xt "34750,69000,51000,69000"
4301pts [
4302"34750,69000"
4303"51000,69000"
4304]
4305)
4306start &34
4307sat 32
4308eat 16
4309st 0
4310sf 1
4311si 0
4312tg (WTG
4313uid 403,0
4314ps "ConnStartEndStrategy"
4315stg "STSignalDisplayStrategy"
4316f (Text
4317uid 404,0
4318va (VaSet
4319)
4320xt "36000,68000,42300,69000"
4321st "reset_trigger_id"
4322blo "36000,68800"
4323tm "WireNameMgr"
4324)
4325)
4326on &73
4327)
4328*143 (Wire
4329uid 407,0
4330shape (OrthoPolyLine
4331uid 408,0
4332va (VaSet
4333vasetType 3
4334)
4335xt "34750,70000,51000,70000"
4336pts [
4337"34750,70000"
4338"51000,70000"
4339]
4340)
4341start &39
4342sat 32
4343eat 16
4344st 0
4345sf 1
4346si 0
4347tg (WTG
4348uid 411,0
4349ps "ConnStartEndStrategy"
4350stg "STSignalDisplayStrategy"
4351f (Text
4352uid 412,0
4353va (VaSet
4354)
4355xt "36000,69000,41800,70000"
4356st "trigger_enable"
4357blo "36000,69800"
4358tm "WireNameMgr"
4359)
4360)
4361on &74
4362)
4363*144 (Wire
4364uid 415,0
4365shape (OrthoPolyLine
4366uid 416,0
4367va (VaSet
4368vasetType 3
4369)
4370xt "34750,71000,51000,71000"
4371pts [
4372"34750,71000"
4373"51000,71000"
4374]
4375)
4376start &40
4377sat 32
4378eat 16
4379st 0
4380sf 1
4381si 0
4382tg (WTG
4383uid 419,0
4384ps "ConnStartEndStrategy"
4385stg "STSignalDisplayStrategy"
4386f (Text
4387uid 420,0
4388va (VaSet
4389)
4390xt "36000,70000,39000,71000"
4391st "denable"
4392blo "36000,70800"
4393tm "WireNameMgr"
4394)
4395)
4396on &75
4397)
4398*145 (Wire
4399uid 423,0
4400shape (OrthoPolyLine
4401uid 424,0
4402va (VaSet
4403vasetType 3
4404)
4405xt "34750,72000,51000,72000"
4406pts [
4407"34750,72000"
4408"51000,72000"
4409]
4410)
4411start &41
4412sat 32
4413eat 16
4414st 0
4415sf 1
4416si 0
4417tg (WTG
4418uid 427,0
4419ps "ConnStartEndStrategy"
4420stg "STSignalDisplayStrategy"
4421f (Text
4422uid 428,0
4423va (VaSet
4424)
4425xt "36000,71000,41400,72000"
4426st "dwrite_enable"
4427blo "36000,71800"
4428tm "WireNameMgr"
4429)
4430)
4431on &76
4432)
4433*146 (Wire
4434uid 431,0
4435shape (OrthoPolyLine
4436uid 432,0
4437va (VaSet
4438vasetType 3
4439)
4440xt "34750,73000,51000,73000"
4441pts [
4442"34750,73000"
4443"51000,73000"
4444]
4445)
4446start &42
4447sat 32
4448eat 16
4449st 0
4450sf 1
4451si 0
4452tg (WTG
4453uid 435,0
4454ps "ConnStartEndStrategy"
4455stg "STSignalDisplayStrategy"
4456f (Text
4457uid 436,0
4458va (VaSet
4459)
4460xt "36000,72000,40700,73000"
4461st "sclk_enable"
4462blo "36000,72800"
4463tm "WireNameMgr"
4464)
4465)
4466on &77
4467)
4468*147 (Wire
4469uid 439,0
4470shape (OrthoPolyLine
4471uid 440,0
4472va (VaSet
4473vasetType 3
4474)
4475xt "34750,74000,51000,74000"
4476pts [
4477"34750,74000"
4478"51000,74000"
4479]
4480)
4481start &43
4482sat 32
4483eat 16
4484st 0
4485sf 1
4486si 0
4487tg (WTG
4488uid 443,0
4489ps "ConnStartEndStrategy"
4490stg "STSignalDisplayStrategy"
4491f (Text
4492uid 444,0
4493va (VaSet
4494)
4495xt "36000,73000,41000,74000"
4496st "srclk_enable"
4497blo "36000,73800"
4498tm "WireNameMgr"
4499)
4500)
4501on &78
4502)
4503*148 (Wire
4504uid 447,0
4505shape (OrthoPolyLine
4506uid 448,0
4507va (VaSet
4508vasetType 3
4509)
4510xt "34750,75000,51000,75000"
4511pts [
4512"34750,75000"
4513"51000,75000"
4514]
4515)
4516start &44
4517sat 32
4518eat 16
4519st 0
4520sf 1
4521si 0
4522tg (WTG
4523uid 451,0
4524ps "ConnStartEndStrategy"
4525stg "STSignalDisplayStrategy"
4526f (Text
4527uid 452,0
4528va (VaSet
4529)
4530xt "36000,74000,40900,75000"
4531st "ps_direction"
4532blo "36000,74800"
4533tm "WireNameMgr"
4534)
4535)
4536on &79
4537)
4538*149 (Wire
4539uid 455,0
4540shape (OrthoPolyLine
4541uid 456,0
4542va (VaSet
4543vasetType 3
4544)
4545xt "34750,76000,51000,76000"
4546pts [
4547"34750,76000"
4548"51000,76000"
4549]
4550)
4551start &45
4552sat 32
4553eat 16
4554st 0
4555sf 1
4556si 0
4557tg (WTG
4558uid 459,0
4559ps "ConnStartEndStrategy"
4560stg "STSignalDisplayStrategy"
4561f (Text
4562uid 460,0
4563va (VaSet
4564)
4565xt "36000,75000,43000,76000"
4566st "ps_do_phase_shift"
4567blo "36000,75800"
4568tm "WireNameMgr"
4569)
4570)
4571on &80
4572)
4573*150 (Wire
4574uid 463,0
4575shape (OrthoPolyLine
4576uid 464,0
4577va (VaSet
4578vasetType 3
4579)
4580xt "34750,77000,51000,77000"
4581pts [
4582"34750,77000"
4583"51000,77000"
4584]
4585)
4586start &46
4587sat 32
4588eat 16
4589st 0
4590sf 1
4591si 0
4592tg (WTG
4593uid 467,0
4594ps "ConnStartEndStrategy"
4595stg "STSignalDisplayStrategy"
4596f (Text
4597uid 468,0
4598va (VaSet
4599)
4600xt "36000,76000,39300,77000"
4601st "ps_reset"
4602blo "36000,76800"
4603tm "WireNameMgr"
4604)
4605)
4606on &81
4607)
4608*151 (Wire
4609uid 471,0
4610shape (OrthoPolyLine
4611uid 472,0
4612va (VaSet
4613vasetType 3
4614)
4615xt "34750,78000,51000,78000"
4616pts [
4617"34750,78000"
4618"51000,78000"
4619]
4620)
4621start &48
4622sat 32
4623eat 16
4624st 0
4625sf 1
4626si 0
4627tg (WTG
4628uid 475,0
4629ps "ConnStartEndStrategy"
4630stg "STSignalDisplayStrategy"
4631f (Text
4632uid 476,0
4633va (VaSet
4634)
4635xt "36000,77000,41500,78000"
4636st "socks_waiting"
4637blo "36000,77800"
4638tm "WireNameMgr"
4639)
4640)
4641on &82
4642)
4643*152 (Wire
4644uid 479,0
4645shape (OrthoPolyLine
4646uid 480,0
4647va (VaSet
4648vasetType 3
4649)
4650xt "34750,79000,51000,79000"
4651pts [
4652"34750,79000"
4653"51000,79000"
4654]
4655)
4656start &49
4657sat 32
4658eat 16
4659st 0
4660sf 1
4661si 0
4662tg (WTG
4663uid 483,0
4664ps "ConnStartEndStrategy"
4665stg "STSignalDisplayStrategy"
4666f (Text
4667uid 484,0
4668va (VaSet
4669)
4670xt "36000,78000,42500,79000"
4671st "socks_connected"
4672blo "36000,78800"
4673tm "WireNameMgr"
4674)
4675)
4676on &83
4677)
4678*153 (Wire
4679uid 487,0
4680shape (OrthoPolyLine
4681uid 488,0
4682va (VaSet
4683vasetType 3
4684)
4685xt "-19000,47000,-750,47000"
4686pts [
4687"-19000,47000"
4688"-750,47000"
4689]
4690)
4691end &5
4692sat 16
4693eat 32
4694st 0
4695sf 1
4696si 0
4697tg (WTG
4698uid 491,0
4699ps "ConnStartEndStrategy"
4700stg "STSignalDisplayStrategy"
4701f (Text
4702uid 492,0
4703va (VaSet
4704)
4705xt "-18000,46000,-9500,47000"
4706st "data_generator_idle_i"
4707blo "-18000,46800"
4708tm "WireNameMgr"
4709)
4710)
4711on &84
4712)
4713*154 (Wire
4714uid 495,0
4715shape (OrthoPolyLine
4716uid 496,0
4717va (VaSet
4718vasetType 3
4719)
4720xt "-19000,48000,-750,48000"
4721pts [
4722"-19000,48000"
4723"-750,48000"
4724]
4725)
4726end &6
4727sat 16
4728eat 32
4729st 0
4730sf 1
4731si 0
4732tg (WTG
4733uid 499,0
4734ps "ConnStartEndStrategy"
4735stg "STSignalDisplayStrategy"
4736f (Text
4737uid 500,0
4738va (VaSet
4739)
4740xt "-18000,47000,-16700,48000"
4741st "clk"
4742blo "-18000,47800"
4743tm "WireNameMgr"
4744)
4745)
4746on &85
4747)
4748*155 (Wire
4749uid 503,0
4750shape (OrthoPolyLine
4751uid 504,0
4752va (VaSet
4753vasetType 3
4754)
4755xt "-19000,49000,-750,49000"
4756pts [
4757"-19000,49000"
4758"-750,49000"
4759]
4760)
4761end &13
4762sat 16
4763eat 32
4764st 0
4765sf 1
4766si 0
4767tg (WTG
4768uid 507,0
4769ps "ConnStartEndStrategy"
4770stg "STSignalDisplayStrategy"
4771f (Text
4772uid 508,0
4773va (VaSet
4774)
4775xt "-18000,48000,-16800,49000"
4776st "int"
4777blo "-18000,48800"
4778tm "WireNameMgr"
4779)
4780)
4781on &86
4782)
4783*156 (Wire
4784uid 511,0
4785shape (OrthoPolyLine
4786uid 512,0
4787va (VaSet
4788vasetType 3
4789lineWidth 2
4790)
4791xt "-19000,50000,-750,50000"
4792pts [
4793"-19000,50000"
4794"-750,50000"
4795]
4796)
4797end &14
4798sat 16
4799eat 32
4800sty 1
4801st 0
4802sf 1
4803si 0
4804tg (WTG
4805uid 515,0
4806ps "ConnStartEndStrategy"
4807stg "STSignalDisplayStrategy"
4808f (Text
4809uid 516,0
4810va (VaSet
4811)
4812xt "-18000,49000,-10100,50000"
4813st "write_length : (16:0)"
4814blo "-18000,49800"
4815tm "WireNameMgr"
4816)
4817)
4818on &87
4819)
4820*157 (Wire
4821uid 519,0
4822shape (OrthoPolyLine
4823uid 520,0
4824va (VaSet
4825vasetType 3
4826lineWidth 2
4827)
4828xt "-19000,51000,-750,51000"
4829pts [
4830"-19000,51000"
4831"-750,51000"
4832]
4833)
4834end &15
4835sat 16
4836eat 32
4837sty 1
4838st 0
4839sf 1
4840si 0
4841tg (WTG
4842uid 523,0
4843ps "ConnStartEndStrategy"
4844stg "STSignalDisplayStrategy"
4845f (Text
4846uid 524,0
4847va (VaSet
4848)
4849xt "-18000,50000,-9100,51000"
4850st "ram_start_addr : (13:0)"
4851blo "-18000,50800"
4852tm "WireNameMgr"
4853)
4854)
4855on &88
4856)
4857*158 (Wire
4858uid 527,0
4859shape (OrthoPolyLine
4860uid 528,0
4861va (VaSet
4862vasetType 3
4863lineWidth 2
4864)
4865xt "-19000,52000,-750,52000"
4866pts [
4867"-19000,52000"
4868"-750,52000"
4869]
4870)
4871end &16
4872sat 16
4873eat 32
4874sty 1
4875st 0
4876sf 1
4877si 0
4878tg (WTG
4879uid 531,0
4880ps "ConnStartEndStrategy"
4881stg "STSignalDisplayStrategy"
4882f (Text
4883uid 532,0
4884va (VaSet
4885)
4886xt "-18000,51000,-11500,52000"
4887st "ram_data : (15:0)"
4888blo "-18000,51800"
4889tm "WireNameMgr"
4890)
4891)
4892on &89
4893)
4894*159 (Wire
4895uid 535,0
4896shape (OrthoPolyLine
4897uid 536,0
4898va (VaSet
4899vasetType 3
4900)
4901xt "-19000,53000,-750,53000"
4902pts [
4903"-19000,53000"
4904"-750,53000"
4905]
4906)
4907end &18
4908sat 16
4909eat 32
4910st 0
4911sf 1
4912si 0
4913tg (WTG
4914uid 539,0
4915ps "ConnStartEndStrategy"
4916stg "STSignalDisplayStrategy"
4917f (Text
4918uid 540,0
4919va (VaSet
4920)
4921xt "-18000,52000,-13900,53000"
4922st "data_valid"
4923blo "-18000,52800"
4924tm "WireNameMgr"
4925)
4926)
4927on &90
4928)
4929*160 (Wire
4930uid 543,0
4931shape (OrthoPolyLine
4932uid 544,0
4933va (VaSet
4934vasetType 3
4935)
4936xt "-19000,54000,-750,54000"
4937pts [
4938"-19000,54000"
4939"-750,54000"
4940]
4941)
4942end &21
4943sat 16
4944eat 32
4945st 0
4946sf 1
4947si 0
4948tg (WTG
4949uid 547,0
4950ps "ConnStartEndStrategy"
4951stg "STSignalDisplayStrategy"
4952f (Text
4953uid 548,0
4954va (VaSet
4955)
4956xt "-18000,53000,-11200,54000"
4957st "write_header_flag"
4958blo "-18000,53800"
4959tm "WireNameMgr"
4960)
4961)
4962on &91
4963)
4964*161 (Wire
4965uid 551,0
4966shape (OrthoPolyLine
4967uid 552,0
4968va (VaSet
4969vasetType 3
4970)
4971xt "-19000,55000,-750,55000"
4972pts [
4973"-19000,55000"
4974"-750,55000"
4975]
4976)
4977end &22
4978sat 16
4979eat 32
4980st 0
4981sf 1
4982si 0
4983tg (WTG
4984uid 555,0
4985ps "ConnStartEndStrategy"
4986stg "STSignalDisplayStrategy"
4987f (Text
4988uid 556,0
4989va (VaSet
4990)
4991xt "-18000,54000,-12300,55000"
4992st "write_end_flag"
4993blo "-18000,54800"
4994tm "WireNameMgr"
4995)
4996)
4997on &92
4998)
4999*162 (Wire
5000uid 559,0
5001shape (OrthoPolyLine
5002uid 560,0
5003va (VaSet
5004vasetType 3
5005lineWidth 2
5006)
5007xt "-19000,56000,-750,56000"
5008pts [
5009"-19000,56000"
5010"-750,56000"
5011]
5012)
5013end &23
5014sat 16
5015eat 32
5016sty 1
5017st 0
5018sf 1
5019si 0
5020tg (WTG
5021uid 563,0
5022ps "ConnStartEndStrategy"
5023stg "STSignalDisplayStrategy"
5024f (Text
5025uid 564,0
5026va (VaSet
5027)
5028xt "-18000,55000,-10200,56000"
5029st "fifo_channels : (3:0)"
5030blo "-18000,55800"
5031tm "WireNameMgr"
5032)
5033)
5034on &93
5035)
5036*163 (Wire
5037uid 567,0
5038shape (OrthoPolyLine
5039uid 568,0
5040va (VaSet
5041vasetType 3
5042)
5043xt "-19000,57000,-750,57000"
5044pts [
5045"-19000,57000"
5046"-750,57000"
5047]
5048)
5049end &28
5050sat 16
5051eat 32
5052st 0
5053sf 1
5054si 0
5055tg (WTG
5056uid 571,0
5057ps "ConnStartEndStrategy"
5058stg "STSignalDisplayStrategy"
5059f (Text
5060uid 572,0
5061va (VaSet
5062)
5063xt "-18000,56000,-5800,57000"
5064st "memory_manager_config_valid_i"
5065blo "-18000,56800"
5066tm "WireNameMgr"
5067)
5068)
5069on &94
5070)
5071*164 (Wire
5072uid 575,0
5073shape (OrthoPolyLine
5074uid 576,0
5075va (VaSet
5076vasetType 3
5077)
5078xt "-19000,58000,-750,58000"
5079pts [
5080"-19000,58000"
5081"-750,58000"
5082]
5083)
5084end &30
5085sat 16
5086eat 32
5087st 0
5088sf 1
5089si 0
5090tg (WTG
5091uid 579,0
5092ps "ConnStartEndStrategy"
5093stg "STSignalDisplayStrategy"
5094f (Text
5095uid 580,0
5096va (VaSet
5097)
5098xt "-18000,57000,-7500,58000"
5099st "spi_interface_config_valid_i"
5100blo "-18000,57800"
5101tm "WireNameMgr"
5102)
5103)
5104on &95
5105)
5106*165 (Wire
5107uid 583,0
5108shape (OrthoPolyLine
5109uid 584,0
5110va (VaSet
5111vasetType 3
5112)
5113xt "-19000,59000,-750,59000"
5114pts [
5115"-19000,59000"
5116"-750,59000"
5117]
5118)
5119end &35
5120sat 16
5121eat 32
5122st 0
5123sf 1
5124si 0
5125tg (WTG
5126uid 587,0
5127ps "ConnStartEndStrategy"
5128stg "STSignalDisplayStrategy"
5129f (Text
5130uid 588,0
5131va (VaSet
5132)
5133xt "-18000,58000,-11800,59000"
5134st "data_ram_empty"
5135blo "-18000,58800"
5136tm "WireNameMgr"
5137)
5138)
5139on &96
5140)
5141*166 (Wire
5142uid 591,0
5143shape (OrthoPolyLine
5144uid 592,0
5145va (VaSet
5146vasetType 3
5147lineWidth 2
5148)
5149xt "-19000,60000,-750,60000"
5150pts [
5151"-19000,60000"
5152"-750,60000"
5153]
5154)
5155end &36
5156sat 16
5157eat 32
5158sty 1
5159st 0
5160sf 1
5161si 0
5162tg (WTG
5163uid 595,0
5164ps "ConnStartEndStrategy"
5165stg "STSignalDisplayStrategy"
5166f (Text
5167uid 596,0
5168va (VaSet
5169)
5170xt "-18000,59000,-10500,60000"
5171st "MAC_jumper : (1:0)"
5172blo "-18000,59800"
5173tm "WireNameMgr"
5174)
5175)
5176on &97
5177)
5178*167 (Wire
5179uid 599,0
5180shape (OrthoPolyLine
5181uid 600,0
5182va (VaSet
5183vasetType 3
5184lineWidth 2
5185)
5186xt "-19000,61000,-750,61000"
5187pts [
5188"-19000,61000"
5189"-750,61000"
5190]
5191)
5192end &37
5193sat 16
5194eat 32
5195sty 1
5196st 0
5197sf 1
5198si 0
5199tg (WTG
5200uid 603,0
5201ps "ConnStartEndStrategy"
5202stg "STSignalDisplayStrategy"
5203f (Text
5204uid 604,0
5205va (VaSet
5206)
5207xt "-18000,60000,-12200,61000"
5208st "BoardID : (3:0)"
5209blo "-18000,60800"
5210tm "WireNameMgr"
5211)
5212)
5213on &98
5214)
5215*168 (Wire
5216uid 607,0
5217shape (OrthoPolyLine
5218uid 608,0
5219va (VaSet
5220vasetType 3
5221lineWidth 2
5222)
5223xt "-19000,62000,-750,62000"
5224pts [
5225"-19000,62000"
5226"-750,62000"
5227]
5228)
5229end &38
5230sat 16
5231eat 32
5232sty 1
5233st 0
5234sf 1
5235si 0
5236tg (WTG
5237uid 611,0
5238ps "ConnStartEndStrategy"
5239stg "STSignalDisplayStrategy"
5240f (Text
5241uid 612,0
5242va (VaSet
5243)
5244xt "-18000,61000,-12300,62000"
5245st "CrateID : (1:0)"
5246blo "-18000,61800"
5247tm "WireNameMgr"
5248)
5249)
5250on &99
5251)
5252*169 (Wire
5253uid 615,0
5254shape (OrthoPolyLine
5255uid 616,0
5256va (VaSet
5257vasetType 3
5258)
5259xt "-19000,63000,-750,63000"
5260pts [
5261"-19000,63000"
5262"-750,63000"
5263]
5264)
5265end &47
5266sat 16
5267eat 32
5268st 0
5269sf 1
5270si 0
5271tg (WTG
5272uid 619,0
5273ps "ConnStartEndStrategy"
5274stg "STSignalDisplayStrategy"
5275f (Text
5276uid 620,0
5277va (VaSet
5278)
5279xt "-18000,62000,-14600,63000"
5280st "ps_ready"
5281blo "-18000,62800"
5282tm "WireNameMgr"
5283)
5284)
5285on &100
5286)
5287*170 (Wire
5288uid 639,0
5289shape (OrthoPolyLine
5290uid 640,0
5291va (VaSet
5292vasetType 3
5293)
5294xt "108000,58000,125000,58000"
5295pts [
5296"108000,58000"
5297"125000,58000"
5298]
5299)
5300start &101
5301sat 1
5302eat 16
5303st 0
5304sf 1
5305si 0
5306tg (WTG
5307uid 645,0
5308ps "ConnStartEndStrategy"
5309stg "STSignalDisplayStrategy"
5310f (Text
5311uid 646,0
5312va (VaSet
5313)
5314xt "109000,57000,114600,58000"
5315st "data_valid_ack"
5316blo "109000,57800"
5317tm "WireNameMgr"
5318)
5319)
5320on &63
5321)
5322*171 (Wire
5323uid 647,0
5324shape (OrthoPolyLine
5325uid 648,0
5326va (VaSet
5327vasetType 3
5328)
5329xt "108000,50000,125000,50000"
5330pts [
5331"108000,50000"
5332"125000,50000"
5333]
5334)
5335start &101
5336sat 1
5337eat 16
5338st 0
5339sf 1
5340si 0
5341tg (WTG
5342uid 653,0
5343ps "ConnStartEndStrategy"
5344stg "STSignalDisplayStrategy"
5345f (Text
5346uid 654,0
5347va (VaSet
5348)
5349xt "109000,49000,112600,50000"
5350st "wiz_reset"
5351blo "109000,49800"
5352tm "WireNameMgr"
5353)
5354)
5355on &56
5356)
5357*172 (Wire
5358uid 655,0
5359shape (OrthoPolyLine
5360uid 656,0
5361va (VaSet
5362vasetType 3
5363lineWidth 2
5364)
5365xt "68000,29000,93250,33000"
5366pts [
5367"68000,33000"
5368"93250,29000"
5369]
5370)
5371end &114
5372es 0
5373sat 16
5374eat 32
5375sty 1
5376st 0
5377sf 1
5378si 0
5379tg (WTG
5380uid 661,0
5381ps "ConnStartEndStrategy"
5382stg "STSignalDisplayStrategy"
5383f (Text
5384uid 662,0
5385va (VaSet
5386)
5387xt "69000,32000,73800,33000"
5388st "data : (15:0)"
5389blo "69000,32800"
5390tm "WireNameMgr"
5391)
5392)
5393on &58
5394)
5395*173 (Wire
5396uid 663,0
5397shape (OrthoPolyLine
5398uid 664,0
5399va (VaSet
5400vasetType 3
5401)
5402xt "108000,59000,125000,59000"
5403pts [
5404"108000,59000"
5405"125000,59000"
5406]
5407)
5408start &101
5409sat 1
5410eat 16
5411st 0
5412sf 1
5413si 0
5414tg (WTG
5415uid 669,0
5416ps "ConnStartEndStrategy"
5417stg "STSignalDisplayStrategy"
5418f (Text
5419uid 670,0
5420va (VaSet
5421)
5422xt "109000,58000,110900,59000"
5423st "busy"
5424blo "109000,58800"
5425tm "WireNameMgr"
5426)
5427)
5428on &64
5429)
5430*174 (Wire
5431uid 671,0
5432shape (OrthoPolyLine
5433uid 672,0
5434va (VaSet
5435vasetType 3
5436)
5437xt "108000,63000,125000,63000"
5438pts [
5439"108000,63000"
5440"125000,63000"
5441]
5442)
5443start &101
5444sat 1
5445eat 16
5446st 0
5447sf 1
5448si 0
5449tg (WTG
5450uid 677,0
5451ps "ConnStartEndStrategy"
5452stg "STSignalDisplayStrategy"
5453f (Text
5454uid 678,0
5455va (VaSet
5456)
5457xt "109000,62000,121400,63000"
5458st "memory_manager_config_start_o"
5459blo "109000,62800"
5460tm "WireNameMgr"
5461)
5462)
5463on &68
5464)
5465*175 (Wire
5466uid 679,0
5467shape (OrthoPolyLine
5468uid 680,0
5469va (VaSet
5470vasetType 3
5471)
5472xt "108000,47000,125000,47000"
5473pts [
5474"108000,47000"
5475"125000,47000"
5476]
5477)
5478start &101
5479sat 1
5480eat 16
5481st 0
5482sf 1
5483si 0
5484tg (WTG
5485uid 685,0
5486ps "ConnStartEndStrategy"
5487stg "STSignalDisplayStrategy"
5488f (Text
5489uid 686,0
5490va (VaSet
5491)
5492xt "109000,46000,118100,47000"
5493st "debug_data_ram_empty"
5494blo "109000,46800"
5495tm "WireNameMgr"
5496)
5497)
5498on &54
5499)
5500*176 (Wire
5501uid 687,0
5502shape (OrthoPolyLine
5503uid 688,0
5504va (VaSet
5505vasetType 3
5506lineWidth 2
5507)
5508xt "108000,46000,125000,46000"
5509pts [
5510"108000,46000"
5511"125000,46000"
5512]
5513)
5514start &101
5515sat 1
5516eat 16
5517sty 1
5518st 0
5519sf 1
5520si 0
5521tg (WTG
5522uid 693,0
5523ps "ConnStartEndStrategy"
5524stg "STSignalDisplayStrategy"
5525f (Text
5526uid 694,0
5527va (VaSet
5528)
5529xt "109000,45000,113600,46000"
5530st "state : (7:0)"
5531blo "109000,45800"
5532tm "WireNameMgr"
5533)
5534)
5535on &53
5536)
5537*177 (Wire
5538uid 695,0
5539shape (OrthoPolyLine
5540uid 696,0
5541va (VaSet
5542vasetType 3
5543)
5544xt "108000,61000,125000,61000"
5545pts [
5546"108000,61000"
5547"125000,61000"
5548]
5549)
5550start &101
5551sat 1
5552eat 16
5553st 0
5554sf 1
5555si 0
5556tg (WTG
5557uid 701,0
5558ps "ConnStartEndStrategy"
5559stg "STSignalDisplayStrategy"
5560f (Text
5561uid 702,0
5562va (VaSet
5563)
5564xt "109000,60000,115600,61000"
5565st "c_trigger_enable"
5566blo "109000,60800"
5567tm "WireNameMgr"
5568)
5569)
5570on &66
5571)
5572*178 (Wire
5573uid 711,0
5574shape (OrthoPolyLine
5575uid 712,0
5576va (VaSet
5577vasetType 3
5578)
5579xt "108000,48000,125000,48000"
5580pts [
5581"108000,48000"
5582"125000,48000"
5583]
5584)
5585start &101
5586sat 1
5587eat 16
5588st 0
5589sf 1
5590si 0
5591tg (WTG
5592uid 717,0
5593ps "ConnStartEndStrategy"
5594stg "STSignalDisplayStrategy"
5595f (Text
5596uid 718,0
5597va (VaSet
5598)
5599xt "109000,47000,115600,48000"
5600st "debug_data_valid"
5601blo "109000,47800"
5602tm "WireNameMgr"
5603)
5604)
5605on &55
5606)
5607*179 (Wire
5608uid 719,0
5609shape (OrthoPolyLine
5610uid 720,0
5611va (VaSet
5612vasetType 3
5613lineWidth 2
5614)
5615xt "108000,57000,125000,57000"
5616pts [
5617"108000,57000"
5618"125000,57000"
5619]
5620)
5621start &101
5622sat 1
5623eat 16
5624sty 1
5625st 0
5626sf 1
5627si 0
5628tg (WTG
5629uid 725,0
5630ps "ConnStartEndStrategy"
5631stg "STSignalDisplayStrategy"
5632f (Text
5633uid 726,0
5634va (VaSet
5635)
5636xt "110000,56000,116600,57000"
5637st "ram_addr : (13:0)"
5638blo "110000,56800"
5639tm "WireNameMgr"
5640)
5641)
5642on &62
5643)
5644*180 (Wire
5645uid 727,0
5646shape (OrthoPolyLine
5647uid 728,0
5648va (VaSet
5649vasetType 3
5650)
5651xt "108000,60000,125000,60000"
5652pts [
5653"108000,60000"
5654"125000,60000"
5655]
5656)
5657start &101
5658sat 1
5659eat 16
5660st 0
5661sf 1
5662si 0
5663tg (WTG
5664uid 733,0
5665ps "ConnStartEndStrategy"
5666stg "STSignalDisplayStrategy"
5667f (Text
5668uid 734,0
5669va (VaSet
5670)
5671xt "109000,59000,112600,60000"
5672st "s_trigger"
5673blo "109000,59800"
5674tm "WireNameMgr"
5675)
5676)
5677on &65
5678)
5679*181 (Wire
5680uid 735,0
5681shape (OrthoPolyLine
5682uid 736,0
5683va (VaSet
5684vasetType 3
5685)
5686xt "71000,33000,93250,34000"
5687pts [
5688"71000,34000"
5689"93250,33000"
5690]
5691)
5692end &116
5693sat 16
5694eat 32
5695st 0
5696sf 1
5697si 0
5698tg (WTG
5699uid 741,0
5700ps "ConnStartEndStrategy"
5701stg "STSignalDisplayStrategy"
5702f (Text
5703uid 742,0
5704va (VaSet
5705)
5706xt "72000,33000,73200,34000"
5707st "wr"
5708blo "72000,33800"
5709tm "WireNameMgr"
5710)
5711)
5712on &60
5713)
5714*182 (Wire
5715uid 743,0
5716shape (OrthoPolyLine
5717uid 744,0
5718va (VaSet
5719vasetType 3
5720)
5721xt "63000,32000,93250,35000"
5722pts [
5723"63000,35000"
5724"93250,32000"
5725]
5726)
5727end &115
5728es 0
5729sat 16
5730eat 32
5731st 0
5732sf 1
5733si 0
5734tg (WTG
5735uid 749,0
5736ps "ConnStartEndStrategy"
5737stg "STSignalDisplayStrategy"
5738f (Text
5739uid 750,0
5740va (VaSet
5741)
5742xt "64000,34000,65100,35000"
5743st "rd"
5744blo "64000,34800"
5745tm "WireNameMgr"
5746)
5747)
5748on &61
5749)
5750*183 (Wire
5751uid 751,0
5752shape (OrthoPolyLine
5753uid 752,0
5754va (VaSet
5755vasetType 3
5756lineWidth 2
5757)
5758xt "70000,28000,93250,30000"
5759pts [
5760"70000,30000"
5761"93250,28000"
5762]
5763)
5764end &113
5765es 0
5766sat 16
5767eat 32
5768sty 1
5769st 0
5770sf 1
5771si 0
5772tg (WTG
5773uid 757,0
5774ps "ConnStartEndStrategy"
5775stg "STSignalDisplayStrategy"
5776f (Text
5777uid 758,0
5778va (VaSet
5779)
5780xt "71000,29000,75500,30000"
5781st "addr : (9:0)"
5782blo "71000,29800"
5783tm "WireNameMgr"
5784)
5785)
5786on &57
5787)
5788*184 (Wire
5789uid 759,0
5790shape (OrthoPolyLine
5791uid 760,0
5792va (VaSet
5793vasetType 3
5794lineWidth 2
5795)
5796xt "108000,67000,125000,67000"
5797pts [
5798"108000,67000"
5799"125000,67000"
5800]
5801)
5802start &101
5803sat 1
5804eat 16
5805sty 1
5806st 0
5807sf 1
5808si 0
5809tg (WTG
5810uid 765,0
5811ps "ConnStartEndStrategy"
5812stg "STSignalDisplayStrategy"
5813f (Text
5814uid 766,0
5815va (VaSet
5816)
5817xt "109000,66000,116000,67000"
5818st "runnumber : (31:0)"
5819blo "109000,66800"
5820tm "WireNameMgr"
5821)
5822)
5823on &72
5824)
5825*185 (Wire
5826uid 767,0
5827shape (OrthoPolyLine
5828uid 768,0
5829va (VaSet
5830vasetType 3
5831lineWidth 2
5832)
5833xt "55000,49000,74000,49000"
5834pts [
5835"55000,49000"
5836"74000,49000"
5837]
5838)
5839end &101
5840sat 16
5841eat 2
5842sty 1
5843st 0
5844sf 1
5845si 0
5846tg (WTG
5847uid 773,0
5848ps "ConnStartEndStrategy"
5849stg "STSignalDisplayStrategy"
5850f (Text
5851uid 774,0
5852va (VaSet
5853)
5854xt "56000,48000,63900,49000"
5855st "write_length : (16:0)"
5856blo "56000,48800"
5857tm "WireNameMgr"
5858)
5859)
5860on &87
5861)
5862*186 (Wire
5863uid 775,0
5864shape (OrthoPolyLine
5865uid 776,0
5866va (VaSet
5867vasetType 3
5868)
5869xt "108000,70000,125000,70000"
5870pts [
5871"108000,70000"
5872"125000,70000"
5873]
5874)
5875start &101
5876sat 1
5877eat 16
5878st 0
5879sf 1
5880si 0
5881tg (WTG
5882uid 781,0
5883ps "ConnStartEndStrategy"
5884stg "STSignalDisplayStrategy"
5885f (Text
5886uid 782,0
5887va (VaSet
5888)
5889xt "109000,69000,112000,70000"
5890st "denable"
5891blo "109000,69800"
5892tm "WireNameMgr"
5893)
5894)
5895on &75
5896)
5897*187 (Wire
5898uid 783,0
5899shape (OrthoPolyLine
5900uid 784,0
5901va (VaSet
5902vasetType 3
5903lineWidth 2
5904)
5905xt "55000,50000,74000,50000"
5906pts [
5907"55000,50000"
5908"74000,50000"
5909]
5910)
5911end &101
5912sat 16
5913eat 2
5914sty 1
5915st 0
5916sf 1
5917si 0
5918tg (WTG
5919uid 789,0
5920ps "ConnStartEndStrategy"
5921stg "STSignalDisplayStrategy"
5922f (Text
5923uid 790,0
5924va (VaSet
5925)
5926xt "57000,49000,65900,50000"
5927st "ram_start_addr : (13:0)"
5928blo "57000,49800"
5929tm "WireNameMgr"
5930)
5931)
5932on &88
5933)
5934*188 (Wire
5935uid 791,0
5936shape (OrthoPolyLine
5937uid 792,0
5938va (VaSet
5939vasetType 3
5940)
5941xt "108000,71000,125000,71000"
5942pts [
5943"108000,71000"
5944"125000,71000"
5945]
5946)
5947start &101
5948sat 1
5949eat 16
5950st 0
5951sf 1
5952si 0
5953tg (WTG
5954uid 797,0
5955ps "ConnStartEndStrategy"
5956stg "STSignalDisplayStrategy"
5957f (Text
5958uid 798,0
5959va (VaSet
5960)
5961xt "109000,70000,114400,71000"
5962st "dwrite_enable"
5963blo "109000,70800"
5964tm "WireNameMgr"
5965)
5966)
5967on &76
5968)
5969*189 (Wire
5970uid 799,0
5971shape (OrthoPolyLine
5972uid 800,0
5973va (VaSet
5974vasetType 3
5975lineWidth 2
5976)
5977xt "55000,51000,74000,51000"
5978pts [
5979"55000,51000"
5980"74000,51000"
5981]
5982)
5983end &101
5984sat 16
5985eat 2
5986sty 1
5987st 0
5988sf 1
5989si 0
5990tg (WTG
5991uid 805,0
5992ps "ConnStartEndStrategy"
5993stg "STSignalDisplayStrategy"
5994f (Text
5995uid 806,0
5996va (VaSet
5997)
5998xt "56000,50000,62500,51000"
5999st "ram_data : (15:0)"
6000blo "56000,50800"
6001tm "WireNameMgr"
6002)
6003)
6004on &89
6005)
6006*190 (Wire
6007uid 807,0
6008shape (OrthoPolyLine
6009uid 808,0
6010va (VaSet
6011vasetType 3
6012)
6013xt "108000,73000,125000,73000"
6014pts [
6015"108000,73000"
6016"125000,73000"
6017]
6018)
6019start &101
6020sat 1
6021eat 16
6022st 0
6023sf 1
6024si 0
6025tg (WTG
6026uid 813,0
6027ps "ConnStartEndStrategy"
6028stg "STSignalDisplayStrategy"
6029f (Text
6030uid 814,0
6031va (VaSet
6032)
6033xt "109000,72000,114000,73000"
6034st "srclk_enable"
6035blo "109000,72800"
6036tm "WireNameMgr"
6037)
6038)
6039on &78
6040)
6041*191 (Wire
6042uid 815,0
6043shape (OrthoPolyLine
6044uid 816,0
6045va (VaSet
6046vasetType 3
6047)
6048xt "108000,68000,125000,68000"
6049pts [
6050"108000,68000"
6051"125000,68000"
6052]
6053)
6054start &101
6055sat 1
6056eat 16
6057st 0
6058sf 1
6059si 0
6060tg (WTG
6061uid 821,0
6062ps "ConnStartEndStrategy"
6063stg "STSignalDisplayStrategy"
6064f (Text
6065uid 822,0
6066va (VaSet
6067)
6068xt "109000,67000,115300,68000"
6069st "reset_trigger_id"
6070blo "109000,67800"
6071tm "WireNameMgr"
6072)
6073)
6074on &73
6075)
6076*192 (Wire
6077uid 823,0
6078shape (OrthoPolyLine
6079uid 824,0
6080va (VaSet
6081vasetType 3
6082)
6083xt "108000,74000,125000,74000"
6084pts [
6085"108000,74000"
6086"125000,74000"
6087]
6088)
6089start &101
6090sat 1
6091eat 16
6092st 0
6093sf 1
6094si 0
6095tg (WTG
6096uid 829,0
6097ps "ConnStartEndStrategy"
6098stg "STSignalDisplayStrategy"
6099f (Text
6100uid 830,0
6101va (VaSet
6102)
6103xt "109000,73000,113900,74000"
6104st "ps_direction"
6105blo "109000,73800"
6106tm "WireNameMgr"
6107)
6108)
6109on &79
6110)
6111*193 (Wire
6112uid 831,0
6113shape (OrthoPolyLine
6114uid 832,0
6115va (VaSet
6116vasetType 3
6117)
6118xt "108000,65000,125000,65000"
6119pts [
6120"108000,65000"
6121"125000,65000"
6122]
6123)
6124start &101
6125sat 1
6126eat 16
6127st 0
6128sf 1
6129si 0
6130tg (WTG
6131uid 837,0
6132ps "ConnStartEndStrategy"
6133stg "STSignalDisplayStrategy"
6134f (Text
6135uid 838,0
6136va (VaSet
6137)
6138xt "109000,64000,113700,65000"
6139st "dac_setting"
6140blo "109000,64800"
6141tm "WireNameMgr"
6142)
6143)
6144on &70
6145)
6146*194 (Wire
6147uid 839,0
6148shape (OrthoPolyLine
6149uid 840,0
6150va (VaSet
6151vasetType 3
6152)
6153xt "108000,69000,125000,69000"
6154pts [
6155"108000,69000"
6156"125000,69000"
6157]
6158)
6159start &101
6160sat 1
6161eat 16
6162st 0
6163sf 1
6164si 0
6165tg (WTG
6166uid 845,0
6167ps "ConnStartEndStrategy"
6168stg "STSignalDisplayStrategy"
6169f (Text
6170uid 846,0
6171va (VaSet
6172)
6173xt "109000,68000,114800,69000"
6174st "trigger_enable"
6175blo "109000,68800"
6176tm "WireNameMgr"
6177)
6178)
6179on &74
6180)
6181*195 (Wire
6182uid 847,0
6183shape (OrthoPolyLine
6184uid 848,0
6185va (VaSet
6186vasetType 3
6187)
6188xt "108000,72000,125000,72000"
6189pts [
6190"108000,72000"
6191"125000,72000"
6192]
6193)
6194start &101
6195sat 1
6196eat 16
6197st 0
6198sf 1
6199si 0
6200tg (WTG
6201uid 853,0
6202ps "ConnStartEndStrategy"
6203stg "STSignalDisplayStrategy"
6204f (Text
6205uid 854,0
6206va (VaSet
6207)
6208xt "109000,71000,113700,72000"
6209st "sclk_enable"
6210blo "109000,71800"
6211tm "WireNameMgr"
6212)
6213)
6214on &77
6215)
6216*196 (Wire
6217uid 855,0
6218shape (OrthoPolyLine
6219uid 856,0
6220va (VaSet
6221vasetType 3
6222)
6223xt "108000,75000,125000,75000"
6224pts [
6225"108000,75000"
6226"125000,75000"
6227]
6228)
6229start &101
6230sat 1
6231eat 16
6232st 0
6233sf 1
6234si 0
6235tg (WTG
6236uid 861,0
6237ps "ConnStartEndStrategy"
6238stg "STSignalDisplayStrategy"
6239f (Text
6240uid 862,0
6241va (VaSet
6242)
6243xt "109000,74000,116000,75000"
6244st "ps_do_phase_shift"
6245blo "109000,74800"
6246tm "WireNameMgr"
6247)
6248)
6249on &80
6250)
6251*197 (Wire
6252uid 863,0
6253shape (OrthoPolyLine
6254uid 864,0
6255va (VaSet
6256vasetType 3
6257)
6258xt "108000,64000,125000,64000"
6259pts [
6260"108000,64000"
6261"125000,64000"
6262]
6263)
6264start &101
6265sat 1
6266eat 16
6267st 0
6268sf 1
6269si 0
6270tg (WTG
6271uid 869,0
6272ps "ConnStartEndStrategy"
6273stg "STSignalDisplayStrategy"
6274f (Text
6275uid 870,0
6276va (VaSet
6277)
6278xt "109000,63000,119700,64000"
6279st "spi_interface_config_start_o"
6280blo "109000,63800"
6281tm "WireNameMgr"
6282)
6283)
6284on &69
6285)
6286*198 (Wire
6287uid 871,0
6288shape (OrthoPolyLine
6289uid 872,0
6290va (VaSet
6291vasetType 3
6292)
6293xt "68000,35000,93250,38000"
6294pts [
6295"68000,38000"
6296"93250,35000"
6297]
6298)
6299end &118
6300es 0
6301sat 16
6302eat 32
6303st 0
6304sf 1
6305si 0
6306tg (WTG
6307uid 877,0
6308ps "ConnStartEndStrategy"
6309stg "STSignalDisplayStrategy"
6310f (Text
6311uid 878,0
6312va (VaSet
6313)
6314xt "69000,37000,70200,38000"
6315st "cs"
6316blo "69000,37800"
6317tm "WireNameMgr"
6318)
6319)
6320on &59
6321)
6322*199 (Wire
6323uid 879,0
6324shape (OrthoPolyLine
6325uid 880,0
6326va (VaSet
6327vasetType 3
6328)
6329xt "108000,66000,125000,66000"
6330pts [
6331"108000,66000"
6332"125000,66000"
6333]
6334)
6335start &101
6336sat 1
6337eat 16
6338st 0
6339sf 1
6340si 0
6341tg (WTG
6342uid 885,0
6343ps "ConnStartEndStrategy"
6344stg "STSignalDisplayStrategy"
6345f (Text
6346uid 886,0
6347va (VaSet
6348)
6349xt "109000,65000,113400,66000"
6350st "roi_setting"
6351blo "109000,65800"
6352tm "WireNameMgr"
6353)
6354)
6355on &71
6356)
6357*200 (Wire
6358uid 887,0
6359shape (OrthoPolyLine
6360uid 888,0
6361va (VaSet
6362vasetType 3
6363lineWidth 2
6364)
6365xt "108000,62000,125000,62000"
6366pts [
6367"108000,62000"
6368"125000,62000"
6369]
6370)
6371start &101
6372sat 1
6373eat 16
6374sty 1
6375st 0
6376sf 1
6377si 0
6378tg (WTG
6379uid 893,0
6380ps "ConnStartEndStrategy"
6381stg "STSignalDisplayStrategy"
6382f (Text
6383uid 894,0
6384va (VaSet
6385)
6386xt "109000,61000,117800,62000"
6387st "c_trigger_mult : (15:0)"
6388blo "109000,61800"
6389tm "WireNameMgr"
6390)
6391)
6392on &67
6393)
6394*201 (Wire
6395uid 895,0
6396shape (OrthoPolyLine
6397uid 896,0
6398va (VaSet
6399vasetType 3
6400)
6401xt "55000,46000,74000,46000"
6402pts [
6403"55000,46000"
6404"74000,46000"
6405]
6406)
6407end &101
6408sat 16
6409eat 2
6410st 0
6411sf 1
6412si 0
6413tg (WTG
6414uid 901,0
6415ps "ConnStartEndStrategy"
6416stg "STSignalDisplayStrategy"
6417f (Text
6418uid 902,0
6419va (VaSet
6420)
6421xt "56000,45000,64500,46000"
6422st "data_generator_idle_i"
6423blo "56000,45800"
6424tm "WireNameMgr"
6425)
6426)
6427on &84
6428)
6429*202 (Wire
6430uid 903,0
6431shape (OrthoPolyLine
6432uid 904,0
6433va (VaSet
6434vasetType 3
6435)
6436xt "55000,52000,74000,52000"
6437pts [
6438"55000,52000"
6439"74000,52000"
6440]
6441)
6442end &101
6443sat 16
6444eat 2
6445st 0
6446sf 1
6447si 0
6448tg (WTG
6449uid 909,0
6450ps "ConnStartEndStrategy"
6451stg "STSignalDisplayStrategy"
6452f (Text
6453uid 910,0
6454va (VaSet
6455)
6456xt "56000,51000,60100,52000"
6457st "data_valid"
6458blo "56000,51800"
6459tm "WireNameMgr"
6460)
6461)
6462on &90
6463)
6464*203 (Wire
6465uid 911,0
6466shape (OrthoPolyLine
6467uid 912,0
6468va (VaSet
6469vasetType 3
6470lineWidth 2
6471)
6472xt "55000,55000,74000,55000"
6473pts [
6474"55000,55000"
6475"74000,55000"
6476]
6477)
6478end &101
6479sat 16
6480eat 2
6481sty 1
6482st 0
6483sf 1
6484si 0
6485tg (WTG
6486uid 917,0
6487ps "ConnStartEndStrategy"
6488stg "STSignalDisplayStrategy"
6489f (Text
6490uid 918,0
6491va (VaSet
6492)
6493xt "56000,54000,63800,55000"
6494st "fifo_channels : (3:0)"
6495blo "56000,54800"
6496tm "WireNameMgr"
6497)
6498)
6499on &93
6500)
6501*204 (Wire
6502uid 919,0
6503shape (OrthoPolyLine
6504uid 920,0
6505va (VaSet
6506vasetType 3
6507)
6508xt "55000,54000,74000,54000"
6509pts [
6510"55000,54000"
6511"74000,54000"
6512]
6513)
6514end &101
6515sat 16
6516eat 2
6517st 0
6518sf 1
6519si 0
6520tg (WTG
6521uid 925,0
6522ps "ConnStartEndStrategy"
6523stg "STSignalDisplayStrategy"
6524f (Text
6525uid 926,0
6526va (VaSet
6527)
6528xt "56000,53000,61700,54000"
6529st "write_end_flag"
6530blo "56000,53800"
6531tm "WireNameMgr"
6532)
6533)
6534on &92
6535)
6536*205 (Wire
6537uid 927,0
6538shape (OrthoPolyLine
6539uid 928,0
6540va (VaSet
6541vasetType 3
6542)
6543xt "55000,56000,74000,56000"
6544pts [
6545"55000,56000"
6546"74000,56000"
6547]
6548)
6549end &101
6550sat 16
6551eat 2
6552st 0
6553sf 1
6554si 0
6555tg (WTG
6556uid 933,0
6557ps "ConnStartEndStrategy"
6558stg "STSignalDisplayStrategy"
6559f (Text
6560uid 934,0
6561va (VaSet
6562)
6563xt "56000,55000,68200,56000"
6564st "memory_manager_config_valid_i"
6565blo "56000,55800"
6566tm "WireNameMgr"
6567)
6568)
6569on &94
6570)
6571*206 (Wire
6572uid 935,0
6573shape (OrthoPolyLine
6574uid 936,0
6575va (VaSet
6576vasetType 3
6577)
6578xt "55000,58000,74000,58000"
6579pts [
6580"55000,58000"
6581"74000,58000"
6582]
6583)
6584end &101
6585sat 16
6586eat 2
6587st 0
6588sf 1
6589si 0
6590tg (WTG
6591uid 941,0
6592ps "ConnStartEndStrategy"
6593stg "STSignalDisplayStrategy"
6594f (Text
6595uid 942,0
6596va (VaSet
6597)
6598xt "56000,57000,62200,58000"
6599st "data_ram_empty"
6600blo "56000,57800"
6601tm "WireNameMgr"
6602)
6603)
6604on &96
6605)
6606*207 (Wire
6607uid 943,0
6608shape (OrthoPolyLine
6609uid 944,0
6610va (VaSet
6611vasetType 3
6612)
6613xt "55000,57000,74000,57000"
6614pts [
6615"55000,57000"
6616"74000,57000"
6617]
6618)
6619end &101
6620sat 16
6621eat 2
6622st 0
6623sf 1
6624si 0
6625tg (WTG
6626uid 949,0
6627ps "ConnStartEndStrategy"
6628stg "STSignalDisplayStrategy"
6629f (Text
6630uid 950,0
6631va (VaSet
6632)
6633xt "56000,56000,66500,57000"
6634st "spi_interface_config_valid_i"
6635blo "56000,56800"
6636tm "WireNameMgr"
6637)
6638)
6639on &95
6640)
6641*208 (Wire
6642uid 951,0
6643shape (OrthoPolyLine
6644uid 952,0
6645va (VaSet
6646vasetType 3
6647lineWidth 2
6648)
6649xt "55000,59000,74000,59000"
6650pts [
6651"55000,59000"
6652"74000,59000"
6653]
6654)
6655end &101
6656sat 16
6657eat 2
6658sty 1
6659st 0
6660sf 1
6661si 0
6662tg (WTG
6663uid 957,0
6664ps "ConnStartEndStrategy"
6665stg "STSignalDisplayStrategy"
6666f (Text
6667uid 958,0
6668va (VaSet
6669)
6670xt "56000,58000,63500,59000"
6671st "MAC_jumper : (1:0)"
6672blo "56000,58800"
6673tm "WireNameMgr"
6674)
6675)
6676on &97
6677)
6678*209 (Wire
6679uid 959,0
6680shape (OrthoPolyLine
6681uid 960,0
6682va (VaSet
6683vasetType 3
6684)
6685xt "108000,76000,125000,76000"
6686pts [
6687"108000,76000"
6688"125000,76000"
6689]
6690)
6691start &101
6692sat 1
6693eat 16
6694st 0
6695sf 1
6696si 0
6697tg (WTG
6698uid 965,0
6699ps "ConnStartEndStrategy"
6700stg "STSignalDisplayStrategy"
6701f (Text
6702uid 966,0
6703va (VaSet
6704)
6705xt "109000,75000,112300,76000"
6706st "ps_reset"
6707blo "109000,75800"
6708tm "WireNameMgr"
6709)
6710)
6711on &81
6712)
6713*210 (Wire
6714uid 967,0
6715shape (OrthoPolyLine
6716uid 968,0
6717va (VaSet
6718vasetType 3
6719)
6720xt "108000,77000,125000,77000"
6721pts [
6722"108000,77000"
6723"125000,77000"
6724]
6725)
6726start &101
6727sat 1
6728eat 16
6729st 0
6730sf 1
6731si 0
6732tg (WTG
6733uid 973,0
6734ps "ConnStartEndStrategy"
6735stg "STSignalDisplayStrategy"
6736f (Text
6737uid 974,0
6738va (VaSet
6739)
6740xt "109000,76000,114500,77000"
6741st "socks_waiting"
6742blo "109000,76800"
6743tm "WireNameMgr"
6744)
6745)
6746on &82
6747)
6748*211 (Wire
6749uid 975,0
6750shape (OrthoPolyLine
6751uid 976,0
6752va (VaSet
6753vasetType 3
6754)
6755xt "55000,53000,74000,53000"
6756pts [
6757"55000,53000"
6758"74000,53000"
6759]
6760)
6761end &101
6762sat 16
6763eat 2
6764st 0
6765sf 1
6766si 0
6767tg (WTG
6768uid 981,0
6769ps "ConnStartEndStrategy"
6770stg "STSignalDisplayStrategy"
6771f (Text
6772uid 982,0
6773va (VaSet
6774)
6775xt "56000,52000,62800,53000"
6776st "write_header_flag"
6777blo "56000,52800"
6778tm "WireNameMgr"
6779)
6780)
6781on &91
6782)
6783*212 (Wire
6784uid 983,0
6785shape (OrthoPolyLine
6786uid 984,0
6787va (VaSet
6788vasetType 3
6789)
6790xt "108000,78000,125000,78000"
6791pts [
6792"108000,78000"
6793"125000,78000"
6794]
6795)
6796start &101
6797sat 1
6798eat 16
6799st 0
6800sf 1
6801si 0
6802tg (WTG
6803uid 989,0
6804ps "ConnStartEndStrategy"
6805stg "STSignalDisplayStrategy"
6806f (Text
6807uid 990,0
6808va (VaSet
6809)
6810xt "109000,77000,115500,78000"
6811st "socks_connected"
6812blo "109000,77800"
6813tm "WireNameMgr"
6814)
6815)
6816on &83
6817)
6818*213 (Wire
6819uid 991,0
6820shape (OrthoPolyLine
6821uid 992,0
6822va (VaSet
6823vasetType 3
6824lineWidth 2
6825)
6826xt "55000,60000,74000,60000"
6827pts [
6828"55000,60000"
6829"74000,60000"
6830]
6831)
6832end &101
6833sat 16
6834eat 2
6835sty 1
6836st 0
6837sf 1
6838si 0
6839tg (WTG
6840uid 997,0
6841ps "ConnStartEndStrategy"
6842stg "STSignalDisplayStrategy"
6843f (Text
6844uid 998,0
6845va (VaSet
6846)
6847xt "56000,59000,61800,60000"
6848st "BoardID : (3:0)"
6849blo "56000,59800"
6850tm "WireNameMgr"
6851)
6852)
6853on &98
6854)
6855*214 (Wire
6856uid 999,0
6857shape (OrthoPolyLine
6858uid 1000,0
6859va (VaSet
6860vasetType 3
6861)
6862xt "55000,62000,74000,62000"
6863pts [
6864"55000,62000"
6865"74000,62000"
6866]
6867)
6868end &101
6869sat 16
6870eat 2
6871st 0
6872sf 1
6873si 0
6874tg (WTG
6875uid 1005,0
6876ps "ConnStartEndStrategy"
6877stg "STSignalDisplayStrategy"
6878f (Text
6879uid 1006,0
6880va (VaSet
6881)
6882xt "56000,61000,59400,62000"
6883st "ps_ready"
6884blo "56000,61800"
6885tm "WireNameMgr"
6886)
6887)
6888on &100
6889)
6890*215 (Wire
6891uid 1007,0
6892shape (OrthoPolyLine
6893uid 1008,0
6894va (VaSet
6895vasetType 3
6896lineWidth 2
6897)
6898xt "55000,61000,74000,61000"
6899pts [
6900"55000,61000"
6901"74000,61000"
6902]
6903)
6904end &101
6905sat 16
6906eat 2
6907sty 1
6908st 0
6909sf 1
6910si 0
6911tg (WTG
6912uid 1013,0
6913ps "ConnStartEndStrategy"
6914stg "STSignalDisplayStrategy"
6915f (Text
6916uid 1014,0
6917va (VaSet
6918)
6919xt "56000,60000,61700,61000"
6920st "CrateID : (1:0)"
6921blo "56000,60800"
6922tm "WireNameMgr"
6923)
6924)
6925on &99
6926)
6927*216 (Wire
6928uid 1015,0
6929shape (OrthoPolyLine
6930uid 1016,0
6931va (VaSet
6932vasetType 3
6933)
6934xt "108750,27000,124000,28000"
6935pts [
6936"108750,28000"
6937"124000,27000"
6938]
6939)
6940start &117
6941sat 32
6942eat 16
6943st 0
6944sf 1
6945si 0
6946tg (WTG
6947uid 1021,0
6948ps "ConnStartEndStrategy"
6949stg "STSignalDisplayStrategy"
6950f (Text
6951uid 1022,0
6952va (VaSet
6953)
6954xt "110000,27000,111200,28000"
6955st "int"
6956blo "110000,27800"
6957tm "WireNameMgr"
6958)
6959)
6960on &86
6961)
6962*217 (Wire
6963uid 1023,0
6964shape (OrthoPolyLine
6965uid 1024,0
6966va (VaSet
6967vasetType 3
6968)
6969xt "55000,47000,74000,47000"
6970pts [
6971"55000,47000"
6972"74000,47000"
6973]
6974)
6975end &101
6976sat 16
6977eat 1
6978st 0
6979sf 1
6980si 0
6981tg (WTG
6982uid 1029,0
6983ps "ConnStartEndStrategy"
6984stg "STSignalDisplayStrategy"
6985f (Text
6986uid 1030,0
6987va (VaSet
6988)
6989xt "56000,46000,57300,47000"
6990st "clk"
6991blo "56000,46800"
6992tm "WireNameMgr"
6993)
6994)
6995on &85
6996)
6997*218 (Wire
6998uid 1552,0
6999shape (OrthoPolyLine
7000uid 1553,0
7001va (VaSet
7002vasetType 3
7003)
7004xt "80750,20000,84000,20000"
7005pts [
7006"80750,20000"
7007"84000,20000"
7008]
7009)
7010start &106
7011sat 32
7012eat 16
7013st 0
7014sf 1
7015si 0
7016tg (WTG
7017uid 1556,0
7018ps "ConnStartEndStrategy"
7019stg "STSignalDisplayStrategy"
7020f (Text
7021uid 1557,0
7022va (VaSet
7023)
7024xt "82000,19000,83300,20000"
7025st "clk"
7026blo "82000,19800"
7027tm "WireNameMgr"
7028)
7029)
7030on &85
7031)
7032]
7033bg "65535,65535,65535"
7034grid (Grid
7035origin "0,0"
7036isVisible 1
7037isActive 1
7038xSpacing 1000
7039xySpacing 1000
7040xShown 1
7041yShown 1
7042color "26368,26368,26368"
7043)
7044packageList *219 (PackageList
7045uid 1163,0
7046stg "VerticalLayoutStrategy"
7047textVec [
7048*220 (Text
7049uid 1164,0
7050va (VaSet
7051font "arial,8,1"
7052)
7053xt "0,0,5400,1000"
7054st "Package List"
7055blo "0,800"
7056)
7057*221 (MLText
7058uid 1165,0
7059va (VaSet
7060)
7061xt "0,1000,15600,7000"
7062st "LIBRARY IEEE;
7063USE IEEE.STD_LOGIC_1164.ALL;
7064USE IEEE.STD_LOGIC_ARITH.ALL;
7065USE IEEE.STD_LOGIC_UNSIGNED.ALL;
7066LIBRARY FACT_FAD_lib;
7067USE FACT_FAD_lib.fad_definitions.ALL;"
7068tm "PackageList"
7069)
7070]
7071)
7072compDirBlock (MlTextGroup
7073uid 1166,0
7074stg "VerticalLayoutStrategy"
7075textVec [
7076*222 (Text
7077uid 1167,0
7078va (VaSet
7079isHidden 1
7080font "Arial,8,1"
7081)
7082xt "20000,0,28100,1000"
7083st "Compiler Directives"
7084blo "20000,800"
7085)
7086*223 (Text
7087uid 1168,0
7088va (VaSet
7089isHidden 1
7090font "Arial,8,1"
7091)
7092xt "20000,1000,29600,2000"
7093st "Pre-module directives:"
7094blo "20000,1800"
7095)
7096*224 (MLText
7097uid 1169,0
7098va (VaSet
7099isHidden 1
7100)
7101xt "20000,2000,27500,4000"
7102st "`resetall
7103`timescale 1ns/10ps"
7104tm "BdCompilerDirectivesTextMgr"
7105)
7106*225 (Text
7107uid 1170,0
7108va (VaSet
7109isHidden 1
7110font "Arial,8,1"
7111)
7112xt "20000,4000,30100,5000"
7113st "Post-module directives:"
7114blo "20000,4800"
7115)
7116*226 (MLText
7117uid 1171,0
7118va (VaSet
7119isHidden 1
7120)
7121xt "20000,0,20000,0"
7122tm "BdCompilerDirectivesTextMgr"
7123)
7124*227 (Text
7125uid 1172,0
7126va (VaSet
7127isHidden 1
7128font "Arial,8,1"
7129)
7130xt "20000,5000,29900,6000"
7131st "End-module directives:"
7132blo "20000,5800"
7133)
7134*228 (MLText
7135uid 1173,0
7136va (VaSet
7137isHidden 1
7138)
7139xt "20000,6000,20000,6000"
7140tm "BdCompilerDirectivesTextMgr"
7141)
7142]
7143associable 1
7144)
7145windowSize "0,22,1281,1024"
7146viewArea "-24461,12268,58572,79024"
7147cachedDiagramExtent "-19400,0,125400,83000"
7148hasePageBreakOrigin 1
7149pageBreakOrigin "-20000,0"
7150lastUid 2880,0
7151defaultCommentText (CommentText
7152shape (Rectangle
7153layer 0
7154va (VaSet
7155vasetType 1
7156fg "65280,65280,46080"
7157lineColor "0,0,32768"
7158)
7159xt "0,0,15000,5000"
7160)
7161text (MLText
7162va (VaSet
7163fg "0,0,32768"
7164)
7165xt "200,200,2000,1200"
7166st "
7167Text
7168"
7169tm "CommentText"
7170wrapOption 3
7171visibleHeight 4600
7172visibleWidth 14600
7173)
7174)
7175defaultPanel (Panel
7176shape (RectFrame
7177va (VaSet
7178vasetType 1
7179fg "65535,65535,65535"
7180lineColor "32768,0,0"
7181lineWidth 3
7182)
7183xt "0,0,20000,20000"
7184)
7185title (TextAssociate
7186ps "TopLeftStrategy"
7187text (Text
7188va (VaSet
7189font "Arial,8,1"
7190)
7191xt "1000,1000,3800,2000"
7192st "Panel0"
7193blo "1000,1800"
7194tm "PanelText"
7195)
7196)
7197)
7198defaultBlk (Blk
7199shape (Rectangle
7200va (VaSet
7201vasetType 1
7202fg "39936,56832,65280"
7203lineColor "0,0,32768"
7204lineWidth 2
7205)
7206xt "0,0,8000,10000"
7207)
7208ttg (MlTextGroup
7209ps "CenterOffsetStrategy"
7210stg "VerticalLayoutStrategy"
7211textVec [
7212*229 (Text
7213va (VaSet
7214font "Arial,8,1"
7215)
7216xt "2200,3500,5800,4500"
7217st "<library>"
7218blo "2200,4300"
7219tm "BdLibraryNameMgr"
7220)
7221*230 (Text
7222va (VaSet
7223font "Arial,8,1"
7224)
7225xt "2200,4500,5600,5500"
7226st "<block>"
7227blo "2200,5300"
7228tm "BlkNameMgr"
7229)
7230*231 (Text
7231va (VaSet
7232font "Arial,8,1"
7233)
7234xt "2200,5500,4000,6500"
7235st "U_0"
7236blo "2200,6300"
7237tm "InstanceNameMgr"
7238)
7239]
7240)
7241ga (GenericAssociation
7242ps "EdgeToEdgeStrategy"
7243matrix (Matrix
7244text (MLText
7245va (VaSet
7246font "Courier New,8,0"
7247)
7248xt "2200,13500,2200,13500"
7249)
7250header ""
7251)
7252elements [
7253]
7254)
7255viewicon (ZoomableIcon
7256sl 0
7257va (VaSet
7258vasetType 1
7259fg "49152,49152,49152"
7260)
7261xt "0,0,1500,1500"
7262iconName "UnknownFile.png"
7263iconMaskName "UnknownFile.msk"
7264)
7265viewiconposition 0
7266)
7267defaultMWComponent (MWC
7268shape (Rectangle
7269va (VaSet
7270vasetType 1
7271fg "0,65535,0"
7272lineColor "0,32896,0"
7273lineWidth 2
7274)
7275xt "0,0,8000,10000"
7276)
7277ttg (MlTextGroup
7278ps "CenterOffsetStrategy"
7279stg "VerticalLayoutStrategy"
7280textVec [
7281*232 (Text
7282va (VaSet
7283font "Arial,8,1"
7284)
7285xt "550,3500,3450,4500"
7286st "Library"
7287blo "550,4300"
7288)
7289*233 (Text
7290va (VaSet
7291font "Arial,8,1"
7292)
7293xt "550,4500,7450,5500"
7294st "MWComponent"
7295blo "550,5300"
7296)
7297*234 (Text
7298va (VaSet
7299font "Arial,8,1"
7300)
7301xt "550,5500,2350,6500"
7302st "U_0"
7303blo "550,6300"
7304tm "InstanceNameMgr"
7305)
7306]
7307)
7308ga (GenericAssociation
7309ps "EdgeToEdgeStrategy"
7310matrix (Matrix
7311text (MLText
7312va (VaSet
7313font "Courier New,8,0"
7314)
7315xt "-6450,1500,-6450,1500"
7316)
7317header ""
7318)
7319elements [
7320]
7321)
7322portVis (PortSigDisplay
7323)
7324prms (Property
7325pclass "params"
7326pname "params"
7327ptn "String"
7328)
7329visOptions (mwParamsVisibilityOptions
7330)
7331)
7332defaultSaComponent (SaComponent
7333shape (Rectangle
7334va (VaSet
7335vasetType 1
7336fg "0,65535,0"
7337lineColor "0,32896,0"
7338lineWidth 2
7339)
7340xt "0,0,8000,10000"
7341)
7342ttg (MlTextGroup
7343ps "CenterOffsetStrategy"
7344stg "VerticalLayoutStrategy"
7345textVec [
7346*235 (Text
7347va (VaSet
7348font "Arial,8,1"
7349)
7350xt "900,3500,3800,4500"
7351st "Library"
7352blo "900,4300"
7353tm "BdLibraryNameMgr"
7354)
7355*236 (Text
7356va (VaSet
7357font "Arial,8,1"
7358)
7359xt "900,4500,7100,5500"
7360st "SaComponent"
7361blo "900,5300"
7362tm "CptNameMgr"
7363)
7364*237 (Text
7365va (VaSet
7366font "Arial,8,1"
7367)
7368xt "900,5500,2700,6500"
7369st "U_0"
7370blo "900,6300"
7371tm "InstanceNameMgr"
7372)
7373]
7374)
7375ga (GenericAssociation
7376ps "EdgeToEdgeStrategy"
7377matrix (Matrix
7378text (MLText
7379va (VaSet
7380font "Courier New,8,0"
7381)
7382xt "-6100,1500,-6100,1500"
7383)
7384header ""
7385)
7386elements [
7387]
7388)
7389viewicon (ZoomableIcon
7390sl 0
7391va (VaSet
7392vasetType 1
7393fg "49152,49152,49152"
7394)
7395xt "0,0,1500,1500"
7396iconName "UnknownFile.png"
7397iconMaskName "UnknownFile.msk"
7398)
7399viewiconposition 0
7400portVis (PortSigDisplay
7401)
7402archFileType "UNKNOWN"
7403)
7404defaultVhdlComponent (VhdlComponent
7405shape (Rectangle
7406va (VaSet
7407vasetType 1
7408fg "0,65535,0"
7409lineColor "0,32896,0"
7410lineWidth 2
7411)
7412xt "0,0,8000,10000"
7413)
7414ttg (MlTextGroup
7415ps "CenterOffsetStrategy"
7416stg "VerticalLayoutStrategy"
7417textVec [
7418*238 (Text
7419va (VaSet
7420font "Arial,8,1"
7421)
7422xt "500,3500,3400,4500"
7423st "Library"
7424blo "500,4300"
7425)
7426*239 (Text
7427va (VaSet
7428font "Arial,8,1"
7429)
7430xt "500,4500,7500,5500"
7431st "VhdlComponent"
7432blo "500,5300"
7433)
7434*240 (Text
7435va (VaSet
7436font "Arial,8,1"
7437)
7438xt "500,5500,2300,6500"
7439st "U_0"
7440blo "500,6300"
7441tm "InstanceNameMgr"
7442)
7443]
7444)
7445ga (GenericAssociation
7446ps "EdgeToEdgeStrategy"
7447matrix (Matrix
7448text (MLText
7449va (VaSet
7450font "Courier New,8,0"
7451)
7452xt "-6500,1500,-6500,1500"
7453)
7454header ""
7455)
7456elements [
7457]
7458)
7459portVis (PortSigDisplay
7460)
7461entityPath ""
7462archName ""
7463archPath ""
7464)
7465defaultVerilogComponent (VerilogComponent
7466shape (Rectangle
7467va (VaSet
7468vasetType 1
7469fg "0,65535,0"
7470lineColor "0,32896,0"
7471lineWidth 2
7472)
7473xt "-450,0,8450,10000"
7474)
7475ttg (MlTextGroup
7476ps "CenterOffsetStrategy"
7477stg "VerticalLayoutStrategy"
7478textVec [
7479*241 (Text
7480va (VaSet
7481font "Arial,8,1"
7482)
7483xt "50,3500,2950,4500"
7484st "Library"
7485blo "50,4300"
7486)
7487*242 (Text
7488va (VaSet
7489font "Arial,8,1"
7490)
7491xt "50,4500,7950,5500"
7492st "VerilogComponent"
7493blo "50,5300"
7494)
7495*243 (Text
7496va (VaSet
7497font "Arial,8,1"
7498)
7499xt "50,5500,1850,6500"
7500st "U_0"
7501blo "50,6300"
7502tm "InstanceNameMgr"
7503)
7504]
7505)
7506ga (GenericAssociation
7507ps "EdgeToEdgeStrategy"
7508matrix (Matrix
7509text (MLText
7510va (VaSet
7511font "Courier New,8,0"
7512)
7513xt "-6950,1500,-6950,1500"
7514)
7515header ""
7516)
7517elements [
7518]
7519)
7520entityPath ""
7521)
7522defaultHdlText (HdlText
7523shape (Rectangle
7524va (VaSet
7525vasetType 1
7526fg "65535,65535,37120"
7527lineColor "0,0,32768"
7528lineWidth 2
7529)
7530xt "0,0,8000,10000"
7531)
7532ttg (MlTextGroup
7533ps "CenterOffsetStrategy"
7534stg "VerticalLayoutStrategy"
7535textVec [
7536*244 (Text
7537va (VaSet
7538font "Arial,8,1"
7539)
7540xt "3150,4000,4850,5000"
7541st "eb1"
7542blo "3150,4800"
7543tm "HdlTextNameMgr"
7544)
7545*245 (Text
7546va (VaSet
7547font "Arial,8,1"
7548)
7549xt "3150,5000,3950,6000"
7550st "1"
7551blo "3150,5800"
7552tm "HdlTextNumberMgr"
7553)
7554]
7555)
7556viewicon (ZoomableIcon
7557sl 0
7558va (VaSet
7559vasetType 1
7560fg "49152,49152,49152"
7561)
7562xt "0,0,1500,1500"
7563iconName "UnknownFile.png"
7564iconMaskName "UnknownFile.msk"
7565)
7566viewiconposition 0
7567)
7568defaultEmbeddedText (EmbeddedText
7569commentText (CommentText
7570ps "CenterOffsetStrategy"
7571shape (Rectangle
7572va (VaSet
7573vasetType 1
7574fg "65535,65535,65535"
7575lineColor "0,0,32768"
7576lineWidth 2
7577)
7578xt "0,0,18000,5000"
7579)
7580text (MLText
7581va (VaSet
7582)
7583xt "200,200,2000,1200"
7584st "
7585Text
7586"
7587tm "HdlTextMgr"
7588wrapOption 3
7589visibleHeight 4600
7590visibleWidth 17600
7591)
7592)
7593)
7594defaultGlobalConnector (GlobalConnector
7595shape (Circle
7596va (VaSet
7597vasetType 1
7598fg "65535,65535,0"
7599)
7600xt "-1000,-1000,1000,1000"
7601radius 1000
7602)
7603name (Text
7604va (VaSet
7605font "Arial,8,1"
7606)
7607xt "-500,-500,500,500"
7608st "G"
7609blo "-500,300"
7610)
7611)
7612defaultRipper (Ripper
7613ps "OnConnectorStrategy"
7614shape (Line2D
7615pts [
7616"0,0"
7617"1000,1000"
7618]
7619va (VaSet
7620vasetType 1
7621)
7622xt "0,0,1000,1000"
7623)
7624)
7625defaultBdJunction (BdJunction
7626ps "OnConnectorStrategy"
7627shape (Circle
7628va (VaSet
7629vasetType 1
7630)
7631xt "-400,-400,400,400"
7632radius 400
7633)
7634)
7635defaultPortIoIn (PortIoIn
7636shape (CompositeShape
7637va (VaSet
7638vasetType 1
7639fg "0,0,32768"
7640)
7641optionalChildren [
7642(Pentagon
7643sl 0
7644ro 270
7645xt "-2000,-375,-500,375"
7646)
7647(Line
7648sl 0
7649ro 270
7650xt "-500,0,0,0"
7651pts [
7652"-500,0"
7653"0,0"
7654]
7655)
7656]
7657)
7658stc 0
7659sf 1
7660tg (WTG
7661ps "PortIoTextPlaceStrategy"
7662stg "STSignalDisplayStrategy"
7663f (Text
7664va (VaSet
7665)
7666xt "-1375,-1000,-1375,-1000"
7667ju 2
7668blo "-1375,-1000"
7669tm "WireNameMgr"
7670)
7671)
7672)
7673defaultPortIoOut (PortIoOut
7674shape (CompositeShape
7675va (VaSet
7676vasetType 1
7677fg "0,0,32768"
7678)
7679optionalChildren [
7680(Pentagon
7681sl 0
7682ro 270
7683xt "500,-375,2000,375"
7684)
7685(Line
7686sl 0
7687ro 270
7688xt "0,0,500,0"
7689pts [
7690"0,0"
7691"500,0"
7692]
7693)
7694]
7695)
7696stc 0
7697sf 1
7698tg (WTG
7699ps "PortIoTextPlaceStrategy"
7700stg "STSignalDisplayStrategy"
7701f (Text
7702va (VaSet
7703)
7704xt "625,-1000,625,-1000"
7705blo "625,-1000"
7706tm "WireNameMgr"
7707)
7708)
7709)
7710defaultPortIoInOut (PortIoInOut
7711shape (CompositeShape
7712va (VaSet
7713vasetType 1
7714fg "0,0,32768"
7715)
7716optionalChildren [
7717(Hexagon
7718sl 0
7719xt "500,-375,2000,375"
7720)
7721(Line
7722sl 0
7723xt "0,0,500,0"
7724pts [
7725"0,0"
7726"500,0"
7727]
7728)
7729]
7730)
7731stc 0
7732sf 1
7733tg (WTG
7734ps "PortIoTextPlaceStrategy"
7735stg "STSignalDisplayStrategy"
7736f (Text
7737va (VaSet
7738)
7739xt "0,-375,0,-375"
7740blo "0,-375"
7741tm "WireNameMgr"
7742)
7743)
7744)
7745defaultPortIoBuffer (PortIoBuffer
7746shape (CompositeShape
7747va (VaSet
7748vasetType 1
7749fg "65535,65535,65535"
7750lineColor "0,0,32768"
7751)
7752optionalChildren [
7753(Hexagon
7754sl 0
7755xt "500,-375,2000,375"
7756)
7757(Line
7758sl 0
7759xt "0,0,500,0"
7760pts [
7761"0,0"
7762"500,0"
7763]
7764)
7765]
7766)
7767stc 0
7768sf 1
7769tg (WTG
7770ps "PortIoTextPlaceStrategy"
7771stg "STSignalDisplayStrategy"
7772f (Text
7773va (VaSet
7774)
7775xt "0,-375,0,-375"
7776blo "0,-375"
7777tm "WireNameMgr"
7778)
7779)
7780)
7781defaultSignal (Wire
7782shape (OrthoPolyLine
7783va (VaSet
7784vasetType 3
7785)
7786pts [
7787"0,0"
7788"0,0"
7789]
7790)
7791ss 0
7792es 0
7793sat 32
7794eat 32
7795st 0
7796sf 1
7797si 0
7798tg (WTG
7799ps "ConnStartEndStrategy"
7800stg "STSignalDisplayStrategy"
7801f (Text
7802va (VaSet
7803)
7804xt "0,0,1900,1000"
7805st "sig0"
7806blo "0,800"
7807tm "WireNameMgr"
7808)
7809)
7810)
7811defaultBus (Wire
7812shape (OrthoPolyLine
7813va (VaSet
7814vasetType 3
7815lineWidth 2
7816)
7817pts [
7818"0,0"
7819"0,0"
7820]
7821)
7822ss 0
7823es 0
7824sat 32
7825eat 32
7826sty 1
7827st 0
7828sf 1
7829si 0
7830tg (WTG
7831ps "ConnStartEndStrategy"
7832stg "STSignalDisplayStrategy"
7833f (Text
7834va (VaSet
7835)
7836xt "0,0,2400,1000"
7837st "dbus0"
7838blo "0,800"
7839tm "WireNameMgr"
7840)
7841)
7842)
7843defaultBundle (Bundle
7844shape (OrthoPolyLine
7845va (VaSet
7846vasetType 3
7847lineColor "32768,0,0"
7848lineWidth 2
7849)
7850pts [
7851"0,0"
7852"0,0"
7853]
7854)
7855ss 0
7856es 0
7857sat 32
7858eat 32
7859textGroup (BiTextGroup
7860ps "ConnStartEndStrategy"
7861stg "VerticalLayoutStrategy"
7862first (Text
7863va (VaSet
7864)
7865xt "0,0,3000,1000"
7866st "bundle0"
7867blo "0,800"
7868tm "BundleNameMgr"
7869)
7870second (MLText
7871va (VaSet
7872)
7873xt "0,1000,1000,2000"
7874st "()"
7875tm "BundleContentsMgr"
7876)
7877)
7878bundleNet &0
7879)
7880defaultPortMapFrame (PortMapFrame
7881ps "PortMapFrameStrategy"
7882shape (RectFrame
7883va (VaSet
7884vasetType 1
7885fg "65535,65535,65535"
7886lineColor "0,0,32768"
7887lineWidth 2
7888)
7889xt "0,0,10000,12000"
7890)
7891portMapText (BiTextGroup
7892ps "BottomRightOffsetStrategy"
7893stg "VerticalLayoutStrategy"
7894first (MLText
7895va (VaSet
7896)
7897)
7898second (MLText
7899va (VaSet
7900)
7901tm "PortMapTextMgr"
7902)
7903)
7904)
7905defaultGenFrame (Frame
7906shape (RectFrame
7907va (VaSet
7908vasetType 1
7909fg "65535,65535,65535"
7910lineColor "26368,26368,26368"
7911lineStyle 2
7912lineWidth 3
7913)
7914xt "0,0,20000,20000"
7915)
7916title (TextAssociate
7917ps "TopLeftStrategy"
7918text (MLText
7919va (VaSet
7920)
7921xt "0,-1100,12600,-100"
7922st "g0: FOR i IN 0 TO n GENERATE"
7923tm "FrameTitleTextMgr"
7924)
7925)
7926seqNum (FrameSequenceNumber
7927ps "TopLeftStrategy"
7928shape (Rectangle
7929va (VaSet
7930vasetType 1
7931fg "65535,65535,65535"
7932)
7933xt "50,50,1250,1450"
7934)
7935num (Text
7936va (VaSet
7937)
7938xt "250,250,1050,1250"
7939st "1"
7940blo "250,1050"
7941tm "FrameSeqNumMgr"
7942)
7943)
7944decls (MlTextGroup
7945ps "BottomRightOffsetStrategy"
7946stg "VerticalLayoutStrategy"
7947textVec [
7948*246 (Text
7949va (VaSet
7950font "Arial,8,1"
7951)
7952xt "14100,20000,22000,21000"
7953st "Frame Declarations"
7954blo "14100,20800"
7955)
7956*247 (MLText
7957va (VaSet
7958)
7959xt "14100,21000,14100,21000"
7960tm "BdFrameDeclTextMgr"
7961)
7962]
7963)
7964)
7965defaultBlockFrame (Frame
7966shape (RectFrame
7967va (VaSet
7968vasetType 1
7969fg "65535,65535,65535"
7970lineColor "26368,26368,26368"
7971lineStyle 1
7972lineWidth 3
7973)
7974xt "0,0,20000,20000"
7975)
7976title (TextAssociate
7977ps "TopLeftStrategy"
7978text (MLText
7979va (VaSet
7980)
7981xt "0,-1100,7400,-100"
7982st "b0: BLOCK (guard)"
7983tm "FrameTitleTextMgr"
7984)
7985)
7986seqNum (FrameSequenceNumber
7987ps "TopLeftStrategy"
7988shape (Rectangle
7989va (VaSet
7990vasetType 1
7991fg "65535,65535,65535"
7992)
7993xt "50,50,1250,1450"
7994)
7995num (Text
7996va (VaSet
7997)
7998xt "250,250,1050,1250"
7999st "1"
8000blo "250,1050"
8001tm "FrameSeqNumMgr"
8002)
8003)
8004decls (MlTextGroup
8005ps "BottomRightOffsetStrategy"
8006stg "VerticalLayoutStrategy"
8007textVec [
8008*248 (Text
8009va (VaSet
8010font "Arial,8,1"
8011)
8012xt "14100,20000,22000,21000"
8013st "Frame Declarations"
8014blo "14100,20800"
8015)
8016*249 (MLText
8017va (VaSet
8018)
8019xt "14100,21000,14100,21000"
8020tm "BdFrameDeclTextMgr"
8021)
8022]
8023)
8024style 3
8025)
8026defaultSaCptPort (CptPort
8027ps "OnEdgeStrategy"
8028shape (Triangle
8029ro 90
8030va (VaSet
8031vasetType 1
8032fg "0,65535,0"
8033)
8034xt "0,0,750,750"
8035)
8036tg (CPTG
8037ps "CptPortTextPlaceStrategy"
8038stg "VerticalLayoutStrategy"
8039f (Text
8040va (VaSet
8041)
8042xt "0,750,1800,1750"
8043st "Port"
8044blo "0,1550"
8045)
8046)
8047thePort (LogicalPort
8048decl (Decl
8049n "Port"
8050t ""
8051o 0
8052)
8053)
8054)
8055defaultSaCptPortBuffer (CptPort
8056ps "OnEdgeStrategy"
8057shape (Diamond
8058va (VaSet
8059vasetType 1
8060fg "65535,65535,65535"
8061)
8062xt "0,0,750,750"
8063)
8064tg (CPTG
8065ps "CptPortTextPlaceStrategy"
8066stg "VerticalLayoutStrategy"
8067f (Text
8068va (VaSet
8069)
8070xt "0,750,1800,1750"
8071st "Port"
8072blo "0,1550"
8073)
8074)
8075thePort (LogicalPort
8076m 3
8077decl (Decl
8078n "Port"
8079t ""
8080o 0
8081)
8082)
8083)
8084defaultDeclText (MLText
8085va (VaSet
8086font "Courier New,8,0"
8087)
8088)
8089archDeclarativeBlock (BdArchDeclBlock
8090uid 1,0
8091stg "BdArchDeclBlockLS"
8092declLabel (Text
8093uid 2,0
8094va (VaSet
8095font "Arial,8,1"
8096)
8097xt "20000,0,25400,1000"
8098st "Declarations"
8099blo "20000,800"
8100)
8101portLabel (Text
8102uid 3,0
8103va (VaSet
8104font "Arial,8,1"
8105)
8106xt "20000,1000,22700,2000"
8107st "Ports:"
8108blo "20000,1800"
8109)
8110preUserLabel (Text
8111uid 4,0
8112va (VaSet
8113isHidden 1
8114font "Arial,8,1"
8115)
8116xt "20000,0,23800,1000"
8117st "Pre User:"
8118blo "20000,800"
8119)
8120preUserText (MLText
8121uid 5,0
8122va (VaSet
8123isHidden 1
8124font "Courier New,8,0"
8125)
8126xt "20000,0,20000,0"
8127tm "BdDeclarativeTextMgr"
8128)
8129diagSignalLabel (Text
8130uid 6,0
8131va (VaSet
8132font "Arial,8,1"
8133)
8134xt "20000,2000,27100,3000"
8135st "Diagram Signals:"
8136blo "20000,2800"
8137)
8138postUserLabel (Text
8139uid 7,0
8140va (VaSet
8141isHidden 1
8142font "Arial,8,1"
8143)
8144xt "20000,0,24700,1000"
8145st "Post User:"
8146blo "20000,800"
8147)
8148postUserText (MLText
8149uid 8,0
8150va (VaSet
8151isHidden 1
8152font "Courier New,8,0"
8153)
8154xt "20000,0,20000,0"
8155tm "BdDeclarativeTextMgr"
8156)
8157)
8158commonDM (CommonDM
8159ldm (LogicalDM
8160suid 50,0
8161usingSuid 1
8162emptyRow *250 (LEmptyRow
8163)
8164uid 1176,0
8165optionalChildren [
8166*251 (RefLabelRowHdr
8167)
8168*252 (TitleRowHdr
8169)
8170*253 (FilterRowHdr
8171)
8172*254 (RefLabelColHdr
8173tm "RefLabelColHdrMgr"
8174)
8175*255 (RowExpandColHdr
8176tm "RowExpandColHdrMgr"
8177)
8178*256 (GroupColHdr
8179tm "GroupColHdrMgr"
8180)
8181*257 (NameColHdr
8182tm "BlockDiagramNameColHdrMgr"
8183)
8184*258 (ModeColHdr
8185tm "BlockDiagramModeColHdrMgr"
8186)
8187*259 (TypeColHdr
8188tm "BlockDiagramTypeColHdrMgr"
8189)
8190*260 (BoundsColHdr
8191tm "BlockDiagramBoundsColHdrMgr"
8192)
8193*261 (InitColHdr
8194tm "BlockDiagramInitColHdrMgr"
8195)
8196*262 (EolColHdr
8197tm "BlockDiagramEolColHdrMgr"
8198)
8199*263 (LeafLogPort
8200port (LogicalPort
8201m 4
8202decl (Decl
8203n "state"
8204t "std_logic_vector"
8205b "(7 DOWNTO 0)"
8206o 1
8207suid 1,0
8208)
8209)
8210uid 1063,0
8211)
8212*264 (LeafLogPort
8213port (LogicalPort
8214m 4
8215decl (Decl
8216n "debug_data_ram_empty"
8217t "std_logic"
8218o 2
8219suid 2,0
8220)
8221)
8222uid 1065,0
8223)
8224*265 (LeafLogPort
8225port (LogicalPort
8226m 4
8227decl (Decl
8228n "debug_data_valid"
8229t "std_logic"
8230o 3
8231suid 3,0
8232)
8233)
8234uid 1067,0
8235)
8236*266 (LeafLogPort
8237port (LogicalPort
8238lang 10
8239m 4
8240decl (Decl
8241n "wiz_reset"
8242t "std_logic"
8243o 5
8244suid 5,0
8245)
8246)
8247uid 1071,0
8248)
8249*267 (LeafLogPort
8250port (LogicalPort
8251m 4
8252decl (Decl
8253n "addr"
8254t "std_logic_vector"
8255b "(9 DOWNTO 0)"
8256o 6
8257suid 6,0
8258)
8259)
8260uid 1073,0
8261)
8262*268 (LeafLogPort
8263port (LogicalPort
8264lang 10
8265m 4
8266decl (Decl
8267n "data"
8268t "std_logic_vector"
8269b "(15 DOWNTO 0)"
8270o 7
8271suid 7,0
8272i "(others => 'Z')"
8273)
8274)
8275uid 1075,0
8276)
8277*269 (LeafLogPort
8278port (LogicalPort
8279lang 10
8280m 4
8281decl (Decl
8282n "cs"
8283t "std_logic"
8284o 8
8285suid 8,0
8286)
8287)
8288uid 1077,0
8289)
8290*270 (LeafLogPort
8291port (LogicalPort
8292lang 10
8293m 4
8294decl (Decl
8295n "wr"
8296t "std_logic"
8297o 9
8298suid 9,0
8299)
8300)
8301uid 1079,0
8302)
8303*271 (LeafLogPort
8304port (LogicalPort
8305lang 10
8306m 4
8307decl (Decl
8308n "rd"
8309t "std_logic"
8310o 10
8311suid 10,0
8312)
8313)
8314uid 1081,0
8315)
8316*272 (LeafLogPort
8317port (LogicalPort
8318lang 10
8319m 4
8320decl (Decl
8321n "ram_addr"
8322t "std_logic_vector"
8323b "(13 DOWNTO 0)"
8324o 12
8325suid 12,0
8326)
8327)
8328uid 1085,0
8329)
8330*273 (LeafLogPort
8331port (LogicalPort
8332lang 10
8333m 4
8334decl (Decl
8335n "data_valid_ack"
8336t "std_logic"
8337o 13
8338suid 13,0
8339)
8340)
8341uid 1087,0
8342)
8343*274 (LeafLogPort
8344port (LogicalPort
8345lang 10
8346m 4
8347decl (Decl
8348n "busy"
8349t "std_logic"
8350o 14
8351suid 14,0
8352)
8353)
8354uid 1089,0
8355)
8356*275 (LeafLogPort
8357port (LogicalPort
8358lang 10
8359m 4
8360decl (Decl
8361n "s_trigger"
8362t "std_logic"
8363o 15
8364suid 15,0
8365)
8366)
8367uid 1091,0
8368)
8369*276 (LeafLogPort
8370port (LogicalPort
8371lang 10
8372m 4
8373decl (Decl
8374n "c_trigger_enable"
8375t "std_logic"
8376o 16
8377suid 16,0
8378)
8379)
8380uid 1093,0
8381)
8382*277 (LeafLogPort
8383port (LogicalPort
8384lang 10
8385m 4
8386decl (Decl
8387n "c_trigger_mult"
8388t "std_logic_vector"
8389b "(15 DOWNTO 0)"
8390o 17
8391suid 17,0
8392)
8393)
8394uid 1095,0
8395)
8396*278 (LeafLogPort
8397port (LogicalPort
8398lang 10
8399m 4
8400decl (Decl
8401n "memory_manager_config_start_o"
8402t "std_logic"
8403o 18
8404suid 18,0
8405)
8406)
8407uid 1097,0
8408)
8409*279 (LeafLogPort
8410port (LogicalPort
8411lang 10
8412m 4
8413decl (Decl
8414n "spi_interface_config_start_o"
8415t "std_logic"
8416o 19
8417suid 19,0
8418)
8419)
8420uid 1099,0
8421)
8422*280 (LeafLogPort
8423port (LogicalPort
8424lang 10
8425m 4
8426decl (Decl
8427n "dac_setting"
8428t "dac_array_type"
8429o 20
8430suid 20,0
8431)
8432)
8433uid 1101,0
8434)
8435*281 (LeafLogPort
8436port (LogicalPort
8437lang 10
8438m 4
8439decl (Decl
8440n "roi_setting"
8441t "roi_array_type"
8442o 21
8443suid 21,0
8444)
8445)
8446uid 1103,0
8447)
8448*282 (LeafLogPort
8449port (LogicalPort
8450lang 10
8451m 4
8452decl (Decl
8453n "runnumber"
8454t "std_logic_vector"
8455b "(31 DOWNTO 0)"
8456o 22
8457suid 22,0
8458)
8459)
8460uid 1105,0
8461)
8462*283 (LeafLogPort
8463port (LogicalPort
8464lang 10
8465m 4
8466decl (Decl
8467n "reset_trigger_id"
8468t "std_logic"
8469o 23
8470suid 23,0
8471)
8472)
8473uid 1107,0
8474)
8475*284 (LeafLogPort
8476port (LogicalPort
8477m 4
8478decl (Decl
8479n "trigger_enable"
8480t "std_logic"
8481o 24
8482suid 24,0
8483)
8484)
8485uid 1109,0
8486)
8487*285 (LeafLogPort
8488port (LogicalPort
8489lang 10
8490m 4
8491decl (Decl
8492n "denable"
8493t "std_logic"
8494o 25
8495suid 25,0
8496)
8497)
8498uid 1111,0
8499)
8500*286 (LeafLogPort
8501port (LogicalPort
8502lang 10
8503m 4
8504decl (Decl
8505n "dwrite_enable"
8506t "std_logic"
8507o 26
8508suid 26,0
8509)
8510)
8511uid 1113,0
8512)
8513*287 (LeafLogPort
8514port (LogicalPort
8515lang 10
8516m 4
8517decl (Decl
8518n "sclk_enable"
8519t "std_logic"
8520o 27
8521suid 27,0
8522)
8523)
8524uid 1115,0
8525)
8526*288 (LeafLogPort
8527port (LogicalPort
8528lang 10
8529m 4
8530decl (Decl
8531n "srclk_enable"
8532t "std_logic"
8533o 28
8534suid 28,0
8535)
8536)
8537uid 1117,0
8538)
8539*289 (LeafLogPort
8540port (LogicalPort
8541lang 10
8542m 4
8543decl (Decl
8544n "ps_direction"
8545t "std_logic"
8546o 29
8547suid 29,0
8548)
8549)
8550uid 1119,0
8551)
8552*290 (LeafLogPort
8553port (LogicalPort
8554lang 10
8555m 4
8556decl (Decl
8557n "ps_do_phase_shift"
8558t "std_logic"
8559o 30
8560suid 30,0
8561)
8562)
8563uid 1121,0
8564)
8565*291 (LeafLogPort
8566port (LogicalPort
8567lang 10
8568m 4
8569decl (Decl
8570n "ps_reset"
8571t "std_logic"
8572o 31
8573suid 31,0
8574)
8575)
8576uid 1123,0
8577)
8578*292 (LeafLogPort
8579port (LogicalPort
8580m 4
8581decl (Decl
8582n "socks_waiting"
8583t "std_logic"
8584o 32
8585suid 32,0
8586)
8587)
8588uid 1125,0
8589)
8590*293 (LeafLogPort
8591port (LogicalPort
8592m 4
8593decl (Decl
8594n "socks_connected"
8595t "std_logic"
8596o 33
8597suid 33,0
8598)
8599)
8600uid 1127,0
8601)
8602*294 (LeafLogPort
8603port (LogicalPort
8604m 4
8605decl (Decl
8606n "data_generator_idle_i"
8607t "std_logic"
8608o 34
8609suid 34,0
8610)
8611)
8612uid 1129,0
8613)
8614*295 (LeafLogPort
8615port (LogicalPort
8616m 4
8617decl (Decl
8618n "clk"
8619t "std_logic"
8620o 35
8621suid 35,0
8622)
8623)
8624uid 1131,0
8625)
8626*296 (LeafLogPort
8627port (LogicalPort
8628m 4
8629decl (Decl
8630n "int"
8631t "std_logic"
8632o 36
8633suid 36,0
8634)
8635)
8636uid 1133,0
8637)
8638*297 (LeafLogPort
8639port (LogicalPort
8640m 4
8641decl (Decl
8642n "write_length"
8643t "std_logic_vector"
8644b "(16 DOWNTO 0)"
8645o 37
8646suid 37,0
8647)
8648)
8649uid 1135,0
8650)
8651*298 (LeafLogPort
8652port (LogicalPort
8653lang 10
8654m 4
8655decl (Decl
8656n "ram_start_addr"
8657t "std_logic_vector"
8658b "(13 DOWNTO 0)"
8659o 38
8660suid 38,0
8661)
8662)
8663uid 1137,0
8664)
8665*299 (LeafLogPort
8666port (LogicalPort
8667m 4
8668decl (Decl
8669n "ram_data"
8670t "std_logic_vector"
8671b "(15 DOWNTO 0)"
8672o 39
8673suid 39,0
8674)
8675)
8676uid 1139,0
8677)
8678*300 (LeafLogPort
8679port (LogicalPort
8680m 4
8681decl (Decl
8682n "data_valid"
8683t "std_logic"
8684o 40
8685suid 40,0
8686)
8687)
8688uid 1141,0
8689)
8690*301 (LeafLogPort
8691port (LogicalPort
8692m 4
8693decl (Decl
8694n "write_header_flag"
8695t "std_logic"
8696o 41
8697suid 41,0
8698)
8699)
8700uid 1143,0
8701)
8702*302 (LeafLogPort
8703port (LogicalPort
8704m 4
8705decl (Decl
8706n "write_end_flag"
8707t "std_logic"
8708o 42
8709suid 42,0
8710)
8711)
8712uid 1145,0
8713)
8714*303 (LeafLogPort
8715port (LogicalPort
8716lang 10
8717m 4
8718decl (Decl
8719n "fifo_channels"
8720t "std_logic_vector"
8721b "(3 DOWNTO 0)"
8722o 43
8723suid 43,0
8724)
8725)
8726uid 1147,0
8727)
8728*304 (LeafLogPort
8729port (LogicalPort
8730m 4
8731decl (Decl
8732n "memory_manager_config_valid_i"
8733t "std_logic"
8734o 44
8735suid 44,0
8736)
8737)
8738uid 1149,0
8739)
8740*305 (LeafLogPort
8741port (LogicalPort
8742m 4
8743decl (Decl
8744n "spi_interface_config_valid_i"
8745t "std_logic"
8746o 45
8747suid 45,0
8748)
8749)
8750uid 1151,0
8751)
8752*306 (LeafLogPort
8753port (LogicalPort
8754m 4
8755decl (Decl
8756n "data_ram_empty"
8757t "std_logic"
8758o 46
8759suid 46,0
8760)
8761)
8762uid 1153,0
8763)
8764*307 (LeafLogPort
8765port (LogicalPort
8766lang 10
8767m 4
8768decl (Decl
8769n "MAC_jumper"
8770t "std_logic_vector"
8771b "(1 DOWNTO 0)"
8772o 47
8773suid 47,0
8774)
8775)
8776uid 1155,0
8777)
8778*308 (LeafLogPort
8779port (LogicalPort
8780lang 10
8781m 4
8782decl (Decl
8783n "BoardID"
8784t "std_logic_vector"
8785b "(3 DOWNTO 0)"
8786o 48
8787suid 48,0
8788)
8789)
8790uid 1157,0
8791)
8792*309 (LeafLogPort
8793port (LogicalPort
8794lang 10
8795m 4
8796decl (Decl
8797n "CrateID"
8798t "std_logic_vector"
8799b "(1 DOWNTO 0)"
8800o 49
8801suid 49,0
8802)
8803)
8804uid 1159,0
8805)
8806*310 (LeafLogPort
8807port (LogicalPort
8808m 4
8809decl (Decl
8810n "ps_ready"
8811t "std_logic"
8812o 50
8813suid 50,0
8814)
8815)
8816uid 1161,0
8817)
8818]
8819)
8820pdm (PhysicalDM
8821displayShortBounds 1
8822editShortBounds 1
8823uid 1189,0
8824optionalChildren [
8825*311 (Sheet
8826sheetRow (SheetRow
8827headerVa (MVa
8828cellColor "49152,49152,49152"
8829fontColor "0,0,0"
8830font "Tahoma,10,0"
8831)
8832cellVa (MVa
8833cellColor "65535,65535,65535"
8834fontColor "0,0,0"
8835font "Tahoma,10,0"
8836)
8837groupVa (MVa
8838cellColor "39936,56832,65280"
8839fontColor "0,0,0"
8840font "Tahoma,10,0"
8841)
8842emptyMRCItem *312 (MRCItem
8843litem &250
8844pos 48
8845dimension 20
8846)
8847uid 1191,0
8848optionalChildren [
8849*313 (MRCItem
8850litem &251
8851pos 0
8852dimension 20
8853uid 1192,0
8854)
8855*314 (MRCItem
8856litem &252
8857pos 1
8858dimension 23
8859uid 1193,0
8860)
8861*315 (MRCItem
8862litem &253
8863pos 2
8864hidden 1
8865dimension 20
8866uid 1194,0
8867)
8868*316 (MRCItem
8869litem &263
8870pos 0
8871dimension 20
8872uid 1064,0
8873)
8874*317 (MRCItem
8875litem &264
8876pos 1
8877dimension 20
8878uid 1066,0
8879)
8880*318 (MRCItem
8881litem &265
8882pos 2
8883dimension 20
8884uid 1068,0
8885)
8886*319 (MRCItem
8887litem &266
8888pos 3
8889dimension 20
8890uid 1072,0
8891)
8892*320 (MRCItem
8893litem &267
8894pos 4
8895dimension 20
8896uid 1074,0
8897)
8898*321 (MRCItem
8899litem &268
8900pos 5
8901dimension 20
8902uid 1076,0
8903)
8904*322 (MRCItem
8905litem &269
8906pos 6
8907dimension 20
8908uid 1078,0
8909)
8910*323 (MRCItem
8911litem &270
8912pos 7
8913dimension 20
8914uid 1080,0
8915)
8916*324 (MRCItem
8917litem &271
8918pos 8
8919dimension 20
8920uid 1082,0
8921)
8922*325 (MRCItem
8923litem &272
8924pos 9
8925dimension 20
8926uid 1086,0
8927)
8928*326 (MRCItem
8929litem &273
8930pos 10
8931dimension 20
8932uid 1088,0
8933)
8934*327 (MRCItem
8935litem &274
8936pos 11
8937dimension 20
8938uid 1090,0
8939)
8940*328 (MRCItem
8941litem &275
8942pos 12
8943dimension 20
8944uid 1092,0
8945)
8946*329 (MRCItem
8947litem &276
8948pos 13
8949dimension 20
8950uid 1094,0
8951)
8952*330 (MRCItem
8953litem &277
8954pos 14
8955dimension 20
8956uid 1096,0
8957)
8958*331 (MRCItem
8959litem &278
8960pos 15
8961dimension 20
8962uid 1098,0
8963)
8964*332 (MRCItem
8965litem &279
8966pos 16
8967dimension 20
8968uid 1100,0
8969)
8970*333 (MRCItem
8971litem &280
8972pos 17
8973dimension 20
8974uid 1102,0
8975)
8976*334 (MRCItem
8977litem &281
8978pos 18
8979dimension 20
8980uid 1104,0
8981)
8982*335 (MRCItem
8983litem &282
8984pos 19
8985dimension 20
8986uid 1106,0
8987)
8988*336 (MRCItem
8989litem &283
8990pos 20
8991dimension 20
8992uid 1108,0
8993)
8994*337 (MRCItem
8995litem &284
8996pos 21
8997dimension 20
8998uid 1110,0
8999)
9000*338 (MRCItem
9001litem &285
9002pos 22
9003dimension 20
9004uid 1112,0
9005)
9006*339 (MRCItem
9007litem &286
9008pos 23
9009dimension 20
9010uid 1114,0
9011)
9012*340 (MRCItem
9013litem &287
9014pos 24
9015dimension 20
9016uid 1116,0
9017)
9018*341 (MRCItem
9019litem &288
9020pos 25
9021dimension 20
9022uid 1118,0
9023)
9024*342 (MRCItem
9025litem &289
9026pos 26
9027dimension 20
9028uid 1120,0
9029)
9030*343 (MRCItem
9031litem &290
9032pos 27
9033dimension 20
9034uid 1122,0
9035)
9036*344 (MRCItem
9037litem &291
9038pos 28
9039dimension 20
9040uid 1124,0
9041)
9042*345 (MRCItem
9043litem &292
9044pos 29
9045dimension 20
9046uid 1126,0
9047)
9048*346 (MRCItem
9049litem &293
9050pos 30
9051dimension 20
9052uid 1128,0
9053)
9054*347 (MRCItem
9055litem &294
9056pos 31
9057dimension 20
9058uid 1130,0
9059)
9060*348 (MRCItem
9061litem &295
9062pos 32
9063dimension 20
9064uid 1132,0
9065)
9066*349 (MRCItem
9067litem &296
9068pos 33
9069dimension 20
9070uid 1134,0
9071)
9072*350 (MRCItem
9073litem &297
9074pos 34
9075dimension 20
9076uid 1136,0
9077)
9078*351 (MRCItem
9079litem &298
9080pos 35
9081dimension 20
9082uid 1138,0
9083)
9084*352 (MRCItem
9085litem &299
9086pos 36
9087dimension 20
9088uid 1140,0
9089)
9090*353 (MRCItem
9091litem &300
9092pos 37
9093dimension 20
9094uid 1142,0
9095)
9096*354 (MRCItem
9097litem &301
9098pos 38
9099dimension 20
9100uid 1144,0
9101)
9102*355 (MRCItem
9103litem &302
9104pos 39
9105dimension 20
9106uid 1146,0
9107)
9108*356 (MRCItem
9109litem &303
9110pos 40
9111dimension 20
9112uid 1148,0
9113)
9114*357 (MRCItem
9115litem &304
9116pos 41
9117dimension 20
9118uid 1150,0
9119)
9120*358 (MRCItem
9121litem &305
9122pos 42
9123dimension 20
9124uid 1152,0
9125)
9126*359 (MRCItem
9127litem &306
9128pos 43
9129dimension 20
9130uid 1154,0
9131)
9132*360 (MRCItem
9133litem &307
9134pos 44
9135dimension 20
9136uid 1156,0
9137)
9138*361 (MRCItem
9139litem &308
9140pos 45
9141dimension 20
9142uid 1158,0
9143)
9144*362 (MRCItem
9145litem &309
9146pos 46
9147dimension 20
9148uid 1160,0
9149)
9150*363 (MRCItem
9151litem &310
9152pos 47
9153dimension 20
9154uid 1162,0
9155)
9156]
9157)
9158sheetCol (SheetCol
9159propVa (MVa
9160cellColor "0,49152,49152"
9161fontColor "0,0,0"
9162font "Tahoma,10,0"
9163textAngle 90
9164)
9165uid 1195,0
9166optionalChildren [
9167*364 (MRCItem
9168litem &254
9169pos 0
9170dimension 20
9171uid 1196,0
9172)
9173*365 (MRCItem
9174litem &256
9175pos 1
9176dimension 50
9177uid 1197,0
9178)
9179*366 (MRCItem
9180litem &257
9181pos 2
9182dimension 100
9183uid 1198,0
9184)
9185*367 (MRCItem
9186litem &258
9187pos 3
9188dimension 50
9189uid 1199,0
9190)
9191*368 (MRCItem
9192litem &259
9193pos 4
9194dimension 100
9195uid 1200,0
9196)
9197*369 (MRCItem
9198litem &260
9199pos 5
9200dimension 100
9201uid 1201,0
9202)
9203*370 (MRCItem
9204litem &261
9205pos 6
9206dimension 50
9207uid 1202,0
9208)
9209*371 (MRCItem
9210litem &262
9211pos 7
9212dimension 80
9213uid 1203,0
9214)
9215]
9216)
9217fixedCol 4
9218fixedRow 2
9219name "Ports"
9220uid 1190,0
9221vaOverrides [
9222]
9223)
9224]
9225)
9226uid 1175,0
9227)
9228genericsCommonDM (CommonDM
9229ldm (LogicalDM
9230emptyRow *372 (LEmptyRow
9231)
9232uid 1205,0
9233optionalChildren [
9234*373 (RefLabelRowHdr
9235)
9236*374 (TitleRowHdr
9237)
9238*375 (FilterRowHdr
9239)
9240*376 (RefLabelColHdr
9241tm "RefLabelColHdrMgr"
9242)
9243*377 (RowExpandColHdr
9244tm "RowExpandColHdrMgr"
9245)
9246*378 (GroupColHdr
9247tm "GroupColHdrMgr"
9248)
9249*379 (NameColHdr
9250tm "GenericNameColHdrMgr"
9251)
9252*380 (TypeColHdr
9253tm "GenericTypeColHdrMgr"
9254)
9255*381 (InitColHdr
9256tm "GenericValueColHdrMgr"
9257)
9258*382 (PragmaColHdr
9259tm "GenericPragmaColHdrMgr"
9260)
9261*383 (EolColHdr
9262tm "GenericEolColHdrMgr"
9263)
9264*384 (LogGeneric
9265generic (GiElement
9266name "RAM_ADDR_WIDTH"
9267type "integer"
9268value "14"
9269)
9270uid 9,0
9271)
9272]
9273)
9274pdm (PhysicalDM
9275displayShortBounds 1
9276editShortBounds 1
9277uid 1217,0
9278optionalChildren [
9279*385 (Sheet
9280sheetRow (SheetRow
9281headerVa (MVa
9282cellColor "49152,49152,49152"
9283fontColor "0,0,0"
9284font "Tahoma,10,0"
9285)
9286cellVa (MVa
9287cellColor "65535,65535,65535"
9288fontColor "0,0,0"
9289font "Tahoma,10,0"
9290)
9291groupVa (MVa
9292cellColor "39936,56832,65280"
9293fontColor "0,0,0"
9294font "Tahoma,10,0"
9295)
9296emptyMRCItem *386 (MRCItem
9297litem &372
9298pos 1
9299dimension 20
9300)
9301uid 1219,0
9302optionalChildren [
9303*387 (MRCItem
9304litem &373
9305pos 0
9306dimension 20
9307uid 1220,0
9308)
9309*388 (MRCItem
9310litem &374
9311pos 1
9312dimension 23
9313uid 1221,0
9314)
9315*389 (MRCItem
9316litem &375
9317pos 2
9318hidden 1
9319dimension 20
9320uid 1222,0
9321)
9322*390 (MRCItem
9323litem &384
9324pos 0
9325dimension 20
9326uid 10,0
9327)
9328]
9329)
9330sheetCol (SheetCol
9331propVa (MVa
9332cellColor "0,49152,49152"
9333fontColor "0,0,0"
9334font "Tahoma,10,0"
9335textAngle 90
9336)
9337uid 1223,0
9338optionalChildren [
9339*391 (MRCItem
9340litem &376
9341pos 0
9342dimension 20
9343uid 1224,0
9344)
9345*392 (MRCItem
9346litem &378
9347pos 1
9348dimension 50
9349uid 1225,0
9350)
9351*393 (MRCItem
9352litem &379
9353pos 2
9354dimension 100
9355uid 1226,0
9356)
9357*394 (MRCItem
9358litem &380
9359pos 3
9360dimension 100
9361uid 1227,0
9362)
9363*395 (MRCItem
9364litem &381
9365pos 4
9366dimension 50
9367uid 1228,0
9368)
9369*396 (MRCItem
9370litem &382
9371pos 5
9372dimension 50
9373uid 1229,0
9374)
9375*397 (MRCItem
9376litem &383
9377pos 6
9378dimension 80
9379uid 1230,0
9380)
9381]
9382)
9383fixedCol 3
9384fixedRow 2
9385name "Ports"
9386uid 1218,0
9387vaOverrides [
9388]
9389)
9390]
9391)
9392uid 1204,0
9393type 1
9394)
9395activeModelName "BlockDiag"
9396)
Note: See TracBrowser for help on using the repository browser.