source: firmware/FAD/FACT_FAD_TB_lib/hds/w5300_modul2_tb/struct.bd.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 117.5 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "FACT_FAD_lib"
22unitName "fad_definitions"
23itemName "ALL"
24)
25]
26instances [
27(Instance
28name "inst_w5300_mod2"
29duLibraryName "FACT_FAD_lib"
30duName "w5300_modul2"
31elements [
32(GiElement
33name "RAM_ADDR_WIDTH"
34type "integer"
35value "14"
36)
37]
38mwi 0
39uid 211,0
40)
41(Instance
42name "U_1"
43duLibraryName "FACT_FAD_TB_lib"
44duName "w5300_modul2_tester"
45elements [
46]
47mwi 0
48uid 621,0
49)
50(Instance
51name "U_2"
52duLibraryName "FACT_FAD_TB_lib"
53duName "clock_generator"
54elements [
55(GiElement
56name "clock_period"
57type "time"
58value "20 ns"
59)
60(GiElement
61name "reset_time"
62type "time"
63value "50 ns"
64)
65]
66mwi 0
67uid 1542,0
68)
69(Instance
70name "U_0"
71duLibraryName "FACT_FAD_TB_lib"
72duName "w5300_emulator"
73elements [
74]
75mwi 0
76uid 2558,0
77)
78]
79libraryRefs [
80"IEEE"
81"FACT_FAD_lib"
82]
83)
84version "29.1"
85appVersion "2009.2 (Build 10)"
86noEmbeddedEditors 1
87model (BlockDiag
88VExpander (VariableExpander
89vvMap [
90(vvPair
91variable "HDLDir"
92value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
93)
94(vvPair
95variable "HDSDir"
96value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
97)
98(vvPair
99variable "SideDataDesignDir"
100value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd.info"
101)
102(vvPair
103variable "SideDataUserDir"
104value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd.user"
105)
106(vvPair
107variable "SourceDir"
108value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
109)
110(vvPair
111variable "appl"
112value "HDL Designer"
113)
114(vvPair
115variable "arch_name"
116value "struct"
117)
118(vvPair
119variable "config"
120value "%(unit)_%(view)_config"
121)
122(vvPair
123variable "d"
124value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb"
125)
126(vvPair
127variable "d_logical"
128value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb"
129)
130(vvPair
131variable "date"
132value "01.06.2011"
133)
134(vvPair
135variable "day"
136value "Mi"
137)
138(vvPair
139variable "day_long"
140value "Mittwoch"
141)
142(vvPair
143variable "dd"
144value "01"
145)
146(vvPair
147variable "entity_name"
148value "w5300_modul2_tb"
149)
150(vvPair
151variable "ext"
152value "<TBD>"
153)
154(vvPair
155variable "f"
156value "struct.bd"
157)
158(vvPair
159variable "f_logical"
160value "struct.bd"
161)
162(vvPair
163variable "f_noext"
164value "struct"
165)
166(vvPair
167variable "group"
168value "UNKNOWN"
169)
170(vvPair
171variable "host"
172value "E5B-LABOR6"
173)
174(vvPair
175variable "language"
176value "VHDL"
177)
178(vvPair
179variable "library"
180value "FACT_FAD_TB_lib"
181)
182(vvPair
183variable "library_downstream_HdsLintPlugin"
184value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
185)
186(vvPair
187variable "library_downstream_ISEPARInvoke"
188value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
189)
190(vvPair
191variable "library_downstream_ImpactInvoke"
192value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
193)
194(vvPair
195variable "library_downstream_ModelSimCompiler"
196value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
197)
198(vvPair
199variable "library_downstream_XSTDataPrep"
200value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
201)
202(vvPair
203variable "mm"
204value "06"
205)
206(vvPair
207variable "module_name"
208value "w5300_modul2_tb"
209)
210(vvPair
211variable "month"
212value "Jun"
213)
214(vvPair
215variable "month_long"
216value "Juni"
217)
218(vvPair
219variable "p"
220value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd"
221)
222(vvPair
223variable "p_logical"
224value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\w5300_modul2_tb\\struct.bd"
225)
226(vvPair
227variable "package_name"
228value "<Undefined Variable>"
229)
230(vvPair
231variable "project_name"
232value "FACT_FAD"
233)
234(vvPair
235variable "series"
236value "HDL Designer Series"
237)
238(vvPair
239variable "task_DesignCompilerPath"
240value "<TBD>"
241)
242(vvPair
243variable "task_LeonardoPath"
244value "<TBD>"
245)
246(vvPair
247variable "task_ModelSimPath"
248value "C:\\modeltech_6.6a\\win32"
249)
250(vvPair
251variable "task_NC-SimPath"
252value "<TBD>"
253)
254(vvPair
255variable "task_PrecisionRTLPath"
256value "<TBD>"
257)
258(vvPair
259variable "task_QuestaSimPath"
260value "<TBD>"
261)
262(vvPair
263variable "task_VCSPath"
264value "<TBD>"
265)
266(vvPair
267variable "this_ext"
268value "bd"
269)
270(vvPair
271variable "this_file"
272value "struct"
273)
274(vvPair
275variable "this_file_logical"
276value "struct"
277)
278(vvPair
279variable "time"
280value "09:27:01"
281)
282(vvPair
283variable "unit"
284value "w5300_modul2_tb"
285)
286(vvPair
287variable "user"
288value "dneise"
289)
290(vvPair
291variable "version"
292value "2009.2 (Build 10)"
293)
294(vvPair
295variable "view"
296value "struct"
297)
298(vvPair
299variable "year"
300value "2011"
301)
302(vvPair
303variable "yy"
304value "11"
305)
306]
307)
308LanguageMgr "VhdlLangMgr"
309uid 1174,0
310optionalChildren [
311*1 (SaComponent
312uid 211,0
313optionalChildren [
314*2 (CptPort
315uid 11,0
316ps "OnEdgeStrategy"
317shape (Triangle
318uid 12,0
319ro 90
320va (VaSet
321vasetType 1
322fg "0,65535,0"
323)
324xt "34000,46625,34750,47375"
325)
326tg (CPTG
327uid 13,0
328ps "CptPortTextPlaceStrategy"
329stg "RightVerticalLayoutStrategy"
330f (Text
331uid 14,0
332va (VaSet
333)
334xt "28400,46500,33000,47500"
335st "state : (7:0)"
336ju 2
337blo "33000,47300"
338)
339)
340thePort (LogicalPort
341m 1
342decl (Decl
343n "state"
344t "std_logic_vector"
345b "(7 DOWNTO 0)"
346o 1
347)
348)
349)
350*3 (CptPort
351uid 15,0
352ps "OnEdgeStrategy"
353shape (Triangle
354uid 16,0
355ro 90
356va (VaSet
357vasetType 1
358fg "0,65535,0"
359)
360xt "34000,47625,34750,48375"
361)
362tg (CPTG
363uid 17,0
364ps "CptPortTextPlaceStrategy"
365stg "RightVerticalLayoutStrategy"
366f (Text
367uid 18,0
368va (VaSet
369)
370xt "23900,47500,33000,48500"
371st "debug_data_ram_empty"
372ju 2
373blo "33000,48300"
374)
375)
376thePort (LogicalPort
377m 1
378decl (Decl
379n "debug_data_ram_empty"
380t "std_logic"
381o 2
382)
383)
384)
385*4 (CptPort
386uid 19,0
387ps "OnEdgeStrategy"
388shape (Triangle
389uid 20,0
390ro 90
391va (VaSet
392vasetType 1
393fg "0,65535,0"
394)
395xt "34000,48625,34750,49375"
396)
397tg (CPTG
398uid 21,0
399ps "CptPortTextPlaceStrategy"
400stg "RightVerticalLayoutStrategy"
401f (Text
402uid 22,0
403va (VaSet
404)
405xt "26400,48500,33000,49500"
406st "debug_data_valid"
407ju 2
408blo "33000,49300"
409)
410)
411thePort (LogicalPort
412m 1
413decl (Decl
414n "debug_data_valid"
415t "std_logic"
416o 3
417)
418)
419)
420*5 (CptPort
421uid 23,0
422ps "OnEdgeStrategy"
423shape (Triangle
424uid 24,0
425ro 90
426va (VaSet
427vasetType 1
428fg "0,65535,0"
429)
430xt "-750,46625,0,47375"
431)
432tg (CPTG
433uid 25,0
434ps "CptPortTextPlaceStrategy"
435stg "VerticalLayoutStrategy"
436f (Text
437uid 26,0
438va (VaSet
439)
440xt "1000,46500,9500,47500"
441st "data_generator_idle_i"
442blo "1000,47300"
443)
444)
445thePort (LogicalPort
446decl (Decl
447n "data_generator_idle_i"
448t "std_logic"
449o 4
450)
451)
452)
453*6 (CptPort
454uid 31,0
455ps "OnEdgeStrategy"
456shape (Triangle
457uid 32,0
458ro 90
459va (VaSet
460vasetType 1
461fg "0,65535,0"
462)
463xt "-750,47625,0,48375"
464)
465tg (CPTG
466uid 33,0
467ps "CptPortTextPlaceStrategy"
468stg "VerticalLayoutStrategy"
469f (Text
470uid 34,0
471va (VaSet
472)
473xt "1000,47500,2300,48500"
474st "clk"
475blo "1000,48300"
476)
477)
478thePort (LogicalPort
479decl (Decl
480n "clk"
481t "std_logic"
482o 5
483)
484)
485)
486*7 (CptPort
487uid 35,0
488ps "OnEdgeStrategy"
489shape (Triangle
490uid 36,0
491ro 90
492va (VaSet
493vasetType 1
494fg "0,65535,0"
495)
496xt "34000,50625,34750,51375"
497)
498tg (CPTG
499uid 37,0
500ps "CptPortTextPlaceStrategy"
501stg "RightVerticalLayoutStrategy"
502f (Text
503uid 38,0
504va (VaSet
505)
506xt "29400,50500,33000,51500"
507st "wiz_reset"
508ju 2
509blo "33000,51300"
510)
511)
512thePort (LogicalPort
513m 1
514decl (Decl
515n "wiz_reset"
516t "std_logic"
517o 6
518i "'1'"
519)
520)
521)
522*8 (CptPort
523uid 39,0
524ps "OnEdgeStrategy"
525shape (Triangle
526uid 40,0
527ro 90
528va (VaSet
529vasetType 1
530fg "0,65535,0"
531)
532xt "34000,51625,34750,52375"
533)
534tg (CPTG
535uid 41,0
536ps "CptPortTextPlaceStrategy"
537stg "RightVerticalLayoutStrategy"
538f (Text
539uid 42,0
540va (VaSet
541)
542xt "28500,51500,33000,52500"
543st "addr : (9:0)"
544ju 2
545blo "33000,52300"
546)
547)
548thePort (LogicalPort
549m 1
550decl (Decl
551n "addr"
552t "std_logic_vector"
553b "(9 DOWNTO 0)"
554o 7
555)
556)
557)
558*9 (CptPort
559uid 43,0
560ps "OnEdgeStrategy"
561shape (Diamond
562uid 44,0
563ro 90
564va (VaSet
565vasetType 1
566fg "0,65535,0"
567)
568xt "34000,52625,34750,53375"
569)
570tg (CPTG
571uid 45,0
572ps "CptPortTextPlaceStrategy"
573stg "RightVerticalLayoutStrategy"
574f (Text
575uid 46,0
576va (VaSet
577)
578xt "28200,52500,33000,53500"
579st "data : (15:0)"
580ju 2
581blo "33000,53300"
582)
583)
584thePort (LogicalPort
585m 2
586decl (Decl
587n "data"
588t "std_logic_vector"
589b "(15 DOWNTO 0)"
590o 8
591)
592)
593)
594*10 (CptPort
595uid 47,0
596ps "OnEdgeStrategy"
597shape (Triangle
598uid 48,0
599ro 90
600va (VaSet
601vasetType 1
602fg "0,65535,0"
603)
604xt "34000,53625,34750,54375"
605)
606tg (CPTG
607uid 49,0
608ps "CptPortTextPlaceStrategy"
609stg "RightVerticalLayoutStrategy"
610f (Text
611uid 50,0
612va (VaSet
613)
614xt "31800,53500,33000,54500"
615st "cs"
616ju 2
617blo "33000,54300"
618)
619)
620thePort (LogicalPort
621m 1
622decl (Decl
623n "cs"
624t "std_logic"
625o 9
626i "'1'"
627)
628)
629)
630*11 (CptPort
631uid 51,0
632ps "OnEdgeStrategy"
633shape (Triangle
634uid 52,0
635ro 90
636va (VaSet
637vasetType 1
638fg "0,65535,0"
639)
640xt "34000,54625,34750,55375"
641)
642tg (CPTG
643uid 53,0
644ps "CptPortTextPlaceStrategy"
645stg "RightVerticalLayoutStrategy"
646f (Text
647uid 54,0
648va (VaSet
649)
650xt "31800,54500,33000,55500"
651st "wr"
652ju 2
653blo "33000,55300"
654)
655)
656thePort (LogicalPort
657m 1
658decl (Decl
659n "wr"
660t "std_logic"
661o 10
662i "'1'"
663)
664)
665)
666*12 (CptPort
667uid 55,0
668ps "OnEdgeStrategy"
669shape (Triangle
670uid 56,0
671ro 90
672va (VaSet
673vasetType 1
674fg "0,65535,0"
675)
676xt "34000,55625,34750,56375"
677)
678tg (CPTG
679uid 57,0
680ps "CptPortTextPlaceStrategy"
681stg "RightVerticalLayoutStrategy"
682f (Text
683uid 58,0
684va (VaSet
685)
686xt "31900,55500,33000,56500"
687st "rd"
688ju 2
689blo "33000,56300"
690)
691)
692thePort (LogicalPort
693m 1
694decl (Decl
695n "rd"
696t "std_logic"
697o 11
698i "'1'"
699)
700)
701)
702*13 (CptPort
703uid 63,0
704ps "OnEdgeStrategy"
705shape (Triangle
706uid 64,0
707ro 90
708va (VaSet
709vasetType 1
710fg "0,65535,0"
711)
712xt "-750,48625,0,49375"
713)
714tg (CPTG
715uid 65,0
716ps "CptPortTextPlaceStrategy"
717stg "VerticalLayoutStrategy"
718f (Text
719uid 66,0
720va (VaSet
721)
722xt "1000,48500,2200,49500"
723st "int"
724blo "1000,49300"
725)
726)
727thePort (LogicalPort
728decl (Decl
729n "int"
730t "std_logic"
731o 12
732)
733)
734)
735*14 (CptPort
736uid 67,0
737ps "OnEdgeStrategy"
738shape (Triangle
739uid 68,0
740ro 90
741va (VaSet
742vasetType 1
743fg "0,65535,0"
744)
745xt "-750,49625,0,50375"
746)
747tg (CPTG
748uid 69,0
749ps "CptPortTextPlaceStrategy"
750stg "VerticalLayoutStrategy"
751f (Text
752uid 70,0
753va (VaSet
754)
755xt "1000,49500,8900,50500"
756st "write_length : (16:0)"
757blo "1000,50300"
758)
759)
760thePort (LogicalPort
761decl (Decl
762n "write_length"
763t "std_logic_vector"
764b "(16 DOWNTO 0)"
765o 13
766)
767)
768)
769*15 (CptPort
770uid 71,0
771ps "OnEdgeStrategy"
772shape (Triangle
773uid 72,0
774ro 90
775va (VaSet
776vasetType 1
777fg "0,65535,0"
778)
779xt "-750,50625,0,51375"
780)
781tg (CPTG
782uid 73,0
783ps "CptPortTextPlaceStrategy"
784stg "VerticalLayoutStrategy"
785f (Text
786uid 74,0
787va (VaSet
788)
789xt "1000,50500,20400,51500"
790st "ram_start_addr : (W5300_RAM_ADDR_WIDTH-1:0)"
791blo "1000,51300"
792)
793)
794thePort (LogicalPort
795decl (Decl
796n "ram_start_addr"
797t "std_logic_vector"
798b "(W5300_RAM_ADDR_WIDTH-1 DOWNTO 0)"
799o 14
800)
801)
802)
803*16 (CptPort
804uid 75,0
805ps "OnEdgeStrategy"
806shape (Triangle
807uid 76,0
808ro 90
809va (VaSet
810vasetType 1
811fg "0,65535,0"
812)
813xt "-750,51625,0,52375"
814)
815tg (CPTG
816uid 77,0
817ps "CptPortTextPlaceStrategy"
818stg "VerticalLayoutStrategy"
819f (Text
820uid 78,0
821va (VaSet
822)
823xt "1000,51500,7500,52500"
824st "ram_data : (15:0)"
825blo "1000,52300"
826)
827)
828thePort (LogicalPort
829decl (Decl
830n "ram_data"
831t "std_logic_vector"
832b "(15 DOWNTO 0)"
833o 15
834)
835)
836)
837*17 (CptPort
838uid 79,0
839ps "OnEdgeStrategy"
840shape (Triangle
841uid 80,0
842ro 90
843va (VaSet
844vasetType 1
845fg "0,65535,0"
846)
847xt "34000,57625,34750,58375"
848)
849tg (CPTG
850uid 81,0
851ps "CptPortTextPlaceStrategy"
852stg "RightVerticalLayoutStrategy"
853f (Text
854uid 82,0
855va (VaSet
856)
857xt "15900,57500,33000,58500"
858st "ram_addr : (W5300_RAM_ADDR_WIDTH-1:0)"
859ju 2
860blo "33000,58300"
861)
862)
863thePort (LogicalPort
864m 1
865decl (Decl
866n "ram_addr"
867t "std_logic_vector"
868b "(W5300_RAM_ADDR_WIDTH-1 DOWNTO 0)"
869o 16
870)
871)
872)
873*18 (CptPort
874uid 83,0
875ps "OnEdgeStrategy"
876shape (Triangle
877uid 84,0
878ro 90
879va (VaSet
880vasetType 1
881fg "0,65535,0"
882)
883xt "-750,52625,0,53375"
884)
885tg (CPTG
886uid 85,0
887ps "CptPortTextPlaceStrategy"
888stg "VerticalLayoutStrategy"
889f (Text
890uid 86,0
891va (VaSet
892)
893xt "1000,52500,5100,53500"
894st "data_valid"
895blo "1000,53300"
896)
897)
898thePort (LogicalPort
899decl (Decl
900n "data_valid"
901t "std_logic"
902o 17
903)
904)
905)
906*19 (CptPort
907uid 87,0
908ps "OnEdgeStrategy"
909shape (Triangle
910uid 88,0
911ro 90
912va (VaSet
913vasetType 1
914fg "0,65535,0"
915)
916xt "34000,58625,34750,59375"
917)
918tg (CPTG
919uid 89,0
920ps "CptPortTextPlaceStrategy"
921stg "RightVerticalLayoutStrategy"
922f (Text
923uid 90,0
924va (VaSet
925)
926xt "27400,58500,33000,59500"
927st "data_valid_ack"
928ju 2
929blo "33000,59300"
930)
931)
932thePort (LogicalPort
933m 1
934decl (Decl
935n "data_valid_ack"
936t "std_logic"
937o 18
938i "'0'"
939)
940)
941)
942*20 (CptPort
943uid 91,0
944ps "OnEdgeStrategy"
945shape (Triangle
946uid 92,0
947ro 90
948va (VaSet
949vasetType 1
950fg "0,65535,0"
951)
952xt "34000,59625,34750,60375"
953)
954tg (CPTG
955uid 93,0
956ps "CptPortTextPlaceStrategy"
957stg "RightVerticalLayoutStrategy"
958f (Text
959uid 94,0
960va (VaSet
961)
962xt "31100,59500,33000,60500"
963st "busy"
964ju 2
965blo "33000,60300"
966)
967)
968thePort (LogicalPort
969m 1
970decl (Decl
971n "busy"
972t "std_logic"
973o 19
974i "'1'"
975)
976)
977)
978*21 (CptPort
979uid 95,0
980ps "OnEdgeStrategy"
981shape (Triangle
982uid 96,0
983ro 90
984va (VaSet
985vasetType 1
986fg "0,65535,0"
987)
988xt "-750,53625,0,54375"
989)
990tg (CPTG
991uid 97,0
992ps "CptPortTextPlaceStrategy"
993stg "VerticalLayoutStrategy"
994f (Text
995uid 98,0
996va (VaSet
997)
998xt "1000,53500,7800,54500"
999st "write_header_flag"
1000blo "1000,54300"
1001)
1002)
1003thePort (LogicalPort
1004decl (Decl
1005n "write_header_flag"
1006t "std_logic"
1007o 20
1008)
1009)
1010)
1011*22 (CptPort
1012uid 99,0
1013ps "OnEdgeStrategy"
1014shape (Triangle
1015uid 100,0
1016ro 90
1017va (VaSet
1018vasetType 1
1019fg "0,65535,0"
1020)
1021xt "-750,54625,0,55375"
1022)
1023tg (CPTG
1024uid 101,0
1025ps "CptPortTextPlaceStrategy"
1026stg "VerticalLayoutStrategy"
1027f (Text
1028uid 102,0
1029va (VaSet
1030)
1031xt "1000,54500,6700,55500"
1032st "write_end_flag"
1033blo "1000,55300"
1034)
1035)
1036thePort (LogicalPort
1037decl (Decl
1038n "write_end_flag"
1039t "std_logic"
1040o 21
1041)
1042)
1043)
1044*23 (CptPort
1045uid 103,0
1046ps "OnEdgeStrategy"
1047shape (Triangle
1048uid 104,0
1049ro 90
1050va (VaSet
1051vasetType 1
1052fg "0,65535,0"
1053)
1054xt "-750,55625,0,56375"
1055)
1056tg (CPTG
1057uid 105,0
1058ps "CptPortTextPlaceStrategy"
1059stg "VerticalLayoutStrategy"
1060f (Text
1061uid 106,0
1062va (VaSet
1063)
1064xt "1000,55500,8800,56500"
1065st "fifo_channels : (3:0)"
1066blo "1000,56300"
1067)
1068)
1069thePort (LogicalPort
1070decl (Decl
1071n "fifo_channels"
1072t "std_logic_vector"
1073b "(3 downto 0)"
1074o 22
1075)
1076)
1077)
1078*24 (CptPort
1079uid 107,0
1080ps "OnEdgeStrategy"
1081shape (Triangle
1082uid 108,0
1083ro 90
1084va (VaSet
1085vasetType 1
1086fg "0,65535,0"
1087)
1088xt "34000,60625,34750,61375"
1089)
1090tg (CPTG
1091uid 109,0
1092ps "CptPortTextPlaceStrategy"
1093stg "RightVerticalLayoutStrategy"
1094f (Text
1095uid 110,0
1096va (VaSet
1097)
1098xt "29400,60500,33000,61500"
1099st "s_trigger"
1100ju 2
1101blo "33000,61300"
1102)
1103)
1104thePort (LogicalPort
1105m 1
1106decl (Decl
1107n "s_trigger"
1108t "std_logic"
1109o 23
1110i "'0'"
1111)
1112)
1113)
1114*25 (CptPort
1115uid 111,0
1116ps "OnEdgeStrategy"
1117shape (Triangle
1118uid 112,0
1119ro 90
1120va (VaSet
1121vasetType 1
1122fg "0,65535,0"
1123)
1124xt "34000,61625,34750,62375"
1125)
1126tg (CPTG
1127uid 113,0
1128ps "CptPortTextPlaceStrategy"
1129stg "RightVerticalLayoutStrategy"
1130f (Text
1131uid 114,0
1132va (VaSet
1133)
1134xt "26400,61500,33000,62500"
1135st "c_trigger_enable"
1136ju 2
1137blo "33000,62300"
1138)
1139)
1140thePort (LogicalPort
1141m 1
1142decl (Decl
1143n "c_trigger_enable"
1144t "std_logic"
1145o 24
1146i "'0'"
1147)
1148)
1149)
1150*26 (CptPort
1151uid 115,0
1152ps "OnEdgeStrategy"
1153shape (Triangle
1154uid 116,0
1155ro 90
1156va (VaSet
1157vasetType 1
1158fg "0,65535,0"
1159)
1160xt "34000,62625,34750,63375"
1161)
1162tg (CPTG
1163uid 117,0
1164ps "CptPortTextPlaceStrategy"
1165stg "RightVerticalLayoutStrategy"
1166f (Text
1167uid 118,0
1168va (VaSet
1169)
1170xt "24200,62500,33000,63500"
1171st "c_trigger_mult : (15:0)"
1172ju 2
1173blo "33000,63300"
1174)
1175)
1176thePort (LogicalPort
1177m 1
1178decl (Decl
1179n "c_trigger_mult"
1180t "std_logic_vector"
1181b "(15 DOWNTO 0)"
1182o 25
1183i "conv_std_logic_vector(0 ,16)"
1184)
1185)
1186)
1187*27 (CptPort
1188uid 119,0
1189ps "OnEdgeStrategy"
1190shape (Triangle
1191uid 120,0
1192ro 90
1193va (VaSet
1194vasetType 1
1195fg "0,65535,0"
1196)
1197xt "34000,63625,34750,64375"
1198)
1199tg (CPTG
1200uid 121,0
1201ps "CptPortTextPlaceStrategy"
1202stg "RightVerticalLayoutStrategy"
1203f (Text
1204uid 122,0
1205va (VaSet
1206)
1207xt "20600,63500,33000,64500"
1208st "memory_manager_config_start_o"
1209ju 2
1210blo "33000,64300"
1211)
1212)
1213thePort (LogicalPort
1214m 1
1215decl (Decl
1216n "memory_manager_config_start_o"
1217t "std_logic"
1218o 26
1219i "'0'"
1220)
1221)
1222)
1223*28 (CptPort
1224uid 123,0
1225ps "OnEdgeStrategy"
1226shape (Triangle
1227uid 124,0
1228ro 90
1229va (VaSet
1230vasetType 1
1231fg "0,65535,0"
1232)
1233xt "-750,56625,0,57375"
1234)
1235tg (CPTG
1236uid 125,0
1237ps "CptPortTextPlaceStrategy"
1238stg "VerticalLayoutStrategy"
1239f (Text
1240uid 126,0
1241va (VaSet
1242)
1243xt "1000,56500,13200,57500"
1244st "memory_manager_config_valid_i"
1245blo "1000,57300"
1246)
1247)
1248thePort (LogicalPort
1249decl (Decl
1250n "memory_manager_config_valid_i"
1251t "std_logic"
1252o 27
1253)
1254)
1255)
1256*29 (CptPort
1257uid 127,0
1258ps "OnEdgeStrategy"
1259shape (Triangle
1260uid 128,0
1261ro 90
1262va (VaSet
1263vasetType 1
1264fg "0,65535,0"
1265)
1266xt "34000,64625,34750,65375"
1267)
1268tg (CPTG
1269uid 129,0
1270ps "CptPortTextPlaceStrategy"
1271stg "RightVerticalLayoutStrategy"
1272f (Text
1273uid 130,0
1274va (VaSet
1275)
1276xt "22300,64500,33000,65500"
1277st "spi_interface_config_start_o"
1278ju 2
1279blo "33000,65300"
1280)
1281)
1282thePort (LogicalPort
1283m 1
1284decl (Decl
1285n "spi_interface_config_start_o"
1286t "std_logic"
1287o 28
1288i "'0'"
1289)
1290)
1291)
1292*30 (CptPort
1293uid 131,0
1294ps "OnEdgeStrategy"
1295shape (Triangle
1296uid 132,0
1297ro 90
1298va (VaSet
1299vasetType 1
1300fg "0,65535,0"
1301)
1302xt "-750,57625,0,58375"
1303)
1304tg (CPTG
1305uid 133,0
1306ps "CptPortTextPlaceStrategy"
1307stg "VerticalLayoutStrategy"
1308f (Text
1309uid 134,0
1310va (VaSet
1311)
1312xt "1000,57500,11500,58500"
1313st "spi_interface_config_valid_i"
1314blo "1000,58300"
1315)
1316)
1317thePort (LogicalPort
1318decl (Decl
1319n "spi_interface_config_valid_i"
1320t "std_logic"
1321o 29
1322)
1323)
1324)
1325*31 (CptPort
1326uid 135,0
1327ps "OnEdgeStrategy"
1328shape (Triangle
1329uid 136,0
1330ro 90
1331va (VaSet
1332vasetType 1
1333fg "0,65535,0"
1334)
1335xt "34000,65625,34750,66375"
1336)
1337tg (CPTG
1338uid 137,0
1339ps "CptPortTextPlaceStrategy"
1340stg "RightVerticalLayoutStrategy"
1341f (Text
1342uid 138,0
1343va (VaSet
1344)
1345xt "28300,65500,33000,66500"
1346st "dac_setting"
1347ju 2
1348blo "33000,66300"
1349)
1350)
1351thePort (LogicalPort
1352m 1
1353decl (Decl
1354n "dac_setting"
1355t "dac_array_type"
1356o 30
1357i "DEFAULT_DAC"
1358)
1359)
1360)
1361*32 (CptPort
1362uid 139,0
1363ps "OnEdgeStrategy"
1364shape (Triangle
1365uid 140,0
1366ro 90
1367va (VaSet
1368vasetType 1
1369fg "0,65535,0"
1370)
1371xt "34000,66625,34750,67375"
1372)
1373tg (CPTG
1374uid 141,0
1375ps "CptPortTextPlaceStrategy"
1376stg "RightVerticalLayoutStrategy"
1377f (Text
1378uid 142,0
1379va (VaSet
1380)
1381xt "28600,66500,33000,67500"
1382st "roi_setting"
1383ju 2
1384blo "33000,67300"
1385)
1386)
1387thePort (LogicalPort
1388m 1
1389decl (Decl
1390n "roi_setting"
1391t "roi_array_type"
1392o 31
1393i "DEFAULT_ROI"
1394)
1395)
1396)
1397*33 (CptPort
1398uid 143,0
1399ps "OnEdgeStrategy"
1400shape (Triangle
1401uid 144,0
1402ro 90
1403va (VaSet
1404vasetType 1
1405fg "0,65535,0"
1406)
1407xt "34000,67625,34750,68375"
1408)
1409tg (CPTG
1410uid 145,0
1411ps "CptPortTextPlaceStrategy"
1412stg "RightVerticalLayoutStrategy"
1413f (Text
1414uid 146,0
1415va (VaSet
1416)
1417xt "26000,67500,33000,68500"
1418st "runnumber : (31:0)"
1419ju 2
1420blo "33000,68300"
1421)
1422)
1423thePort (LogicalPort
1424m 1
1425decl (Decl
1426n "runnumber"
1427t "std_logic_vector"
1428b "(31 DOWNTO 0)"
1429o 32
1430i "conv_std_logic_vector(0 ,32)"
1431)
1432)
1433)
1434*34 (CptPort
1435uid 147,0
1436ps "OnEdgeStrategy"
1437shape (Triangle
1438uid 148,0
1439ro 90
1440va (VaSet
1441vasetType 1
1442fg "0,65535,0"
1443)
1444xt "34000,68625,34750,69375"
1445)
1446tg (CPTG
1447uid 149,0
1448ps "CptPortTextPlaceStrategy"
1449stg "RightVerticalLayoutStrategy"
1450f (Text
1451uid 150,0
1452va (VaSet
1453)
1454xt "26700,68500,33000,69500"
1455st "reset_trigger_id"
1456ju 2
1457blo "33000,69300"
1458)
1459)
1460thePort (LogicalPort
1461m 1
1462decl (Decl
1463n "reset_trigger_id"
1464t "std_logic"
1465o 33
1466i "'0'"
1467)
1468)
1469)
1470*35 (CptPort
1471uid 151,0
1472ps "OnEdgeStrategy"
1473shape (Triangle
1474uid 152,0
1475ro 90
1476va (VaSet
1477vasetType 1
1478fg "0,65535,0"
1479)
1480xt "-750,58625,0,59375"
1481)
1482tg (CPTG
1483uid 153,0
1484ps "CptPortTextPlaceStrategy"
1485stg "VerticalLayoutStrategy"
1486f (Text
1487uid 154,0
1488va (VaSet
1489)
1490xt "1000,58500,7200,59500"
1491st "data_ram_empty"
1492blo "1000,59300"
1493)
1494)
1495thePort (LogicalPort
1496decl (Decl
1497n "data_ram_empty"
1498t "std_logic"
1499o 34
1500)
1501)
1502)
1503*36 (CptPort
1504uid 155,0
1505ps "OnEdgeStrategy"
1506shape (Triangle
1507uid 156,0
1508ro 90
1509va (VaSet
1510vasetType 1
1511fg "0,65535,0"
1512)
1513xt "-750,59625,0,60375"
1514)
1515tg (CPTG
1516uid 157,0
1517ps "CptPortTextPlaceStrategy"
1518stg "VerticalLayoutStrategy"
1519f (Text
1520uid 158,0
1521va (VaSet
1522)
1523xt "1000,59500,8500,60500"
1524st "MAC_jumper : (1:0)"
1525blo "1000,60300"
1526)
1527)
1528thePort (LogicalPort
1529decl (Decl
1530n "MAC_jumper"
1531t "std_logic_vector"
1532b "(1 downto 0)"
1533o 35
1534)
1535)
1536)
1537*37 (CptPort
1538uid 159,0
1539ps "OnEdgeStrategy"
1540shape (Triangle
1541uid 160,0
1542ro 90
1543va (VaSet
1544vasetType 1
1545fg "0,65535,0"
1546)
1547xt "-750,60625,0,61375"
1548)
1549tg (CPTG
1550uid 161,0
1551ps "CptPortTextPlaceStrategy"
1552stg "VerticalLayoutStrategy"
1553f (Text
1554uid 162,0
1555va (VaSet
1556)
1557xt "1000,60500,6800,61500"
1558st "BoardID : (3:0)"
1559blo "1000,61300"
1560)
1561)
1562thePort (LogicalPort
1563decl (Decl
1564n "BoardID"
1565t "std_logic_vector"
1566b "(3 downto 0)"
1567o 36
1568)
1569)
1570)
1571*38 (CptPort
1572uid 163,0
1573ps "OnEdgeStrategy"
1574shape (Triangle
1575uid 164,0
1576ro 90
1577va (VaSet
1578vasetType 1
1579fg "0,65535,0"
1580)
1581xt "-750,61625,0,62375"
1582)
1583tg (CPTG
1584uid 165,0
1585ps "CptPortTextPlaceStrategy"
1586stg "VerticalLayoutStrategy"
1587f (Text
1588uid 166,0
1589va (VaSet
1590)
1591xt "1000,61500,6700,62500"
1592st "CrateID : (1:0)"
1593blo "1000,62300"
1594)
1595)
1596thePort (LogicalPort
1597decl (Decl
1598n "CrateID"
1599t "std_logic_vector"
1600b "(1 downto 0)"
1601o 37
1602)
1603)
1604)
1605*39 (CptPort
1606uid 167,0
1607ps "OnEdgeStrategy"
1608shape (Triangle
1609uid 168,0
1610ro 90
1611va (VaSet
1612vasetType 1
1613fg "0,65535,0"
1614)
1615xt "34000,69625,34750,70375"
1616)
1617tg (CPTG
1618uid 169,0
1619ps "CptPortTextPlaceStrategy"
1620stg "RightVerticalLayoutStrategy"
1621f (Text
1622uid 170,0
1623va (VaSet
1624)
1625xt "27200,69500,33000,70500"
1626st "trigger_enable"
1627ju 2
1628blo "33000,70300"
1629)
1630)
1631thePort (LogicalPort
1632m 1
1633decl (Decl
1634n "trigger_enable"
1635t "std_logic"
1636o 38
1637)
1638)
1639)
1640*40 (CptPort
1641uid 171,0
1642ps "OnEdgeStrategy"
1643shape (Triangle
1644uid 172,0
1645ro 90
1646va (VaSet
1647vasetType 1
1648fg "0,65535,0"
1649)
1650xt "34000,70625,34750,71375"
1651)
1652tg (CPTG
1653uid 173,0
1654ps "CptPortTextPlaceStrategy"
1655stg "RightVerticalLayoutStrategy"
1656f (Text
1657uid 174,0
1658va (VaSet
1659)
1660xt "30000,70500,33000,71500"
1661st "denable"
1662ju 2
1663blo "33000,71300"
1664)
1665)
1666thePort (LogicalPort
1667m 1
1668decl (Decl
1669n "denable"
1670t "std_logic"
1671o 39
1672i "'0'"
1673)
1674)
1675)
1676*41 (CptPort
1677uid 175,0
1678ps "OnEdgeStrategy"
1679shape (Triangle
1680uid 176,0
1681ro 90
1682va (VaSet
1683vasetType 1
1684fg "0,65535,0"
1685)
1686xt "34000,71625,34750,72375"
1687)
1688tg (CPTG
1689uid 177,0
1690ps "CptPortTextPlaceStrategy"
1691stg "RightVerticalLayoutStrategy"
1692f (Text
1693uid 178,0
1694va (VaSet
1695)
1696xt "27600,71500,33000,72500"
1697st "dwrite_enable"
1698ju 2
1699blo "33000,72300"
1700)
1701)
1702thePort (LogicalPort
1703m 1
1704decl (Decl
1705n "dwrite_enable"
1706t "std_logic"
1707o 40
1708i "'1'"
1709)
1710)
1711)
1712*42 (CptPort
1713uid 179,0
1714ps "OnEdgeStrategy"
1715shape (Triangle
1716uid 180,0
1717ro 90
1718va (VaSet
1719vasetType 1
1720fg "0,65535,0"
1721)
1722xt "34000,72625,34750,73375"
1723)
1724tg (CPTG
1725uid 181,0
1726ps "CptPortTextPlaceStrategy"
1727stg "RightVerticalLayoutStrategy"
1728f (Text
1729uid 182,0
1730va (VaSet
1731)
1732xt "28300,72500,33000,73500"
1733st "sclk_enable"
1734ju 2
1735blo "33000,73300"
1736)
1737)
1738thePort (LogicalPort
1739m 1
1740decl (Decl
1741n "sclk_enable"
1742t "std_logic"
1743o 41
1744i "'1'"
1745)
1746)
1747)
1748*43 (CptPort
1749uid 183,0
1750ps "OnEdgeStrategy"
1751shape (Triangle
1752uid 184,0
1753ro 90
1754va (VaSet
1755vasetType 1
1756fg "0,65535,0"
1757)
1758xt "34000,73625,34750,74375"
1759)
1760tg (CPTG
1761uid 185,0
1762ps "CptPortTextPlaceStrategy"
1763stg "RightVerticalLayoutStrategy"
1764f (Text
1765uid 186,0
1766va (VaSet
1767)
1768xt "28000,73500,33000,74500"
1769st "srclk_enable"
1770ju 2
1771blo "33000,74300"
1772)
1773)
1774thePort (LogicalPort
1775m 1
1776decl (Decl
1777n "srclk_enable"
1778t "std_logic"
1779o 42
1780i "'1'"
1781)
1782)
1783)
1784*44 (CptPort
1785uid 187,0
1786ps "OnEdgeStrategy"
1787shape (Triangle
1788uid 188,0
1789ro 90
1790va (VaSet
1791vasetType 1
1792fg "0,65535,0"
1793)
1794xt "34000,74625,34750,75375"
1795)
1796tg (CPTG
1797uid 189,0
1798ps "CptPortTextPlaceStrategy"
1799stg "RightVerticalLayoutStrategy"
1800f (Text
1801uid 190,0
1802va (VaSet
1803)
1804xt "28100,74500,33000,75500"
1805st "ps_direction"
1806ju 2
1807blo "33000,75300"
1808)
1809)
1810thePort (LogicalPort
1811m 1
1812decl (Decl
1813n "ps_direction"
1814t "std_logic"
1815o 43
1816i "'1'"
1817)
1818)
1819)
1820*45 (CptPort
1821uid 191,0
1822ps "OnEdgeStrategy"
1823shape (Triangle
1824uid 192,0
1825ro 90
1826va (VaSet
1827vasetType 1
1828fg "0,65535,0"
1829)
1830xt "34000,75625,34750,76375"
1831)
1832tg (CPTG
1833uid 193,0
1834ps "CptPortTextPlaceStrategy"
1835stg "RightVerticalLayoutStrategy"
1836f (Text
1837uid 194,0
1838va (VaSet
1839)
1840xt "26000,75500,33000,76500"
1841st "ps_do_phase_shift"
1842ju 2
1843blo "33000,76300"
1844)
1845)
1846thePort (LogicalPort
1847m 1
1848decl (Decl
1849n "ps_do_phase_shift"
1850t "std_logic"
1851o 44
1852i "'0'"
1853)
1854)
1855)
1856*46 (CptPort
1857uid 195,0
1858ps "OnEdgeStrategy"
1859shape (Triangle
1860uid 196,0
1861ro 90
1862va (VaSet
1863vasetType 1
1864fg "0,65535,0"
1865)
1866xt "34000,76625,34750,77375"
1867)
1868tg (CPTG
1869uid 197,0
1870ps "CptPortTextPlaceStrategy"
1871stg "RightVerticalLayoutStrategy"
1872f (Text
1873uid 198,0
1874va (VaSet
1875)
1876xt "29700,76500,33000,77500"
1877st "ps_reset"
1878ju 2
1879blo "33000,77300"
1880)
1881)
1882thePort (LogicalPort
1883m 1
1884decl (Decl
1885n "ps_reset"
1886t "std_logic"
1887o 45
1888i "'0'"
1889)
1890)
1891)
1892*47 (CptPort
1893uid 199,0
1894ps "OnEdgeStrategy"
1895shape (Triangle
1896uid 200,0
1897ro 90
1898va (VaSet
1899vasetType 1
1900fg "0,65535,0"
1901)
1902xt "-750,62625,0,63375"
1903)
1904tg (CPTG
1905uid 201,0
1906ps "CptPortTextPlaceStrategy"
1907stg "VerticalLayoutStrategy"
1908f (Text
1909uid 202,0
1910va (VaSet
1911)
1912xt "1000,62500,4400,63500"
1913st "ps_ready"
1914blo "1000,63300"
1915)
1916)
1917thePort (LogicalPort
1918decl (Decl
1919n "ps_ready"
1920t "std_logic"
1921o 46
1922)
1923)
1924)
1925*48 (CptPort
1926uid 203,0
1927ps "OnEdgeStrategy"
1928shape (Triangle
1929uid 204,0
1930ro 90
1931va (VaSet
1932vasetType 1
1933fg "0,65535,0"
1934)
1935xt "34000,77625,34750,78375"
1936)
1937tg (CPTG
1938uid 205,0
1939ps "CptPortTextPlaceStrategy"
1940stg "RightVerticalLayoutStrategy"
1941f (Text
1942uid 206,0
1943va (VaSet
1944)
1945xt "27500,77500,33000,78500"
1946st "socks_waiting"
1947ju 2
1948blo "33000,78300"
1949)
1950)
1951thePort (LogicalPort
1952m 1
1953decl (Decl
1954n "socks_waiting"
1955t "std_logic"
1956o 47
1957)
1958)
1959)
1960*49 (CptPort
1961uid 207,0
1962ps "OnEdgeStrategy"
1963shape (Triangle
1964uid 208,0
1965ro 90
1966va (VaSet
1967vasetType 1
1968fg "0,65535,0"
1969)
1970xt "34000,78625,34750,79375"
1971)
1972tg (CPTG
1973uid 209,0
1974ps "CptPortTextPlaceStrategy"
1975stg "RightVerticalLayoutStrategy"
1976f (Text
1977uid 210,0
1978va (VaSet
1979)
1980xt "26500,78500,33000,79500"
1981st "socks_connected"
1982ju 2
1983blo "33000,79300"
1984)
1985)
1986thePort (LogicalPort
1987m 1
1988decl (Decl
1989n "socks_connected"
1990t "std_logic"
1991o 48
1992)
1993)
1994)
1995]
1996shape (Rectangle
1997uid 212,0
1998va (VaSet
1999vasetType 1
2000fg "0,65535,0"
2001lineColor "0,32896,0"
2002lineWidth 2
2003)
2004xt "0,46000,34000,80000"
2005)
2006ttg (MlTextGroup
2007uid 213,0
2008ps "CenterOffsetStrategy"
2009stg "VerticalLayoutStrategy"
2010textVec [
2011*50 (Text
2012uid 214,0
2013va (VaSet
2014font "Arial,8,1"
2015)
2016xt "13900,80000,20100,81000"
2017st "FACT_FAD_lib"
2018blo "13900,80800"
2019tm "BdLibraryNameMgr"
2020)
2021*51 (Text
2022uid 215,0
2023va (VaSet
2024font "Arial,8,1"
2025)
2026xt "13900,81000,20000,82000"
2027st "w5300_modul2"
2028blo "13900,81800"
2029tm "CptNameMgr"
2030)
2031*52 (Text
2032uid 216,0
2033va (VaSet
2034font "Arial,8,1"
2035)
2036xt "13900,82000,21100,83000"
2037st "inst_w5300_mod2"
2038blo "13900,82800"
2039tm "InstanceNameMgr"
2040)
2041]
2042)
2043ga (GenericAssociation
2044uid 217,0
2045ps "EdgeToEdgeStrategy"
2046matrix (Matrix
2047uid 218,0
2048text (MLText
2049uid 219,0
2050va (VaSet
2051font "Courier New,8,0"
2052)
2053xt "7250,45200,26750,46000"
2054st "RAM_ADDR_WIDTH = 14 ( integer ) "
2055)
2056header ""
2057)
2058elements [
2059(GiElement
2060name "RAM_ADDR_WIDTH"
2061type "integer"
2062value "14"
2063)
2064]
2065)
2066viewicon (ZoomableIcon
2067uid 220,0
2068sl 0
2069va (VaSet
2070vasetType 1
2071fg "49152,49152,49152"
2072)
2073xt "250,78250,1750,79750"
2074iconName "VhdlFileViewIcon.png"
2075iconMaskName "VhdlFileViewIcon.msk"
2076ftype 10
2077)
2078ordering 1
2079viewiconposition 0
2080portVis (PortSigDisplay
2081)
2082archType 1
2083archFileType "UNKNOWN"
2084)
2085*53 (Net
2086uid 221,0
2087decl (Decl
2088n "state"
2089t "std_logic_vector"
2090b "(7 DOWNTO 0)"
2091o 1
2092suid 1,0
2093)
2094declText (MLText
2095uid 222,0
2096va (VaSet
2097font "Courier New,8,0"
2098)
2099xt "22000,35800,57500,36600"
2100st "SIGNAL state : std_logic_vector(7 DOWNTO 0)
2101"
2102)
2103)
2104*54 (Net
2105uid 229,0
2106decl (Decl
2107n "debug_data_ram_empty"
2108t "std_logic"
2109o 2
2110suid 2,0
2111)
2112declText (MLText
2113uid 230,0
2114va (VaSet
2115font "Courier New,8,0"
2116)
2117xt "22000,15000,48000,15800"
2118st "SIGNAL debug_data_ram_empty : std_logic
2119"
2120)
2121)
2122*55 (Net
2123uid 237,0
2124decl (Decl
2125n "debug_data_valid"
2126t "std_logic"
2127o 3
2128suid 3,0
2129)
2130declText (MLText
2131uid 238,0
2132va (VaSet
2133font "Courier New,8,0"
2134)
2135xt "22000,15800,48000,16600"
2136st "SIGNAL debug_data_valid : std_logic
2137"
2138)
2139)
2140*56 (Net
2141uid 253,0
2142lang 10
2143decl (Decl
2144n "wiz_reset"
2145t "std_logic"
2146o 5
2147suid 5,0
2148)
2149declText (MLText
2150uid 254,0
2151va (VaSet
2152font "Courier New,8,0"
2153)
2154xt "22000,37400,48000,38200"
2155st "SIGNAL wiz_reset : std_logic
2156"
2157)
2158)
2159*57 (Net
2160uid 261,0
2161decl (Decl
2162n "addr"
2163t "std_logic_vector"
2164b "(9 DOWNTO 0)"
2165o 6
2166suid 6,0
2167)
2168declText (MLText
2169uid 262,0
2170va (VaSet
2171font "Courier New,8,0"
2172)
2173xt "22000,5400,57500,6200"
2174st "SIGNAL addr : std_logic_vector(9 DOWNTO 0)
2175"
2176)
2177)
2178*58 (Net
2179uid 269,0
2180lang 10
2181decl (Decl
2182n "data"
2183t "std_logic_vector"
2184b "(15 DOWNTO 0)"
2185o 7
2186suid 7,0
2187i "(others => 'Z')"
2188)
2189declText (MLText
2190uid 270,0
2191va (VaSet
2192font "Courier New,8,0"
2193)
2194xt "22000,11000,67500,11800"
2195st "SIGNAL data : std_logic_vector(15 DOWNTO 0) := (others => 'Z')
2196"
2197)
2198)
2199*59 (Net
2200uid 277,0
2201lang 10
2202decl (Decl
2203n "cs"
2204t "std_logic"
2205o 8
2206suid 8,0
2207)
2208declText (MLText
2209uid 278,0
2210va (VaSet
2211font "Courier New,8,0"
2212)
2213xt "22000,9400,48000,10200"
2214st "SIGNAL cs : std_logic
2215"
2216)
2217)
2218*60 (Net
2219uid 285,0
2220lang 10
2221decl (Decl
2222n "wr"
2223t "std_logic"
2224o 9
2225suid 9,0
2226)
2227declText (MLText
2228uid 286,0
2229va (VaSet
2230font "Courier New,8,0"
2231)
2232xt "22000,38200,48000,39000"
2233st "SIGNAL wr : std_logic
2234"
2235)
2236)
2237*61 (Net
2238uid 293,0
2239lang 10
2240decl (Decl
2241n "rd"
2242t "std_logic"
2243o 10
2244suid 10,0
2245)
2246declText (MLText
2247uid 294,0
2248va (VaSet
2249font "Courier New,8,0"
2250)
2251xt "22000,27000,48000,27800"
2252st "SIGNAL rd : std_logic
2253"
2254)
2255)
2256*62 (Net
2257uid 309,0
2258lang 10
2259decl (Decl
2260n "ram_addr"
2261t "std_logic_vector"
2262b "(13 DOWNTO 0)"
2263o 12
2264suid 12,0
2265)
2266declText (MLText
2267uid 310,0
2268va (VaSet
2269font "Courier New,8,0"
2270)
2271xt "22000,24600,58000,25400"
2272st "SIGNAL ram_addr : std_logic_vector(13 DOWNTO 0)
2273"
2274)
2275)
2276*63 (Net
2277uid 317,0
2278lang 10
2279decl (Decl
2280n "data_valid_ack"
2281t "std_logic"
2282o 13
2283suid 13,0
2284)
2285declText (MLText
2286uid 318,0
2287va (VaSet
2288font "Courier New,8,0"
2289)
2290xt "22000,14200,48000,15000"
2291st "SIGNAL data_valid_ack : std_logic
2292"
2293)
2294)
2295*64 (Net
2296uid 325,0
2297lang 10
2298decl (Decl
2299n "busy"
2300t "std_logic"
2301o 14
2302suid 14,0
2303)
2304declText (MLText
2305uid 326,0
2306va (VaSet
2307font "Courier New,8,0"
2308)
2309xt "22000,6200,48000,7000"
2310st "SIGNAL busy : std_logic
2311"
2312)
2313)
2314*65 (Net
2315uid 333,0
2316lang 10
2317decl (Decl
2318n "s_trigger"
2319t "std_logic"
2320o 15
2321suid 15,0
2322)
2323declText (MLText
2324uid 334,0
2325va (VaSet
2326font "Courier New,8,0"
2327)
2328xt "22000,30200,48000,31000"
2329st "SIGNAL s_trigger : std_logic
2330"
2331)
2332)
2333*66 (Net
2334uid 341,0
2335lang 10
2336decl (Decl
2337n "c_trigger_enable"
2338t "std_logic"
2339o 16
2340suid 16,0
2341)
2342declText (MLText
2343uid 342,0
2344va (VaSet
2345font "Courier New,8,0"
2346)
2347xt "22000,7000,48000,7800"
2348st "SIGNAL c_trigger_enable : std_logic
2349"
2350)
2351)
2352*67 (Net
2353uid 349,0
2354lang 10
2355decl (Decl
2356n "c_trigger_mult"
2357t "std_logic_vector"
2358b "(15 DOWNTO 0)"
2359o 17
2360suid 17,0
2361)
2362declText (MLText
2363uid 350,0
2364va (VaSet
2365font "Courier New,8,0"
2366)
2367xt "22000,7800,58000,8600"
2368st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0)
2369"
2370)
2371)
2372*68 (Net
2373uid 357,0
2374lang 10
2375decl (Decl
2376n "memory_manager_config_start_o"
2377t "std_logic"
2378o 18
2379suid 18,0
2380)
2381declText (MLText
2382uid 358,0
2383va (VaSet
2384font "Courier New,8,0"
2385)
2386xt "22000,19800,48000,20600"
2387st "SIGNAL memory_manager_config_start_o : std_logic
2388"
2389)
2390)
2391*69 (Net
2392uid 365,0
2393lang 10
2394decl (Decl
2395n "spi_interface_config_start_o"
2396t "std_logic"
2397o 19
2398suid 19,0
2399)
2400declText (MLText
2401uid 366,0
2402va (VaSet
2403font "Courier New,8,0"
2404)
2405xt "22000,33400,48000,34200"
2406st "SIGNAL spi_interface_config_start_o : std_logic
2407"
2408)
2409)
2410*70 (Net
2411uid 373,0
2412lang 10
2413decl (Decl
2414n "dac_setting"
2415t "dac_array_type"
2416o 20
2417suid 20,0
2418)
2419declText (MLText
2420uid 374,0
2421va (VaSet
2422font "Courier New,8,0"
2423)
2424xt "22000,10200,50500,11000"
2425st "SIGNAL dac_setting : dac_array_type
2426"
2427)
2428)
2429*71 (Net
2430uid 381,0
2431lang 10
2432decl (Decl
2433n "roi_setting"
2434t "roi_array_type"
2435o 21
2436suid 21,0
2437)
2438declText (MLText
2439uid 382,0
2440va (VaSet
2441font "Courier New,8,0"
2442)
2443xt "22000,28600,50500,29400"
2444st "SIGNAL roi_setting : roi_array_type
2445"
2446)
2447)
2448*72 (Net
2449uid 389,0
2450lang 10
2451decl (Decl
2452n "runnumber"
2453t "std_logic_vector"
2454b "(31 DOWNTO 0)"
2455o 22
2456suid 22,0
2457)
2458declText (MLText
2459uid 390,0
2460va (VaSet
2461font "Courier New,8,0"
2462)
2463xt "22000,29400,58000,30200"
2464st "SIGNAL runnumber : std_logic_vector(31 DOWNTO 0)
2465"
2466)
2467)
2468*73 (Net
2469uid 397,0
2470lang 10
2471decl (Decl
2472n "reset_trigger_id"
2473t "std_logic"
2474o 23
2475suid 23,0
2476)
2477declText (MLText
2478uid 398,0
2479va (VaSet
2480font "Courier New,8,0"
2481)
2482xt "22000,27800,48000,28600"
2483st "SIGNAL reset_trigger_id : std_logic
2484"
2485)
2486)
2487*74 (Net
2488uid 405,0
2489decl (Decl
2490n "trigger_enable"
2491t "std_logic"
2492o 24
2493suid 24,0
2494)
2495declText (MLText
2496uid 406,0
2497va (VaSet
2498font "Courier New,8,0"
2499)
2500xt "22000,36600,48000,37400"
2501st "SIGNAL trigger_enable : std_logic
2502"
2503)
2504)
2505*75 (Net
2506uid 413,0
2507lang 10
2508decl (Decl
2509n "denable"
2510t "std_logic"
2511o 25
2512suid 25,0
2513)
2514declText (MLText
2515uid 414,0
2516va (VaSet
2517font "Courier New,8,0"
2518)
2519xt "22000,16600,48000,17400"
2520st "SIGNAL denable : std_logic
2521"
2522)
2523)
2524*76 (Net
2525uid 421,0
2526lang 10
2527decl (Decl
2528n "dwrite_enable"
2529t "std_logic"
2530o 26
2531suid 26,0
2532)
2533declText (MLText
2534uid 422,0
2535va (VaSet
2536font "Courier New,8,0"
2537)
2538xt "22000,17400,48000,18200"
2539st "SIGNAL dwrite_enable : std_logic
2540"
2541)
2542)
2543*77 (Net
2544uid 429,0
2545lang 10
2546decl (Decl
2547n "sclk_enable"
2548t "std_logic"
2549o 27
2550suid 27,0
2551)
2552declText (MLText
2553uid 430,0
2554va (VaSet
2555font "Courier New,8,0"
2556)
2557xt "22000,31000,48000,31800"
2558st "SIGNAL sclk_enable : std_logic
2559"
2560)
2561)
2562*78 (Net
2563uid 437,0
2564lang 10
2565decl (Decl
2566n "srclk_enable"
2567t "std_logic"
2568o 28
2569suid 28,0
2570)
2571declText (MLText
2572uid 438,0
2573va (VaSet
2574font "Courier New,8,0"
2575)
2576xt "22000,35000,48000,35800"
2577st "SIGNAL srclk_enable : std_logic
2578"
2579)
2580)
2581*79 (Net
2582uid 445,0
2583lang 10
2584decl (Decl
2585n "ps_direction"
2586t "std_logic"
2587o 29
2588suid 29,0
2589)
2590declText (MLText
2591uid 446,0
2592va (VaSet
2593font "Courier New,8,0"
2594)
2595xt "22000,21400,48000,22200"
2596st "SIGNAL ps_direction : std_logic
2597"
2598)
2599)
2600*80 (Net
2601uid 453,0
2602lang 10
2603decl (Decl
2604n "ps_do_phase_shift"
2605t "std_logic"
2606o 30
2607suid 30,0
2608)
2609declText (MLText
2610uid 454,0
2611va (VaSet
2612font "Courier New,8,0"
2613)
2614xt "22000,22200,48000,23000"
2615st "SIGNAL ps_do_phase_shift : std_logic
2616"
2617)
2618)
2619*81 (Net
2620uid 461,0
2621lang 10
2622decl (Decl
2623n "ps_reset"
2624t "std_logic"
2625o 31
2626suid 31,0
2627)
2628declText (MLText
2629uid 462,0
2630va (VaSet
2631font "Courier New,8,0"
2632)
2633xt "22000,23800,48000,24600"
2634st "SIGNAL ps_reset : std_logic
2635"
2636)
2637)
2638*82 (Net
2639uid 469,0
2640decl (Decl
2641n "socks_waiting"
2642t "std_logic"
2643o 32
2644suid 32,0
2645)
2646declText (MLText
2647uid 470,0
2648va (VaSet
2649font "Courier New,8,0"
2650)
2651xt "22000,32600,48000,33400"
2652st "SIGNAL socks_waiting : std_logic
2653"
2654)
2655)
2656*83 (Net
2657uid 477,0
2658decl (Decl
2659n "socks_connected"
2660t "std_logic"
2661o 33
2662suid 33,0
2663)
2664declText (MLText
2665uid 478,0
2666va (VaSet
2667font "Courier New,8,0"
2668)
2669xt "22000,31800,48000,32600"
2670st "SIGNAL socks_connected : std_logic
2671"
2672)
2673)
2674*84 (Net
2675uid 485,0
2676decl (Decl
2677n "data_generator_idle_i"
2678t "std_logic"
2679o 34
2680suid 34,0
2681)
2682declText (MLText
2683uid 486,0
2684va (VaSet
2685font "Courier New,8,0"
2686)
2687xt "22000,11800,48000,12600"
2688st "SIGNAL data_generator_idle_i : std_logic
2689"
2690)
2691)
2692*85 (Net
2693uid 493,0
2694decl (Decl
2695n "clk"
2696t "std_logic"
2697o 35
2698suid 35,0
2699)
2700declText (MLText
2701uid 494,0
2702va (VaSet
2703font "Courier New,8,0"
2704)
2705xt "22000,8600,48000,9400"
2706st "SIGNAL clk : std_logic
2707"
2708)
2709)
2710*86 (Net
2711uid 501,0
2712decl (Decl
2713n "int"
2714t "std_logic"
2715o 36
2716suid 36,0
2717)
2718declText (MLText
2719uid 502,0
2720va (VaSet
2721font "Courier New,8,0"
2722)
2723xt "22000,19000,48000,19800"
2724st "SIGNAL int : std_logic
2725"
2726)
2727)
2728*87 (Net
2729uid 509,0
2730decl (Decl
2731n "write_length"
2732t "std_logic_vector"
2733b "(16 DOWNTO 0)"
2734o 37
2735suid 37,0
2736)
2737declText (MLText
2738uid 510,0
2739va (VaSet
2740font "Courier New,8,0"
2741)
2742xt "22000,40600,58000,41400"
2743st "SIGNAL write_length : std_logic_vector(16 DOWNTO 0)
2744"
2745)
2746)
2747*88 (Net
2748uid 517,0
2749lang 10
2750decl (Decl
2751n "ram_start_addr"
2752t "std_logic_vector"
2753b "(13 DOWNTO 0)"
2754o 38
2755suid 38,0
2756)
2757declText (MLText
2758uid 518,0
2759va (VaSet
2760font "Courier New,8,0"
2761)
2762xt "22000,26200,58000,27000"
2763st "SIGNAL ram_start_addr : std_logic_vector(13 DOWNTO 0)
2764"
2765)
2766)
2767*89 (Net
2768uid 525,0
2769decl (Decl
2770n "ram_data"
2771t "std_logic_vector"
2772b "(15 DOWNTO 0)"
2773o 39
2774suid 39,0
2775)
2776declText (MLText
2777uid 526,0
2778va (VaSet
2779font "Courier New,8,0"
2780)
2781xt "22000,25400,58000,26200"
2782st "SIGNAL ram_data : std_logic_vector(15 DOWNTO 0)
2783"
2784)
2785)
2786*90 (Net
2787uid 533,0
2788decl (Decl
2789n "data_valid"
2790t "std_logic"
2791o 40
2792suid 40,0
2793)
2794declText (MLText
2795uid 534,0
2796va (VaSet
2797font "Courier New,8,0"
2798)
2799xt "22000,13400,48000,14200"
2800st "SIGNAL data_valid : std_logic
2801"
2802)
2803)
2804*91 (Net
2805uid 541,0
2806decl (Decl
2807n "write_header_flag"
2808t "std_logic"
2809o 41
2810suid 41,0
2811)
2812declText (MLText
2813uid 542,0
2814va (VaSet
2815font "Courier New,8,0"
2816)
2817xt "22000,39800,48000,40600"
2818st "SIGNAL write_header_flag : std_logic
2819"
2820)
2821)
2822*92 (Net
2823uid 549,0
2824decl (Decl
2825n "write_end_flag"
2826t "std_logic"
2827o 42
2828suid 42,0
2829)
2830declText (MLText
2831uid 550,0
2832va (VaSet
2833font "Courier New,8,0"
2834)
2835xt "22000,39000,48000,39800"
2836st "SIGNAL write_end_flag : std_logic
2837"
2838)
2839)
2840*93 (Net
2841uid 557,0
2842lang 10
2843decl (Decl
2844n "fifo_channels"
2845t "std_logic_vector"
2846b "(3 DOWNTO 0)"
2847o 43
2848suid 43,0
2849)
2850declText (MLText
2851uid 558,0
2852va (VaSet
2853font "Courier New,8,0"
2854)
2855xt "22000,18200,57500,19000"
2856st "SIGNAL fifo_channels : std_logic_vector(3 DOWNTO 0)
2857"
2858)
2859)
2860*94 (Net
2861uid 565,0
2862decl (Decl
2863n "memory_manager_config_valid_i"
2864t "std_logic"
2865o 44
2866suid 44,0
2867)
2868declText (MLText
2869uid 566,0
2870va (VaSet
2871font "Courier New,8,0"
2872)
2873xt "22000,20600,48000,21400"
2874st "SIGNAL memory_manager_config_valid_i : std_logic
2875"
2876)
2877)
2878*95 (Net
2879uid 573,0
2880decl (Decl
2881n "spi_interface_config_valid_i"
2882t "std_logic"
2883o 45
2884suid 45,0
2885)
2886declText (MLText
2887uid 574,0
2888va (VaSet
2889font "Courier New,8,0"
2890)
2891xt "22000,34200,48000,35000"
2892st "SIGNAL spi_interface_config_valid_i : std_logic
2893"
2894)
2895)
2896*96 (Net
2897uid 581,0
2898decl (Decl
2899n "data_ram_empty"
2900t "std_logic"
2901o 46
2902suid 46,0
2903)
2904declText (MLText
2905uid 582,0
2906va (VaSet
2907font "Courier New,8,0"
2908)
2909xt "22000,12600,48000,13400"
2910st "SIGNAL data_ram_empty : std_logic
2911"
2912)
2913)
2914*97 (Net
2915uid 589,0
2916lang 10
2917decl (Decl
2918n "MAC_jumper"
2919t "std_logic_vector"
2920b "(1 DOWNTO 0)"
2921o 47
2922suid 47,0
2923)
2924declText (MLText
2925uid 590,0
2926va (VaSet
2927font "Courier New,8,0"
2928)
2929xt "22000,4600,57500,5400"
2930st "SIGNAL MAC_jumper : std_logic_vector(1 DOWNTO 0)
2931"
2932)
2933)
2934*98 (Net
2935uid 597,0
2936lang 10
2937decl (Decl
2938n "BoardID"
2939t "std_logic_vector"
2940b "(3 DOWNTO 0)"
2941o 48
2942suid 48,0
2943)
2944declText (MLText
2945uid 598,0
2946va (VaSet
2947font "Courier New,8,0"
2948)
2949xt "22000,3000,57500,3800"
2950st "SIGNAL BoardID : std_logic_vector(3 DOWNTO 0)
2951"
2952)
2953)
2954*99 (Net
2955uid 605,0
2956lang 10
2957decl (Decl
2958n "CrateID"
2959t "std_logic_vector"
2960b "(1 DOWNTO 0)"
2961o 49
2962suid 49,0
2963)
2964declText (MLText
2965uid 606,0
2966va (VaSet
2967font "Courier New,8,0"
2968)
2969xt "22000,3800,57500,4600"
2970st "SIGNAL CrateID : std_logic_vector(1 DOWNTO 0)
2971"
2972)
2973)
2974*100 (Net
2975uid 613,0
2976decl (Decl
2977n "ps_ready"
2978t "std_logic"
2979o 50
2980suid 50,0
2981)
2982declText (MLText
2983uid 614,0
2984va (VaSet
2985font "Courier New,8,0"
2986)
2987xt "22000,23000,48000,23800"
2988st "SIGNAL ps_ready : std_logic
2989"
2990)
2991)
2992*101 (Blk
2993uid 621,0
2994shape (Rectangle
2995uid 622,0
2996va (VaSet
2997vasetType 1
2998fg "39936,56832,65280"
2999lineColor "0,0,32768"
3000lineWidth 2
3001)
3002xt "74000,45000,108000,79000"
3003)
3004ttg (MlTextGroup
3005uid 623,0
3006ps "CenterOffsetStrategy"
3007stg "VerticalLayoutStrategy"
3008textVec [
3009*102 (Text
3010uid 624,0
3011va (VaSet
3012font "Arial,8,1"
3013)
3014xt "87150,60500,94850,61500"
3015st "FACT_FAD_TB_lib"
3016blo "87150,61300"
3017tm "BdLibraryNameMgr"
3018)
3019*103 (Text
3020uid 625,0
3021va (VaSet
3022font "Arial,8,1"
3023)
3024xt "87150,61500,95750,62500"
3025st "w5300_modul2_tester"
3026blo "87150,62300"
3027tm "BlkNameMgr"
3028)
3029*104 (Text
3030uid 626,0
3031va (VaSet
3032font "Arial,8,1"
3033)
3034xt "87150,62500,88950,63500"
3035st "U_1"
3036blo "87150,63300"
3037tm "InstanceNameMgr"
3038)
3039]
3040)
3041ga (GenericAssociation
3042uid 627,0
3043ps "EdgeToEdgeStrategy"
3044matrix (Matrix
3045uid 628,0
3046text (MLText
3047uid 629,0
3048va (VaSet
3049font "Courier New,8,0"
3050)
3051xt "87150,70500,87150,70500"
3052)
3053header ""
3054)
3055elements [
3056]
3057)
3058viewicon (ZoomableIcon
3059uid 630,0
3060sl 0
3061va (VaSet
3062vasetType 1
3063fg "49152,49152,49152"
3064)
3065xt "74250,77250,75750,78750"
3066iconName "VhdlFileViewIcon.png"
3067iconMaskName "VhdlFileViewIcon.msk"
3068ftype 10
3069)
3070ordering 1
3071viewiconposition 0
3072blkPorts [
3073"clk"
3074"busy"
3075"c_trigger_enable"
3076"c_trigger_mult"
3077"dac_setting"
3078"data_valid_ack"
3079"debug_data_ram_empty"
3080"debug_data_valid"
3081"denable"
3082"dwrite_enable"
3083"memory_manager_config_start_o"
3084"ps_direction"
3085"ps_do_phase_shift"
3086"ps_reset"
3087"ram_addr"
3088"reset_trigger_id"
3089"roi_setting"
3090"runnumber"
3091"s_trigger"
3092"sclk_enable"
3093"socks_connected"
3094"socks_waiting"
3095"spi_interface_config_start_o"
3096"srclk_enable"
3097"state"
3098"trigger_enable"
3099"wiz_reset"
3100"BoardID"
3101"CrateID"
3102"MAC_jumper"
3103"data_generator_idle_i"
3104"data_ram_empty"
3105"data_valid"
3106"fifo_channels"
3107"memory_manager_config_valid_i"
3108"ps_ready"
3109"ram_data"
3110"ram_start_addr"
3111"spi_interface_config_valid_i"
3112"write_end_flag"
3113"write_header_flag"
3114"write_length"
3115]
3116)
3117*105 (SaComponent
3118uid 1542,0
3119optionalChildren [
3120*106 (CptPort
3121uid 1531,0
3122ps "OnEdgeStrategy"
3123shape (Triangle
3124uid 1532,0
3125ro 90
3126va (VaSet
3127vasetType 1
3128fg "0,65535,0"
3129)
3130xt "80000,19625,80750,20375"
3131)
3132tg (CPTG
3133uid 1533,0
3134ps "CptPortTextPlaceStrategy"
3135stg "RightVerticalLayoutStrategy"
3136f (Text
3137uid 1534,0
3138va (VaSet
3139)
3140xt "77700,19500,79000,20500"
3141st "clk"
3142ju 2
3143blo "79000,20300"
3144)
3145)
3146thePort (LogicalPort
3147m 1
3148decl (Decl
3149n "clk"
3150t "std_logic"
3151preAdd 0
3152posAdd 0
3153o 1
3154suid 1,0
3155i "'0'"
3156)
3157)
3158)
3159*107 (CptPort
3160uid 1535,0
3161ps "OnEdgeStrategy"
3162shape (Triangle
3163uid 1536,0
3164ro 90
3165va (VaSet
3166vasetType 1
3167fg "0,65535,0"
3168)
3169xt "80000,20625,80750,21375"
3170)
3171tg (CPTG
3172uid 1537,0
3173ps "CptPortTextPlaceStrategy"
3174stg "RightVerticalLayoutStrategy"
3175f (Text
3176uid 1538,0
3177va (VaSet
3178)
3179xt "77700,20500,79000,21500"
3180st "rst"
3181ju 2
3182blo "79000,21300"
3183)
3184)
3185thePort (LogicalPort
3186m 1
3187decl (Decl
3188n "rst"
3189t "std_logic"
3190preAdd 0
3191posAdd 0
3192o 2
3193suid 2,0
3194i "'0'"
3195)
3196)
3197)
3198*108 (CommentText
3199uid 1539,0
3200ps "EdgeToEdgeStrategy"
3201shape (Rectangle
3202uid 1540,0
3203layer 0
3204va (VaSet
3205vasetType 1
3206fg "65280,65280,46080"
3207lineColor "0,0,32768"
3208)
3209xt "71500,8000,86500,12000"
3210)
3211oxt "21500,4000,36500,8000"
3212text (MLText
3213uid 1541,0
3214va (VaSet
3215fg "0,0,32768"
3216)
3217xt "71700,8200,81500,9200"
3218st "
3219-- synthesis translate_off
3220"
3221tm "CommentText"
3222wrapOption 3
3223visibleHeight 4000
3224visibleWidth 15000
3225)
3226included 1
3227excludeCommentLeader 1
3228)
3229]
3230shape (Rectangle
3231uid 1543,0
3232va (VaSet
3233vasetType 1
3234fg "0,49152,49152"
3235lineColor "0,0,50000"
3236lineWidth 2
3237)
3238xt "72000,19000,80000,23000"
3239)
3240oxt "22000,15000,30000,19000"
3241ttg (MlTextGroup
3242uid 1544,0
3243ps "CenterOffsetStrategy"
3244stg "VerticalLayoutStrategy"
3245textVec [
3246*109 (Text
3247uid 1545,0
3248va (VaSet
3249font "Arial,8,1"
3250)
3251xt "72150,23000,79850,24000"
3252st "FACT_FAD_TB_lib"
3253blo "72150,23800"
3254tm "BdLibraryNameMgr"
3255)
3256*110 (Text
3257uid 1546,0
3258va (VaSet
3259font "Arial,8,1"
3260)
3261xt "72150,24000,78850,25000"
3262st "clock_generator"
3263blo "72150,24800"
3264tm "CptNameMgr"
3265)
3266*111 (Text
3267uid 1547,0
3268va (VaSet
3269font "Arial,8,1"
3270)
3271xt "72150,25000,73950,26000"
3272st "U_2"
3273blo "72150,25800"
3274tm "InstanceNameMgr"
3275)
3276]
3277)
3278ga (GenericAssociation
3279uid 1548,0
3280ps "EdgeToEdgeStrategy"
3281matrix (Matrix
3282uid 1549,0
3283text (MLText
3284uid 1550,0
3285va (VaSet
3286font "Courier New,8,0"
3287)
3288xt "71500,10400,90000,12000"
3289st "clock_period = 20 ns ( time )
3290reset_time = 50 ns ( time ) "
3291)
3292header ""
3293)
3294elements [
3295(GiElement
3296name "clock_period"
3297type "time"
3298value "20 ns"
3299)
3300(GiElement
3301name "reset_time"
3302type "time"
3303value "50 ns"
3304)
3305]
3306)
3307viewicon (ZoomableIcon
3308uid 1551,0
3309sl 0
3310va (VaSet
3311vasetType 1
3312fg "49152,49152,49152"
3313)
3314xt "72250,21250,73750,22750"
3315iconName "VhdlFileViewIcon.png"
3316iconMaskName "VhdlFileViewIcon.msk"
3317ftype 10
3318)
3319ordering 1
3320viewiconposition 0
3321portVis (PortSigDisplay
3322)
3323archFileType "UNKNOWN"
3324)
3325*112 (SaComponent
3326uid 2558,0
3327optionalChildren [
3328*113 (CptPort
3329uid 2533,0
3330ps "OnEdgeStrategy"
3331shape (Triangle
3332uid 2534,0
3333ro 90
3334va (VaSet
3335vasetType 1
3336fg "0,65535,0"
3337)
3338xt "93250,27625,94000,28375"
3339)
3340tg (CPTG
3341uid 2535,0
3342ps "CptPortTextPlaceStrategy"
3343stg "VerticalLayoutStrategy"
3344f (Text
3345uid 2536,0
3346va (VaSet
3347)
3348xt "95000,27500,99500,28500"
3349st "addr : (9:0)"
3350blo "95000,28300"
3351)
3352)
3353thePort (LogicalPort
3354decl (Decl
3355n "addr"
3356t "std_logic_vector"
3357b "(9 DOWNTO 0)"
3358preAdd 0
3359posAdd 0
3360o 2
3361suid 1,0
3362)
3363)
3364)
3365*114 (CptPort
3366uid 2537,0
3367ps "OnEdgeStrategy"
3368shape (Diamond
3369uid 2538,0
3370ro 270
3371va (VaSet
3372vasetType 1
3373fg "0,65535,0"
3374)
3375xt "93250,28625,94000,29375"
3376)
3377tg (CPTG
3378uid 2539,0
3379ps "CptPortTextPlaceStrategy"
3380stg "VerticalLayoutStrategy"
3381f (Text
3382uid 2540,0
3383va (VaSet
3384)
3385xt "95000,28500,99800,29500"
3386st "data : (15:0)"
3387blo "95000,29300"
3388)
3389)
3390thePort (LogicalPort
3391m 2
3392decl (Decl
3393n "data"
3394t "std_logic_vector"
3395b "(15 DOWNTO 0)"
3396preAdd 0
3397posAdd 0
3398o 3
3399suid 2,0
3400)
3401)
3402)
3403*115 (CptPort
3404uid 2541,0
3405ps "OnEdgeStrategy"
3406shape (Triangle
3407uid 2542,0
3408ro 90
3409va (VaSet
3410vasetType 1
3411fg "0,65535,0"
3412)
3413xt "93250,31625,94000,32375"
3414)
3415tg (CPTG
3416uid 2543,0
3417ps "CptPortTextPlaceStrategy"
3418stg "VerticalLayoutStrategy"
3419f (Text
3420uid 2544,0
3421va (VaSet
3422)
3423xt "95000,31500,96100,32500"
3424st "rd"
3425blo "95000,32300"
3426)
3427)
3428thePort (LogicalPort
3429decl (Decl
3430n "rd"
3431t "std_logic"
3432preAdd 0
3433posAdd 0
3434o 4
3435suid 3,0
3436)
3437)
3438)
3439*116 (CptPort
3440uid 2545,0
3441ps "OnEdgeStrategy"
3442shape (Triangle
3443uid 2546,0
3444ro 90
3445va (VaSet
3446vasetType 1
3447fg "0,65535,0"
3448)
3449xt "93250,32625,94000,33375"
3450)
3451tg (CPTG
3452uid 2547,0
3453ps "CptPortTextPlaceStrategy"
3454stg "VerticalLayoutStrategy"
3455f (Text
3456uid 2548,0
3457va (VaSet
3458)
3459xt "95000,32500,96200,33500"
3460st "wr"
3461blo "95000,33300"
3462)
3463)
3464thePort (LogicalPort
3465decl (Decl
3466n "wr"
3467t "std_logic"
3468preAdd 0
3469posAdd 0
3470o 6
3471suid 4,0
3472)
3473)
3474)
3475*117 (CptPort
3476uid 2549,0
3477ps "OnEdgeStrategy"
3478shape (Triangle
3479uid 2550,0
3480ro 90
3481va (VaSet
3482vasetType 1
3483fg "0,65535,0"
3484)
3485xt "108000,27625,108750,28375"
3486)
3487tg (CPTG
3488uid 2551,0
3489ps "CptPortTextPlaceStrategy"
3490stg "RightVerticalLayoutStrategy"
3491f (Text
3492uid 2552,0
3493va (VaSet
3494)
3495xt "105800,27500,107000,28500"
3496st "int"
3497ju 2
3498blo "107000,28300"
3499)
3500t (Text
3501uid 2553,0
3502va (VaSet
3503)
3504xt "105800,28500,107000,29500"
3505st "'1'"
3506ju 2
3507blo "107000,29300"
3508)
3509)
3510thePort (LogicalPort
3511m 1
3512decl (Decl
3513n "int"
3514t "std_logic"
3515o 1
3516suid 5,0
3517i "'1'"
3518)
3519)
3520)
3521*118 (CptPort
3522uid 2554,0
3523ps "OnEdgeStrategy"
3524shape (Triangle
3525uid 2555,0
3526ro 90
3527va (VaSet
3528vasetType 1
3529fg "0,65535,0"
3530)
3531xt "93250,34625,94000,35375"
3532)
3533tg (CPTG
3534uid 2556,0
3535ps "CptPortTextPlaceStrategy"
3536stg "VerticalLayoutStrategy"
3537f (Text
3538uid 2557,0
3539va (VaSet
3540)
3541xt "95000,34500,96200,35500"
3542st "cs"
3543blo "95000,35300"
3544)
3545)
3546thePort (LogicalPort
3547decl (Decl
3548n "cs"
3549t "std_logic"
3550o 5
3551suid 6,0
3552)
3553)
3554)
3555]
3556shape (Rectangle
3557uid 2559,0
3558va (VaSet
3559vasetType 1
3560fg "0,49152,49152"
3561lineColor "0,0,50000"
3562lineWidth 2
3563)
3564xt "94000,26000,108000,38000"
3565)
3566oxt "29000,0,43000,12000"
3567ttg (MlTextGroup
3568uid 2560,0
3569ps "CenterOffsetStrategy"
3570stg "VerticalLayoutStrategy"
3571textVec [
3572*119 (Text
3573uid 2561,0
3574va (VaSet
3575font "Arial,8,1"
3576)
3577xt "94200,38000,101900,39000"
3578st "FACT_FAD_TB_lib"
3579blo "94200,38800"
3580tm "BdLibraryNameMgr"
3581)
3582*120 (Text
3583uid 2562,0
3584va (VaSet
3585font "Arial,8,1"
3586)
3587xt "94200,39000,100800,40000"
3588st "w5300_emulator"
3589blo "94200,39800"
3590tm "CptNameMgr"
3591)
3592*121 (Text
3593uid 2563,0
3594va (VaSet
3595font "Arial,8,1"
3596)
3597xt "94200,40000,96000,41000"
3598st "U_0"
3599blo "94200,40800"
3600tm "InstanceNameMgr"
3601)
3602]
3603)
3604ga (GenericAssociation
3605uid 2564,0
3606ps "EdgeToEdgeStrategy"
3607matrix (Matrix
3608uid 2565,0
3609text (MLText
3610uid 2566,0
3611va (VaSet
3612font "Courier New,8,0"
3613)
3614xt "94000,26200,94000,26200"
3615)
3616header ""
3617)
3618elements [
3619]
3620)
3621viewicon (ZoomableIcon
3622uid 2567,0
3623sl 0
3624va (VaSet
3625vasetType 1
3626fg "49152,49152,49152"
3627)
3628xt "94250,36250,95750,37750"
3629iconName "VhdlFileViewIcon.png"
3630iconMaskName "VhdlFileViewIcon.msk"
3631ftype 10
3632)
3633ordering 1
3634viewiconposition 0
3635portVis (PortSigDisplay
3636sIVOD 1
3637)
3638archFileType "UNKNOWN"
3639)
3640*122 (Wire
3641uid 223,0
3642shape (OrthoPolyLine
3643uid 224,0
3644va (VaSet
3645vasetType 3
3646lineWidth 2
3647)
3648xt "34750,47000,51000,47000"
3649pts [
3650"34750,47000"
3651"51000,47000"
3652]
3653)
3654start &2
3655sat 32
3656eat 16
3657sty 1
3658st 0
3659sf 1
3660si 0
3661tg (WTG
3662uid 227,0
3663ps "ConnStartEndStrategy"
3664stg "STSignalDisplayStrategy"
3665f (Text
3666uid 228,0
3667va (VaSet
3668)
3669xt "36000,46000,40600,47000"
3670st "state : (7:0)"
3671blo "36000,46800"
3672tm "WireNameMgr"
3673)
3674)
3675on &53
3676)
3677*123 (Wire
3678uid 231,0
3679shape (OrthoPolyLine
3680uid 232,0
3681va (VaSet
3682vasetType 3
3683)
3684xt "34750,48000,51000,48000"
3685pts [
3686"34750,48000"
3687"51000,48000"
3688]
3689)
3690start &3
3691sat 32
3692eat 16
3693st 0
3694sf 1
3695si 0
3696tg (WTG
3697uid 235,0
3698ps "ConnStartEndStrategy"
3699stg "STSignalDisplayStrategy"
3700f (Text
3701uid 236,0
3702va (VaSet
3703)
3704xt "36000,47000,45100,48000"
3705st "debug_data_ram_empty"
3706blo "36000,47800"
3707tm "WireNameMgr"
3708)
3709)
3710on &54
3711)
3712*124 (Wire
3713uid 239,0
3714shape (OrthoPolyLine
3715uid 240,0
3716va (VaSet
3717vasetType 3
3718)
3719xt "34750,49000,51000,49000"
3720pts [
3721"34750,49000"
3722"51000,49000"
3723]
3724)
3725start &4
3726sat 32
3727eat 16
3728st 0
3729sf 1
3730si 0
3731tg (WTG
3732uid 243,0
3733ps "ConnStartEndStrategy"
3734stg "STSignalDisplayStrategy"
3735f (Text
3736uid 244,0
3737va (VaSet
3738)
3739xt "36000,48000,42600,49000"
3740st "debug_data_valid"
3741blo "36000,48800"
3742tm "WireNameMgr"
3743)
3744)
3745on &55
3746)
3747*125 (Wire
3748uid 255,0
3749shape (OrthoPolyLine
3750uid 256,0
3751va (VaSet
3752vasetType 3
3753)
3754xt "34750,51000,51000,51000"
3755pts [
3756"34750,51000"
3757"51000,51000"
3758]
3759)
3760start &7
3761sat 32
3762eat 16
3763st 0
3764sf 1
3765si 0
3766tg (WTG
3767uid 259,0
3768ps "ConnStartEndStrategy"
3769stg "STSignalDisplayStrategy"
3770f (Text
3771uid 260,0
3772va (VaSet
3773)
3774xt "36000,50000,39600,51000"
3775st "wiz_reset"
3776blo "36000,50800"
3777tm "WireNameMgr"
3778)
3779)
3780on &56
3781)
3782*126 (Wire
3783uid 263,0
3784shape (OrthoPolyLine
3785uid 264,0
3786va (VaSet
3787vasetType 3
3788lineWidth 2
3789)
3790xt "34750,52000,51000,52000"
3791pts [
3792"34750,52000"
3793"51000,52000"
3794]
3795)
3796start &8
3797sat 32
3798eat 16
3799sty 1
3800st 0
3801sf 1
3802si 0
3803tg (WTG
3804uid 267,0
3805ps "ConnStartEndStrategy"
3806stg "STSignalDisplayStrategy"
3807f (Text
3808uid 268,0
3809va (VaSet
3810)
3811xt "36000,51000,40500,52000"
3812st "addr : (9:0)"
3813blo "36000,51800"
3814tm "WireNameMgr"
3815)
3816)
3817on &57
3818)
3819*127 (Wire
3820uid 271,0
3821shape (OrthoPolyLine
3822uid 272,0
3823va (VaSet
3824vasetType 3
3825lineWidth 2
3826)
3827xt "34750,53000,51000,53000"
3828pts [
3829"34750,53000"
3830"51000,53000"
3831]
3832)
3833start &9
3834sat 32
3835eat 16
3836sty 1
3837st 0
3838sf 1
3839si 0
3840tg (WTG
3841uid 275,0
3842ps "ConnStartEndStrategy"
3843stg "STSignalDisplayStrategy"
3844f (Text
3845uid 276,0
3846va (VaSet
3847)
3848xt "36000,52000,40800,53000"
3849st "data : (15:0)"
3850blo "36000,52800"
3851tm "WireNameMgr"
3852)
3853)
3854on &58
3855)
3856*128 (Wire
3857uid 279,0
3858shape (OrthoPolyLine
3859uid 280,0
3860va (VaSet
3861vasetType 3
3862)
3863xt "34750,54000,51000,54000"
3864pts [
3865"34750,54000"
3866"51000,54000"
3867]
3868)
3869start &10
3870sat 32
3871eat 16
3872st 0
3873sf 1
3874si 0
3875tg (WTG
3876uid 283,0
3877ps "ConnStartEndStrategy"
3878stg "STSignalDisplayStrategy"
3879f (Text
3880uid 284,0
3881va (VaSet
3882)
3883xt "36000,53000,37200,54000"
3884st "cs"
3885blo "36000,53800"
3886tm "WireNameMgr"
3887)
3888)
3889on &59
3890)
3891*129 (Wire
3892uid 287,0
3893shape (OrthoPolyLine
3894uid 288,0
3895va (VaSet
3896vasetType 3
3897)
3898xt "34750,55000,51000,55000"
3899pts [
3900"34750,55000"
3901"51000,55000"
3902]
3903)
3904start &11
3905sat 32
3906eat 16
3907st 0
3908sf 1
3909si 0
3910tg (WTG
3911uid 291,0
3912ps "ConnStartEndStrategy"
3913stg "STSignalDisplayStrategy"
3914f (Text
3915uid 292,0
3916va (VaSet
3917)
3918xt "36000,54000,37200,55000"
3919st "wr"
3920blo "36000,54800"
3921tm "WireNameMgr"
3922)
3923)
3924on &60
3925)
3926*130 (Wire
3927uid 295,0
3928shape (OrthoPolyLine
3929uid 296,0
3930va (VaSet
3931vasetType 3
3932)
3933xt "34750,56000,51000,56000"
3934pts [
3935"34750,56000"
3936"51000,56000"
3937]
3938)
3939start &12
3940sat 32
3941eat 16
3942st 0
3943sf 1
3944si 0
3945tg (WTG
3946uid 299,0
3947ps "ConnStartEndStrategy"
3948stg "STSignalDisplayStrategy"
3949f (Text
3950uid 300,0
3951va (VaSet
3952)
3953xt "36000,55000,37100,56000"
3954st "rd"
3955blo "36000,55800"
3956tm "WireNameMgr"
3957)
3958)
3959on &61
3960)
3961*131 (Wire
3962uid 311,0
3963shape (OrthoPolyLine
3964uid 312,0
3965va (VaSet
3966vasetType 3
3967lineWidth 2
3968)
3969xt "34750,58000,51000,58000"
3970pts [
3971"34750,58000"
3972"51000,58000"
3973]
3974)
3975start &17
3976sat 32
3977eat 16
3978sty 1
3979st 0
3980sf 1
3981si 0
3982tg (WTG
3983uid 315,0
3984ps "ConnStartEndStrategy"
3985stg "STSignalDisplayStrategy"
3986f (Text
3987uid 316,0
3988va (VaSet
3989)
3990xt "36000,57000,42600,58000"
3991st "ram_addr : (13:0)"
3992blo "36000,57800"
3993tm "WireNameMgr"
3994)
3995)
3996on &62
3997)
3998*132 (Wire
3999uid 319,0
4000shape (OrthoPolyLine
4001uid 320,0
4002va (VaSet
4003vasetType 3
4004)
4005xt "34750,59000,51000,59000"
4006pts [
4007"34750,59000"
4008"51000,59000"
4009]
4010)
4011start &19
4012sat 32
4013eat 16
4014st 0
4015sf 1
4016si 0
4017tg (WTG
4018uid 323,0
4019ps "ConnStartEndStrategy"
4020stg "STSignalDisplayStrategy"
4021f (Text
4022uid 324,0
4023va (VaSet
4024)
4025xt "36000,58000,41600,59000"
4026st "data_valid_ack"
4027blo "36000,58800"
4028tm "WireNameMgr"
4029)
4030)
4031on &63
4032)
4033*133 (Wire
4034uid 327,0
4035shape (OrthoPolyLine
4036uid 328,0
4037va (VaSet
4038vasetType 3
4039)
4040xt "34750,60000,51000,60000"
4041pts [
4042"34750,60000"
4043"51000,60000"
4044]
4045)
4046start &20
4047sat 32
4048eat 16
4049st 0
4050sf 1
4051si 0
4052tg (WTG
4053uid 331,0
4054ps "ConnStartEndStrategy"
4055stg "STSignalDisplayStrategy"
4056f (Text
4057uid 332,0
4058va (VaSet
4059)
4060xt "36000,59000,37900,60000"
4061st "busy"
4062blo "36000,59800"
4063tm "WireNameMgr"
4064)
4065)
4066on &64
4067)
4068*134 (Wire
4069uid 335,0
4070shape (OrthoPolyLine
4071uid 336,0
4072va (VaSet
4073vasetType 3
4074)
4075xt "34750,61000,51000,61000"
4076pts [
4077"34750,61000"
4078"51000,61000"
4079]
4080)
4081start &24
4082sat 32
4083eat 16
4084st 0
4085sf 1
4086si 0
4087tg (WTG
4088uid 339,0
4089ps "ConnStartEndStrategy"
4090stg "STSignalDisplayStrategy"
4091f (Text
4092uid 340,0
4093va (VaSet
4094)
4095xt "36000,60000,39600,61000"
4096st "s_trigger"
4097blo "36000,60800"
4098tm "WireNameMgr"
4099)
4100)
4101on &65
4102)
4103*135 (Wire
4104uid 343,0
4105shape (OrthoPolyLine
4106uid 344,0
4107va (VaSet
4108vasetType 3
4109)
4110xt "34750,62000,51000,62000"
4111pts [
4112"34750,62000"
4113"51000,62000"
4114]
4115)
4116start &25
4117sat 32
4118eat 16
4119st 0
4120sf 1
4121si 0
4122tg (WTG
4123uid 347,0
4124ps "ConnStartEndStrategy"
4125stg "STSignalDisplayStrategy"
4126f (Text
4127uid 348,0
4128va (VaSet
4129)
4130xt "36000,61000,42600,62000"
4131st "c_trigger_enable"
4132blo "36000,61800"
4133tm "WireNameMgr"
4134)
4135)
4136on &66
4137)
4138*136 (Wire
4139uid 351,0
4140shape (OrthoPolyLine
4141uid 352,0
4142va (VaSet
4143vasetType 3
4144lineWidth 2
4145)
4146xt "34750,63000,51000,63000"
4147pts [
4148"34750,63000"
4149"51000,63000"
4150]
4151)
4152start &26
4153sat 32
4154eat 16
4155sty 1
4156st 0
4157sf 1
4158si 0
4159tg (WTG
4160uid 355,0
4161ps "ConnStartEndStrategy"
4162stg "STSignalDisplayStrategy"
4163f (Text
4164uid 356,0
4165va (VaSet
4166)
4167xt "36000,62000,44800,63000"
4168st "c_trigger_mult : (15:0)"
4169blo "36000,62800"
4170tm "WireNameMgr"
4171)
4172)
4173on &67
4174)
4175*137 (Wire
4176uid 359,0
4177shape (OrthoPolyLine
4178uid 360,0
4179va (VaSet
4180vasetType 3
4181)
4182xt "34750,64000,51000,64000"
4183pts [
4184"34750,64000"
4185"51000,64000"
4186]
4187)
4188start &27
4189sat 32
4190eat 16
4191st 0
4192sf 1
4193si 0
4194tg (WTG
4195uid 363,0
4196ps "ConnStartEndStrategy"
4197stg "STSignalDisplayStrategy"
4198f (Text
4199uid 364,0
4200va (VaSet
4201)
4202xt "36000,63000,48400,64000"
4203st "memory_manager_config_start_o"
4204blo "36000,63800"
4205tm "WireNameMgr"
4206)
4207)
4208on &68
4209)
4210*138 (Wire
4211uid 367,0
4212shape (OrthoPolyLine
4213uid 368,0
4214va (VaSet
4215vasetType 3
4216)
4217xt "34750,65000,51000,65000"
4218pts [
4219"34750,65000"
4220"51000,65000"
4221]
4222)
4223start &29
4224sat 32
4225eat 16
4226st 0
4227sf 1
4228si 0
4229tg (WTG
4230uid 371,0
4231ps "ConnStartEndStrategy"
4232stg "STSignalDisplayStrategy"
4233f (Text
4234uid 372,0
4235va (VaSet
4236)
4237xt "36000,64000,46700,65000"
4238st "spi_interface_config_start_o"
4239blo "36000,64800"
4240tm "WireNameMgr"
4241)
4242)
4243on &69
4244)
4245*139 (Wire
4246uid 375,0
4247shape (OrthoPolyLine
4248uid 376,0
4249va (VaSet
4250vasetType 3
4251)
4252xt "34750,66000,51000,66000"
4253pts [
4254"34750,66000"
4255"51000,66000"
4256]
4257)
4258start &31
4259sat 32
4260eat 16
4261st 0
4262sf 1
4263si 0
4264tg (WTG
4265uid 379,0
4266ps "ConnStartEndStrategy"
4267stg "STSignalDisplayStrategy"
4268f (Text
4269uid 380,0
4270va (VaSet
4271)
4272xt "36000,65000,40700,66000"
4273st "dac_setting"
4274blo "36000,65800"
4275tm "WireNameMgr"
4276)
4277)
4278on &70
4279)
4280*140 (Wire
4281uid 383,0
4282shape (OrthoPolyLine
4283uid 384,0
4284va (VaSet
4285vasetType 3
4286)
4287xt "34750,67000,51000,67000"
4288pts [
4289"34750,67000"
4290"51000,67000"
4291]
4292)
4293start &32
4294sat 32
4295eat 16
4296st 0
4297sf 1
4298si 0
4299tg (WTG
4300uid 387,0
4301ps "ConnStartEndStrategy"
4302stg "STSignalDisplayStrategy"
4303f (Text
4304uid 388,0
4305va (VaSet
4306)
4307xt "36000,66000,40400,67000"
4308st "roi_setting"
4309blo "36000,66800"
4310tm "WireNameMgr"
4311)
4312)
4313on &71
4314)
4315*141 (Wire
4316uid 391,0
4317shape (OrthoPolyLine
4318uid 392,0
4319va (VaSet
4320vasetType 3
4321lineWidth 2
4322)
4323xt "34750,68000,51000,68000"
4324pts [
4325"34750,68000"
4326"51000,68000"
4327]
4328)
4329start &33
4330sat 32
4331eat 16
4332sty 1
4333st 0
4334sf 1
4335si 0
4336tg (WTG
4337uid 395,0
4338ps "ConnStartEndStrategy"
4339stg "STSignalDisplayStrategy"
4340f (Text
4341uid 396,0
4342va (VaSet
4343)
4344xt "36000,67000,43000,68000"
4345st "runnumber : (31:0)"
4346blo "36000,67800"
4347tm "WireNameMgr"
4348)
4349)
4350on &72
4351)
4352*142 (Wire
4353uid 399,0
4354shape (OrthoPolyLine
4355uid 400,0
4356va (VaSet
4357vasetType 3
4358)
4359xt "34750,69000,51000,69000"
4360pts [
4361"34750,69000"
4362"51000,69000"
4363]
4364)
4365start &34
4366sat 32
4367eat 16
4368st 0
4369sf 1
4370si 0
4371tg (WTG
4372uid 403,0
4373ps "ConnStartEndStrategy"
4374stg "STSignalDisplayStrategy"
4375f (Text
4376uid 404,0
4377va (VaSet
4378)
4379xt "36000,68000,42300,69000"
4380st "reset_trigger_id"
4381blo "36000,68800"
4382tm "WireNameMgr"
4383)
4384)
4385on &73
4386)
4387*143 (Wire
4388uid 407,0
4389shape (OrthoPolyLine
4390uid 408,0
4391va (VaSet
4392vasetType 3
4393)
4394xt "34750,70000,51000,70000"
4395pts [
4396"34750,70000"
4397"51000,70000"
4398]
4399)
4400start &39
4401sat 32
4402eat 16
4403st 0
4404sf 1
4405si 0
4406tg (WTG
4407uid 411,0
4408ps "ConnStartEndStrategy"
4409stg "STSignalDisplayStrategy"
4410f (Text
4411uid 412,0
4412va (VaSet
4413)
4414xt "36000,69000,41800,70000"
4415st "trigger_enable"
4416blo "36000,69800"
4417tm "WireNameMgr"
4418)
4419)
4420on &74
4421)
4422*144 (Wire
4423uid 415,0
4424shape (OrthoPolyLine
4425uid 416,0
4426va (VaSet
4427vasetType 3
4428)
4429xt "34750,71000,51000,71000"
4430pts [
4431"34750,71000"
4432"51000,71000"
4433]
4434)
4435start &40
4436sat 32
4437eat 16
4438st 0
4439sf 1
4440si 0
4441tg (WTG
4442uid 419,0
4443ps "ConnStartEndStrategy"
4444stg "STSignalDisplayStrategy"
4445f (Text
4446uid 420,0
4447va (VaSet
4448)
4449xt "36000,70000,39000,71000"
4450st "denable"
4451blo "36000,70800"
4452tm "WireNameMgr"
4453)
4454)
4455on &75
4456)
4457*145 (Wire
4458uid 423,0
4459shape (OrthoPolyLine
4460uid 424,0
4461va (VaSet
4462vasetType 3
4463)
4464xt "34750,72000,51000,72000"
4465pts [
4466"34750,72000"
4467"51000,72000"
4468]
4469)
4470start &41
4471sat 32
4472eat 16
4473st 0
4474sf 1
4475si 0
4476tg (WTG
4477uid 427,0
4478ps "ConnStartEndStrategy"
4479stg "STSignalDisplayStrategy"
4480f (Text
4481uid 428,0
4482va (VaSet
4483)
4484xt "36000,71000,41400,72000"
4485st "dwrite_enable"
4486blo "36000,71800"
4487tm "WireNameMgr"
4488)
4489)
4490on &76
4491)
4492*146 (Wire
4493uid 431,0
4494shape (OrthoPolyLine
4495uid 432,0
4496va (VaSet
4497vasetType 3
4498)
4499xt "34750,73000,51000,73000"
4500pts [
4501"34750,73000"
4502"51000,73000"
4503]
4504)
4505start &42
4506sat 32
4507eat 16
4508st 0
4509sf 1
4510si 0
4511tg (WTG
4512uid 435,0
4513ps "ConnStartEndStrategy"
4514stg "STSignalDisplayStrategy"
4515f (Text
4516uid 436,0
4517va (VaSet
4518)
4519xt "36000,72000,40700,73000"
4520st "sclk_enable"
4521blo "36000,72800"
4522tm "WireNameMgr"
4523)
4524)
4525on &77
4526)
4527*147 (Wire
4528uid 439,0
4529shape (OrthoPolyLine
4530uid 440,0
4531va (VaSet
4532vasetType 3
4533)
4534xt "34750,74000,51000,74000"
4535pts [
4536"34750,74000"
4537"51000,74000"
4538]
4539)
4540start &43
4541sat 32
4542eat 16
4543st 0
4544sf 1
4545si 0
4546tg (WTG
4547uid 443,0
4548ps "ConnStartEndStrategy"
4549stg "STSignalDisplayStrategy"
4550f (Text
4551uid 444,0
4552va (VaSet
4553)
4554xt "36000,73000,41000,74000"
4555st "srclk_enable"
4556blo "36000,73800"
4557tm "WireNameMgr"
4558)
4559)
4560on &78
4561)
4562*148 (Wire
4563uid 447,0
4564shape (OrthoPolyLine
4565uid 448,0
4566va (VaSet
4567vasetType 3
4568)
4569xt "34750,75000,51000,75000"
4570pts [
4571"34750,75000"
4572"51000,75000"
4573]
4574)
4575start &44
4576sat 32
4577eat 16
4578st 0
4579sf 1
4580si 0
4581tg (WTG
4582uid 451,0
4583ps "ConnStartEndStrategy"
4584stg "STSignalDisplayStrategy"
4585f (Text
4586uid 452,0
4587va (VaSet
4588)
4589xt "36000,74000,40900,75000"
4590st "ps_direction"
4591blo "36000,74800"
4592tm "WireNameMgr"
4593)
4594)
4595on &79
4596)
4597*149 (Wire
4598uid 455,0
4599shape (OrthoPolyLine
4600uid 456,0
4601va (VaSet
4602vasetType 3
4603)
4604xt "34750,76000,51000,76000"
4605pts [
4606"34750,76000"
4607"51000,76000"
4608]
4609)
4610start &45
4611sat 32
4612eat 16
4613st 0
4614sf 1
4615si 0
4616tg (WTG
4617uid 459,0
4618ps "ConnStartEndStrategy"
4619stg "STSignalDisplayStrategy"
4620f (Text
4621uid 460,0
4622va (VaSet
4623)
4624xt "36000,75000,43000,76000"
4625st "ps_do_phase_shift"
4626blo "36000,75800"
4627tm "WireNameMgr"
4628)
4629)
4630on &80
4631)
4632*150 (Wire
4633uid 463,0
4634shape (OrthoPolyLine
4635uid 464,0
4636va (VaSet
4637vasetType 3
4638)
4639xt "34750,77000,51000,77000"
4640pts [
4641"34750,77000"
4642"51000,77000"
4643]
4644)
4645start &46
4646sat 32
4647eat 16
4648st 0
4649sf 1
4650si 0
4651tg (WTG
4652uid 467,0
4653ps "ConnStartEndStrategy"
4654stg "STSignalDisplayStrategy"
4655f (Text
4656uid 468,0
4657va (VaSet
4658)
4659xt "36000,76000,39300,77000"
4660st "ps_reset"
4661blo "36000,76800"
4662tm "WireNameMgr"
4663)
4664)
4665on &81
4666)
4667*151 (Wire
4668uid 471,0
4669shape (OrthoPolyLine
4670uid 472,0
4671va (VaSet
4672vasetType 3
4673)
4674xt "34750,78000,51000,78000"
4675pts [
4676"34750,78000"
4677"51000,78000"
4678]
4679)
4680start &48
4681sat 32
4682eat 16
4683st 0
4684sf 1
4685si 0
4686tg (WTG
4687uid 475,0
4688ps "ConnStartEndStrategy"
4689stg "STSignalDisplayStrategy"
4690f (Text
4691uid 476,0
4692va (VaSet
4693)
4694xt "36000,77000,41500,78000"
4695st "socks_waiting"
4696blo "36000,77800"
4697tm "WireNameMgr"
4698)
4699)
4700on &82
4701)
4702*152 (Wire
4703uid 479,0
4704shape (OrthoPolyLine
4705uid 480,0
4706va (VaSet
4707vasetType 3
4708)
4709xt "34750,79000,51000,79000"
4710pts [
4711"34750,79000"
4712"51000,79000"
4713]
4714)
4715start &49
4716sat 32
4717eat 16
4718st 0
4719sf 1
4720si 0
4721tg (WTG
4722uid 483,0
4723ps "ConnStartEndStrategy"
4724stg "STSignalDisplayStrategy"
4725f (Text
4726uid 484,0
4727va (VaSet
4728)
4729xt "36000,78000,42500,79000"
4730st "socks_connected"
4731blo "36000,78800"
4732tm "WireNameMgr"
4733)
4734)
4735on &83
4736)
4737*153 (Wire
4738uid 487,0
4739shape (OrthoPolyLine
4740uid 488,0
4741va (VaSet
4742vasetType 3
4743)
4744xt "-19000,47000,-750,47000"
4745pts [
4746"-19000,47000"
4747"-750,47000"
4748]
4749)
4750end &5
4751sat 16
4752eat 32
4753st 0
4754sf 1
4755si 0
4756tg (WTG
4757uid 491,0
4758ps "ConnStartEndStrategy"
4759stg "STSignalDisplayStrategy"
4760f (Text
4761uid 492,0
4762va (VaSet
4763)
4764xt "-18000,46000,-9500,47000"
4765st "data_generator_idle_i"
4766blo "-18000,46800"
4767tm "WireNameMgr"
4768)
4769)
4770on &84
4771)
4772*154 (Wire
4773uid 495,0
4774shape (OrthoPolyLine
4775uid 496,0
4776va (VaSet
4777vasetType 3
4778)
4779xt "-19000,48000,-750,48000"
4780pts [
4781"-19000,48000"
4782"-750,48000"
4783]
4784)
4785end &6
4786sat 16
4787eat 32
4788st 0
4789sf 1
4790si 0
4791tg (WTG
4792uid 499,0
4793ps "ConnStartEndStrategy"
4794stg "STSignalDisplayStrategy"
4795f (Text
4796uid 500,0
4797va (VaSet
4798)
4799xt "-18000,47000,-16700,48000"
4800st "clk"
4801blo "-18000,47800"
4802tm "WireNameMgr"
4803)
4804)
4805on &85
4806)
4807*155 (Wire
4808uid 503,0
4809shape (OrthoPolyLine
4810uid 504,0
4811va (VaSet
4812vasetType 3
4813)
4814xt "-19000,49000,-750,49000"
4815pts [
4816"-19000,49000"
4817"-750,49000"
4818]
4819)
4820end &13
4821sat 16
4822eat 32
4823st 0
4824sf 1
4825si 0
4826tg (WTG
4827uid 507,0
4828ps "ConnStartEndStrategy"
4829stg "STSignalDisplayStrategy"
4830f (Text
4831uid 508,0
4832va (VaSet
4833)
4834xt "-18000,48000,-16800,49000"
4835st "int"
4836blo "-18000,48800"
4837tm "WireNameMgr"
4838)
4839)
4840on &86
4841)
4842*156 (Wire
4843uid 511,0
4844shape (OrthoPolyLine
4845uid 512,0
4846va (VaSet
4847vasetType 3
4848lineWidth 2
4849)
4850xt "-19000,50000,-750,50000"
4851pts [
4852"-19000,50000"
4853"-750,50000"
4854]
4855)
4856end &14
4857sat 16
4858eat 32
4859sty 1
4860st 0
4861sf 1
4862si 0
4863tg (WTG
4864uid 515,0
4865ps "ConnStartEndStrategy"
4866stg "STSignalDisplayStrategy"
4867f (Text
4868uid 516,0
4869va (VaSet
4870)
4871xt "-18000,49000,-10100,50000"
4872st "write_length : (16:0)"
4873blo "-18000,49800"
4874tm "WireNameMgr"
4875)
4876)
4877on &87
4878)
4879*157 (Wire
4880uid 519,0
4881shape (OrthoPolyLine
4882uid 520,0
4883va (VaSet
4884vasetType 3
4885lineWidth 2
4886)
4887xt "-19000,51000,-750,51000"
4888pts [
4889"-19000,51000"
4890"-750,51000"
4891]
4892)
4893end &15
4894sat 16
4895eat 32
4896sty 1
4897st 0
4898sf 1
4899si 0
4900tg (WTG
4901uid 523,0
4902ps "ConnStartEndStrategy"
4903stg "STSignalDisplayStrategy"
4904f (Text
4905uid 524,0
4906va (VaSet
4907)
4908xt "-18000,50000,-9100,51000"
4909st "ram_start_addr : (13:0)"
4910blo "-18000,50800"
4911tm "WireNameMgr"
4912)
4913)
4914on &88
4915)
4916*158 (Wire
4917uid 527,0
4918shape (OrthoPolyLine
4919uid 528,0
4920va (VaSet
4921vasetType 3
4922lineWidth 2
4923)
4924xt "-19000,52000,-750,52000"
4925pts [
4926"-19000,52000"
4927"-750,52000"
4928]
4929)
4930end &16
4931sat 16
4932eat 32
4933sty 1
4934st 0
4935sf 1
4936si 0
4937tg (WTG
4938uid 531,0
4939ps "ConnStartEndStrategy"
4940stg "STSignalDisplayStrategy"
4941f (Text
4942uid 532,0
4943va (VaSet
4944)
4945xt "-18000,51000,-11500,52000"
4946st "ram_data : (15:0)"
4947blo "-18000,51800"
4948tm "WireNameMgr"
4949)
4950)
4951on &89
4952)
4953*159 (Wire
4954uid 535,0
4955shape (OrthoPolyLine
4956uid 536,0
4957va (VaSet
4958vasetType 3
4959)
4960xt "-19000,53000,-750,53000"
4961pts [
4962"-19000,53000"
4963"-750,53000"
4964]
4965)
4966end &18
4967sat 16
4968eat 32
4969st 0
4970sf 1
4971si 0
4972tg (WTG
4973uid 539,0
4974ps "ConnStartEndStrategy"
4975stg "STSignalDisplayStrategy"
4976f (Text
4977uid 540,0
4978va (VaSet
4979)
4980xt "-18000,52000,-13900,53000"
4981st "data_valid"
4982blo "-18000,52800"
4983tm "WireNameMgr"
4984)
4985)
4986on &90
4987)
4988*160 (Wire
4989uid 543,0
4990shape (OrthoPolyLine
4991uid 544,0
4992va (VaSet
4993vasetType 3
4994)
4995xt "-19000,54000,-750,54000"
4996pts [
4997"-19000,54000"
4998"-750,54000"
4999]
5000)
5001end &21
5002sat 16
5003eat 32
5004st 0
5005sf 1
5006si 0
5007tg (WTG
5008uid 547,0
5009ps "ConnStartEndStrategy"
5010stg "STSignalDisplayStrategy"
5011f (Text
5012uid 548,0
5013va (VaSet
5014)
5015xt "-18000,53000,-11200,54000"
5016st "write_header_flag"
5017blo "-18000,53800"
5018tm "WireNameMgr"
5019)
5020)
5021on &91
5022)
5023*161 (Wire
5024uid 551,0
5025shape (OrthoPolyLine
5026uid 552,0
5027va (VaSet
5028vasetType 3
5029)
5030xt "-19000,55000,-750,55000"
5031pts [
5032"-19000,55000"
5033"-750,55000"
5034]
5035)
5036end &22
5037sat 16
5038eat 32
5039st 0
5040sf 1
5041si 0
5042tg (WTG
5043uid 555,0
5044ps "ConnStartEndStrategy"
5045stg "STSignalDisplayStrategy"
5046f (Text
5047uid 556,0
5048va (VaSet
5049)
5050xt "-18000,54000,-12300,55000"
5051st "write_end_flag"
5052blo "-18000,54800"
5053tm "WireNameMgr"
5054)
5055)
5056on &92
5057)
5058*162 (Wire
5059uid 559,0
5060shape (OrthoPolyLine
5061uid 560,0
5062va (VaSet
5063vasetType 3
5064lineWidth 2
5065)
5066xt "-19000,56000,-750,56000"
5067pts [
5068"-19000,56000"
5069"-750,56000"
5070]
5071)
5072end &23
5073sat 16
5074eat 32
5075sty 1
5076st 0
5077sf 1
5078si 0
5079tg (WTG
5080uid 563,0
5081ps "ConnStartEndStrategy"
5082stg "STSignalDisplayStrategy"
5083f (Text
5084uid 564,0
5085va (VaSet
5086)
5087xt "-18000,55000,-10200,56000"
5088st "fifo_channels : (3:0)"
5089blo "-18000,55800"
5090tm "WireNameMgr"
5091)
5092)
5093on &93
5094)
5095*163 (Wire
5096uid 567,0
5097shape (OrthoPolyLine
5098uid 568,0
5099va (VaSet
5100vasetType 3
5101)
5102xt "-19000,57000,-750,57000"
5103pts [
5104"-19000,57000"
5105"-750,57000"
5106]
5107)
5108end &28
5109sat 16
5110eat 32
5111st 0
5112sf 1
5113si 0
5114tg (WTG
5115uid 571,0
5116ps "ConnStartEndStrategy"
5117stg "STSignalDisplayStrategy"
5118f (Text
5119uid 572,0
5120va (VaSet
5121)
5122xt "-18000,56000,-5800,57000"
5123st "memory_manager_config_valid_i"
5124blo "-18000,56800"
5125tm "WireNameMgr"
5126)
5127)
5128on &94
5129)
5130*164 (Wire
5131uid 575,0
5132shape (OrthoPolyLine
5133uid 576,0
5134va (VaSet
5135vasetType 3
5136)
5137xt "-19000,58000,-750,58000"
5138pts [
5139"-19000,58000"
5140"-750,58000"
5141]
5142)
5143end &30
5144sat 16
5145eat 32
5146st 0
5147sf 1
5148si 0
5149tg (WTG
5150uid 579,0
5151ps "ConnStartEndStrategy"
5152stg "STSignalDisplayStrategy"
5153f (Text
5154uid 580,0
5155va (VaSet
5156)
5157xt "-18000,57000,-7500,58000"
5158st "spi_interface_config_valid_i"
5159blo "-18000,57800"
5160tm "WireNameMgr"
5161)
5162)
5163on &95
5164)
5165*165 (Wire
5166uid 583,0
5167shape (OrthoPolyLine
5168uid 584,0
5169va (VaSet
5170vasetType 3
5171)
5172xt "-19000,59000,-750,59000"
5173pts [
5174"-19000,59000"
5175"-750,59000"
5176]
5177)
5178end &35
5179sat 16
5180eat 32
5181st 0
5182sf 1
5183si 0
5184tg (WTG
5185uid 587,0
5186ps "ConnStartEndStrategy"
5187stg "STSignalDisplayStrategy"
5188f (Text
5189uid 588,0
5190va (VaSet
5191)
5192xt "-18000,58000,-11800,59000"
5193st "data_ram_empty"
5194blo "-18000,58800"
5195tm "WireNameMgr"
5196)
5197)
5198on &96
5199)
5200*166 (Wire
5201uid 591,0
5202shape (OrthoPolyLine
5203uid 592,0
5204va (VaSet
5205vasetType 3
5206lineWidth 2
5207)
5208xt "-19000,60000,-750,60000"
5209pts [
5210"-19000,60000"
5211"-750,60000"
5212]
5213)
5214end &36
5215sat 16
5216eat 32
5217sty 1
5218st 0
5219sf 1
5220si 0
5221tg (WTG
5222uid 595,0
5223ps "ConnStartEndStrategy"
5224stg "STSignalDisplayStrategy"
5225f (Text
5226uid 596,0
5227va (VaSet
5228)
5229xt "-18000,59000,-10500,60000"
5230st "MAC_jumper : (1:0)"
5231blo "-18000,59800"
5232tm "WireNameMgr"
5233)
5234)
5235on &97
5236)
5237*167 (Wire
5238uid 599,0
5239shape (OrthoPolyLine
5240uid 600,0
5241va (VaSet
5242vasetType 3
5243lineWidth 2
5244)
5245xt "-19000,61000,-750,61000"
5246pts [
5247"-19000,61000"
5248"-750,61000"
5249]
5250)
5251end &37
5252sat 16
5253eat 32
5254sty 1
5255st 0
5256sf 1
5257si 0
5258tg (WTG
5259uid 603,0
5260ps "ConnStartEndStrategy"
5261stg "STSignalDisplayStrategy"
5262f (Text
5263uid 604,0
5264va (VaSet
5265)
5266xt "-18000,60000,-12200,61000"
5267st "BoardID : (3:0)"
5268blo "-18000,60800"
5269tm "WireNameMgr"
5270)
5271)
5272on &98
5273)
5274*168 (Wire
5275uid 607,0
5276shape (OrthoPolyLine
5277uid 608,0
5278va (VaSet
5279vasetType 3
5280lineWidth 2
5281)
5282xt "-19000,62000,-750,62000"
5283pts [
5284"-19000,62000"
5285"-750,62000"
5286]
5287)
5288end &38
5289sat 16
5290eat 32
5291sty 1
5292st 0
5293sf 1
5294si 0
5295tg (WTG
5296uid 611,0
5297ps "ConnStartEndStrategy"
5298stg "STSignalDisplayStrategy"
5299f (Text
5300uid 612,0
5301va (VaSet
5302)
5303xt "-18000,61000,-12300,62000"
5304st "CrateID : (1:0)"
5305blo "-18000,61800"
5306tm "WireNameMgr"
5307)
5308)
5309on &99
5310)
5311*169 (Wire
5312uid 615,0
5313shape (OrthoPolyLine
5314uid 616,0
5315va (VaSet
5316vasetType 3
5317)
5318xt "-19000,63000,-750,63000"
5319pts [
5320"-19000,63000"
5321"-750,63000"
5322]
5323)
5324end &47
5325sat 16
5326eat 32
5327st 0
5328sf 1
5329si 0
5330tg (WTG
5331uid 619,0
5332ps "ConnStartEndStrategy"
5333stg "STSignalDisplayStrategy"
5334f (Text
5335uid 620,0
5336va (VaSet
5337)
5338xt "-18000,62000,-14600,63000"
5339st "ps_ready"
5340blo "-18000,62800"
5341tm "WireNameMgr"
5342)
5343)
5344on &100
5345)
5346*170 (Wire
5347uid 639,0
5348shape (OrthoPolyLine
5349uid 640,0
5350va (VaSet
5351vasetType 3
5352)
5353xt "108000,58000,125000,58000"
5354pts [
5355"108000,58000"
5356"125000,58000"
5357]
5358)
5359start &101
5360sat 1
5361eat 16
5362st 0
5363sf 1
5364si 0
5365tg (WTG
5366uid 645,0
5367ps "ConnStartEndStrategy"
5368stg "STSignalDisplayStrategy"
5369f (Text
5370uid 646,0
5371va (VaSet
5372)
5373xt "109000,57000,114600,58000"
5374st "data_valid_ack"
5375blo "109000,57800"
5376tm "WireNameMgr"
5377)
5378)
5379on &63
5380)
5381*171 (Wire
5382uid 647,0
5383shape (OrthoPolyLine
5384uid 648,0
5385va (VaSet
5386vasetType 3
5387)
5388xt "108000,50000,125000,50000"
5389pts [
5390"108000,50000"
5391"125000,50000"
5392]
5393)
5394start &101
5395sat 1
5396eat 16
5397st 0
5398sf 1
5399si 0
5400tg (WTG
5401uid 653,0
5402ps "ConnStartEndStrategy"
5403stg "STSignalDisplayStrategy"
5404f (Text
5405uid 654,0
5406va (VaSet
5407)
5408xt "109000,49000,112600,50000"
5409st "wiz_reset"
5410blo "109000,49800"
5411tm "WireNameMgr"
5412)
5413)
5414on &56
5415)
5416*172 (Wire
5417uid 655,0
5418shape (OrthoPolyLine
5419uid 656,0
5420va (VaSet
5421vasetType 3
5422lineWidth 2
5423)
5424xt "68000,29000,93250,33000"
5425pts [
5426"68000,33000"
5427"93250,29000"
5428]
5429)
5430end &114
5431es 0
5432sat 16
5433eat 32
5434sty 1
5435st 0
5436sf 1
5437si 0
5438tg (WTG
5439uid 661,0
5440ps "ConnStartEndStrategy"
5441stg "STSignalDisplayStrategy"
5442f (Text
5443uid 662,0
5444va (VaSet
5445)
5446xt "69000,32000,73800,33000"
5447st "data : (15:0)"
5448blo "69000,32800"
5449tm "WireNameMgr"
5450)
5451)
5452on &58
5453)
5454*173 (Wire
5455uid 663,0
5456shape (OrthoPolyLine
5457uid 664,0
5458va (VaSet
5459vasetType 3
5460)
5461xt "108000,59000,125000,59000"
5462pts [
5463"108000,59000"
5464"125000,59000"
5465]
5466)
5467start &101
5468sat 1
5469eat 16
5470st 0
5471sf 1
5472si 0
5473tg (WTG
5474uid 669,0
5475ps "ConnStartEndStrategy"
5476stg "STSignalDisplayStrategy"
5477f (Text
5478uid 670,0
5479va (VaSet
5480)
5481xt "109000,58000,110900,59000"
5482st "busy"
5483blo "109000,58800"
5484tm "WireNameMgr"
5485)
5486)
5487on &64
5488)
5489*174 (Wire
5490uid 671,0
5491shape (OrthoPolyLine
5492uid 672,0
5493va (VaSet
5494vasetType 3
5495)
5496xt "108000,63000,125000,63000"
5497pts [
5498"108000,63000"
5499"125000,63000"
5500]
5501)
5502start &101
5503sat 1
5504eat 16
5505st 0
5506sf 1
5507si 0
5508tg (WTG
5509uid 677,0
5510ps "ConnStartEndStrategy"
5511stg "STSignalDisplayStrategy"
5512f (Text
5513uid 678,0
5514va (VaSet
5515)
5516xt "109000,62000,121400,63000"
5517st "memory_manager_config_start_o"
5518blo "109000,62800"
5519tm "WireNameMgr"
5520)
5521)
5522on &68
5523)
5524*175 (Wire
5525uid 679,0
5526shape (OrthoPolyLine
5527uid 680,0
5528va (VaSet
5529vasetType 3
5530)
5531xt "108000,47000,125000,47000"
5532pts [
5533"108000,47000"
5534"125000,47000"
5535]
5536)
5537start &101
5538sat 1
5539eat 16
5540st 0
5541sf 1
5542si 0
5543tg (WTG
5544uid 685,0
5545ps "ConnStartEndStrategy"
5546stg "STSignalDisplayStrategy"
5547f (Text
5548uid 686,0
5549va (VaSet
5550)
5551xt "109000,46000,118100,47000"
5552st "debug_data_ram_empty"
5553blo "109000,46800"
5554tm "WireNameMgr"
5555)
5556)
5557on &54
5558)
5559*176 (Wire
5560uid 687,0
5561shape (OrthoPolyLine
5562uid 688,0
5563va (VaSet
5564vasetType 3
5565lineWidth 2
5566)
5567xt "108000,46000,125000,46000"
5568pts [
5569"108000,46000"
5570"125000,46000"
5571]
5572)
5573start &101
5574sat 1
5575eat 16
5576sty 1
5577st 0
5578sf 1
5579si 0
5580tg (WTG
5581uid 693,0
5582ps "ConnStartEndStrategy"
5583stg "STSignalDisplayStrategy"
5584f (Text
5585uid 694,0
5586va (VaSet
5587)
5588xt "109000,45000,113600,46000"
5589st "state : (7:0)"
5590blo "109000,45800"
5591tm "WireNameMgr"
5592)
5593)
5594on &53
5595)
5596*177 (Wire
5597uid 695,0
5598shape (OrthoPolyLine
5599uid 696,0
5600va (VaSet
5601vasetType 3
5602)
5603xt "108000,61000,125000,61000"
5604pts [
5605"108000,61000"
5606"125000,61000"
5607]
5608)
5609start &101
5610sat 1
5611eat 16
5612st 0
5613sf 1
5614si 0
5615tg (WTG
5616uid 701,0
5617ps "ConnStartEndStrategy"
5618stg "STSignalDisplayStrategy"
5619f (Text
5620uid 702,0
5621va (VaSet
5622)
5623xt "109000,60000,115600,61000"
5624st "c_trigger_enable"
5625blo "109000,60800"
5626tm "WireNameMgr"
5627)
5628)
5629on &66
5630)
5631*178 (Wire
5632uid 711,0
5633shape (OrthoPolyLine
5634uid 712,0
5635va (VaSet
5636vasetType 3
5637)
5638xt "108000,48000,125000,48000"
5639pts [
5640"108000,48000"
5641"125000,48000"
5642]
5643)
5644start &101
5645sat 1
5646eat 16
5647st 0
5648sf 1
5649si 0
5650tg (WTG
5651uid 717,0
5652ps "ConnStartEndStrategy"
5653stg "STSignalDisplayStrategy"
5654f (Text
5655uid 718,0
5656va (VaSet
5657)
5658xt "109000,47000,115600,48000"
5659st "debug_data_valid"
5660blo "109000,47800"
5661tm "WireNameMgr"
5662)
5663)
5664on &55
5665)
5666*179 (Wire
5667uid 719,0
5668shape (OrthoPolyLine
5669uid 720,0
5670va (VaSet
5671vasetType 3
5672lineWidth 2
5673)
5674xt "108000,57000,125000,57000"
5675pts [
5676"108000,57000"
5677"125000,57000"
5678]
5679)
5680start &101
5681sat 1
5682eat 16
5683sty 1
5684st 0
5685sf 1
5686si 0
5687tg (WTG
5688uid 725,0
5689ps "ConnStartEndStrategy"
5690stg "STSignalDisplayStrategy"
5691f (Text
5692uid 726,0
5693va (VaSet
5694)
5695xt "110000,56000,116600,57000"
5696st "ram_addr : (13:0)"
5697blo "110000,56800"
5698tm "WireNameMgr"
5699)
5700)
5701on &62
5702)
5703*180 (Wire
5704uid 727,0
5705shape (OrthoPolyLine
5706uid 728,0
5707va (VaSet
5708vasetType 3
5709)
5710xt "108000,60000,125000,60000"
5711pts [
5712"108000,60000"
5713"125000,60000"
5714]
5715)
5716start &101
5717sat 1
5718eat 16
5719st 0
5720sf 1
5721si 0
5722tg (WTG
5723uid 733,0
5724ps "ConnStartEndStrategy"
5725stg "STSignalDisplayStrategy"
5726f (Text
5727uid 734,0
5728va (VaSet
5729)
5730xt "109000,59000,112600,60000"
5731st "s_trigger"
5732blo "109000,59800"
5733tm "WireNameMgr"
5734)
5735)
5736on &65
5737)
5738*181 (Wire
5739uid 735,0
5740shape (OrthoPolyLine
5741uid 736,0
5742va (VaSet
5743vasetType 3
5744)
5745xt "71000,33000,93250,34000"
5746pts [
5747"71000,34000"
5748"93250,33000"
5749]
5750)
5751end &116
5752sat 16
5753eat 32
5754st 0
5755sf 1
5756si 0
5757tg (WTG
5758uid 741,0
5759ps "ConnStartEndStrategy"
5760stg "STSignalDisplayStrategy"
5761f (Text
5762uid 742,0
5763va (VaSet
5764)
5765xt "72000,33000,73200,34000"
5766st "wr"
5767blo "72000,33800"
5768tm "WireNameMgr"
5769)
5770)
5771on &60
5772)
5773*182 (Wire
5774uid 743,0
5775shape (OrthoPolyLine
5776uid 744,0
5777va (VaSet
5778vasetType 3
5779)
5780xt "63000,32000,93250,35000"
5781pts [
5782"63000,35000"
5783"93250,32000"
5784]
5785)
5786end &115
5787es 0
5788sat 16
5789eat 32
5790st 0
5791sf 1
5792si 0
5793tg (WTG
5794uid 749,0
5795ps "ConnStartEndStrategy"
5796stg "STSignalDisplayStrategy"
5797f (Text
5798uid 750,0
5799va (VaSet
5800)
5801xt "64000,34000,65100,35000"
5802st "rd"
5803blo "64000,34800"
5804tm "WireNameMgr"
5805)
5806)
5807on &61
5808)
5809*183 (Wire
5810uid 751,0
5811shape (OrthoPolyLine
5812uid 752,0
5813va (VaSet
5814vasetType 3
5815lineWidth 2
5816)
5817xt "70000,28000,93250,30000"
5818pts [
5819"70000,30000"
5820"93250,28000"
5821]
5822)
5823end &113
5824es 0
5825sat 16
5826eat 32
5827sty 1
5828st 0
5829sf 1
5830si 0
5831tg (WTG
5832uid 757,0
5833ps "ConnStartEndStrategy"
5834stg "STSignalDisplayStrategy"
5835f (Text
5836uid 758,0
5837va (VaSet
5838)
5839xt "71000,29000,75500,30000"
5840st "addr : (9:0)"
5841blo "71000,29800"
5842tm "WireNameMgr"
5843)
5844)
5845on &57
5846)
5847*184 (Wire
5848uid 759,0
5849shape (OrthoPolyLine
5850uid 760,0
5851va (VaSet
5852vasetType 3
5853lineWidth 2
5854)
5855xt "108000,67000,125000,67000"
5856pts [
5857"108000,67000"
5858"125000,67000"
5859]
5860)
5861start &101
5862sat 1
5863eat 16
5864sty 1
5865st 0
5866sf 1
5867si 0
5868tg (WTG
5869uid 765,0
5870ps "ConnStartEndStrategy"
5871stg "STSignalDisplayStrategy"
5872f (Text
5873uid 766,0
5874va (VaSet
5875)
5876xt "109000,66000,116000,67000"
5877st "runnumber : (31:0)"
5878blo "109000,66800"
5879tm "WireNameMgr"
5880)
5881)
5882on &72
5883)
5884*185 (Wire
5885uid 767,0
5886shape (OrthoPolyLine
5887uid 768,0
5888va (VaSet
5889vasetType 3
5890lineWidth 2
5891)
5892xt "55000,49000,74000,49000"
5893pts [
5894"55000,49000"
5895"74000,49000"
5896]
5897)
5898end &101
5899sat 16
5900eat 2
5901sty 1
5902st 0
5903sf 1
5904si 0
5905tg (WTG
5906uid 773,0
5907ps "ConnStartEndStrategy"
5908stg "STSignalDisplayStrategy"
5909f (Text
5910uid 774,0
5911va (VaSet
5912)
5913xt "56000,48000,63900,49000"
5914st "write_length : (16:0)"
5915blo "56000,48800"
5916tm "WireNameMgr"
5917)
5918)
5919on &87
5920)
5921*186 (Wire
5922uid 775,0
5923shape (OrthoPolyLine
5924uid 776,0
5925va (VaSet
5926vasetType 3
5927)
5928xt "108000,70000,125000,70000"
5929pts [
5930"108000,70000"
5931"125000,70000"
5932]
5933)
5934start &101
5935sat 1
5936eat 16
5937st 0
5938sf 1
5939si 0
5940tg (WTG
5941uid 781,0
5942ps "ConnStartEndStrategy"
5943stg "STSignalDisplayStrategy"
5944f (Text
5945uid 782,0
5946va (VaSet
5947)
5948xt "109000,69000,112000,70000"
5949st "denable"
5950blo "109000,69800"
5951tm "WireNameMgr"
5952)
5953)
5954on &75
5955)
5956*187 (Wire
5957uid 783,0
5958shape (OrthoPolyLine
5959uid 784,0
5960va (VaSet
5961vasetType 3
5962lineWidth 2
5963)
5964xt "55000,50000,74000,50000"
5965pts [
5966"55000,50000"
5967"74000,50000"
5968]
5969)
5970end &101
5971sat 16
5972eat 2
5973sty 1
5974st 0
5975sf 1
5976si 0
5977tg (WTG
5978uid 789,0
5979ps "ConnStartEndStrategy"
5980stg "STSignalDisplayStrategy"
5981f (Text
5982uid 790,0
5983va (VaSet
5984)
5985xt "57000,49000,65900,50000"
5986st "ram_start_addr : (13:0)"
5987blo "57000,49800"
5988tm "WireNameMgr"
5989)
5990)
5991on &88
5992)
5993*188 (Wire
5994uid 791,0
5995shape (OrthoPolyLine
5996uid 792,0
5997va (VaSet
5998vasetType 3
5999)
6000xt "108000,71000,125000,71000"
6001pts [
6002"108000,71000"
6003"125000,71000"
6004]
6005)
6006start &101
6007sat 1
6008eat 16
6009st 0
6010sf 1
6011si 0
6012tg (WTG
6013uid 797,0
6014ps "ConnStartEndStrategy"
6015stg "STSignalDisplayStrategy"
6016f (Text
6017uid 798,0
6018va (VaSet
6019)
6020xt "109000,70000,114400,71000"
6021st "dwrite_enable"
6022blo "109000,70800"
6023tm "WireNameMgr"
6024)
6025)
6026on &76
6027)
6028*189 (Wire
6029uid 799,0
6030shape (OrthoPolyLine
6031uid 800,0
6032va (VaSet
6033vasetType 3
6034lineWidth 2
6035)
6036xt "55000,51000,74000,51000"
6037pts [
6038"55000,51000"
6039"74000,51000"
6040]
6041)
6042end &101
6043sat 16
6044eat 2
6045sty 1
6046st 0
6047sf 1
6048si 0
6049tg (WTG
6050uid 805,0
6051ps "ConnStartEndStrategy"
6052stg "STSignalDisplayStrategy"
6053f (Text
6054uid 806,0
6055va (VaSet
6056)
6057xt "56000,50000,62500,51000"
6058st "ram_data : (15:0)"
6059blo "56000,50800"
6060tm "WireNameMgr"
6061)
6062)
6063on &89
6064)
6065*190 (Wire
6066uid 807,0
6067shape (OrthoPolyLine
6068uid 808,0
6069va (VaSet
6070vasetType 3
6071)
6072xt "108000,73000,125000,73000"
6073pts [
6074"108000,73000"
6075"125000,73000"
6076]
6077)
6078start &101
6079sat 1
6080eat 16
6081st 0
6082sf 1
6083si 0
6084tg (WTG
6085uid 813,0
6086ps "ConnStartEndStrategy"
6087stg "STSignalDisplayStrategy"
6088f (Text
6089uid 814,0
6090va (VaSet
6091)
6092xt "109000,72000,114000,73000"
6093st "srclk_enable"
6094blo "109000,72800"
6095tm "WireNameMgr"
6096)
6097)
6098on &78
6099)
6100*191 (Wire
6101uid 815,0
6102shape (OrthoPolyLine
6103uid 816,0
6104va (VaSet
6105vasetType 3
6106)
6107xt "108000,68000,125000,68000"
6108pts [
6109"108000,68000"
6110"125000,68000"
6111]
6112)
6113start &101
6114sat 1
6115eat 16
6116st 0
6117sf 1
6118si 0
6119tg (WTG
6120uid 821,0
6121ps "ConnStartEndStrategy"
6122stg "STSignalDisplayStrategy"
6123f (Text
6124uid 822,0
6125va (VaSet
6126)
6127xt "109000,67000,115300,68000"
6128st "reset_trigger_id"
6129blo "109000,67800"
6130tm "WireNameMgr"
6131)
6132)
6133on &73
6134)
6135*192 (Wire
6136uid 823,0
6137shape (OrthoPolyLine
6138uid 824,0
6139va (VaSet
6140vasetType 3
6141)
6142xt "108000,74000,125000,74000"
6143pts [
6144"108000,74000"
6145"125000,74000"
6146]
6147)
6148start &101
6149sat 1
6150eat 16
6151st 0
6152sf 1
6153si 0
6154tg (WTG
6155uid 829,0
6156ps "ConnStartEndStrategy"
6157stg "STSignalDisplayStrategy"
6158f (Text
6159uid 830,0
6160va (VaSet
6161)
6162xt "109000,73000,113900,74000"
6163st "ps_direction"
6164blo "109000,73800"
6165tm "WireNameMgr"
6166)
6167)
6168on &79
6169)
6170*193 (Wire
6171uid 831,0
6172shape (OrthoPolyLine
6173uid 832,0
6174va (VaSet
6175vasetType 3
6176)
6177xt "108000,65000,125000,65000"
6178pts [
6179"108000,65000"
6180"125000,65000"
6181]
6182)
6183start &101
6184sat 1
6185eat 16
6186st 0
6187sf 1
6188si 0
6189tg (WTG
6190uid 837,0
6191ps "ConnStartEndStrategy"
6192stg "STSignalDisplayStrategy"
6193f (Text
6194uid 838,0
6195va (VaSet
6196)
6197xt "109000,64000,113700,65000"
6198st "dac_setting"
6199blo "109000,64800"
6200tm "WireNameMgr"
6201)
6202)
6203on &70
6204)
6205*194 (Wire
6206uid 839,0
6207shape (OrthoPolyLine
6208uid 840,0
6209va (VaSet
6210vasetType 3
6211)
6212xt "108000,69000,125000,69000"
6213pts [
6214"108000,69000"
6215"125000,69000"
6216]
6217)
6218start &101
6219sat 1
6220eat 16
6221st 0
6222sf 1
6223si 0
6224tg (WTG
6225uid 845,0
6226ps "ConnStartEndStrategy"
6227stg "STSignalDisplayStrategy"
6228f (Text
6229uid 846,0
6230va (VaSet
6231)
6232xt "109000,68000,114800,69000"
6233st "trigger_enable"
6234blo "109000,68800"
6235tm "WireNameMgr"
6236)
6237)
6238on &74
6239)
6240*195 (Wire
6241uid 847,0
6242shape (OrthoPolyLine
6243uid 848,0
6244va (VaSet
6245vasetType 3
6246)
6247xt "108000,72000,125000,72000"
6248pts [
6249"108000,72000"
6250"125000,72000"
6251]
6252)
6253start &101
6254sat 1
6255eat 16
6256st 0
6257sf 1
6258si 0
6259tg (WTG
6260uid 853,0
6261ps "ConnStartEndStrategy"
6262stg "STSignalDisplayStrategy"
6263f (Text
6264uid 854,0
6265va (VaSet
6266)
6267xt "109000,71000,113700,72000"
6268st "sclk_enable"
6269blo "109000,71800"
6270tm "WireNameMgr"
6271)
6272)
6273on &77
6274)
6275*196 (Wire
6276uid 855,0
6277shape (OrthoPolyLine
6278uid 856,0
6279va (VaSet
6280vasetType 3
6281)
6282xt "108000,75000,125000,75000"
6283pts [
6284"108000,75000"
6285"125000,75000"
6286]
6287)
6288start &101
6289sat 1
6290eat 16
6291st 0
6292sf 1
6293si 0
6294tg (WTG
6295uid 861,0
6296ps "ConnStartEndStrategy"
6297stg "STSignalDisplayStrategy"
6298f (Text
6299uid 862,0
6300va (VaSet
6301)
6302xt "109000,74000,116000,75000"
6303st "ps_do_phase_shift"
6304blo "109000,74800"
6305tm "WireNameMgr"
6306)
6307)
6308on &80
6309)
6310*197 (Wire
6311uid 863,0
6312shape (OrthoPolyLine
6313uid 864,0
6314va (VaSet
6315vasetType 3
6316)
6317xt "108000,64000,125000,64000"
6318pts [
6319"108000,64000"
6320"125000,64000"
6321]
6322)
6323start &101
6324sat 1
6325eat 16
6326st 0
6327sf 1
6328si 0
6329tg (WTG
6330uid 869,0
6331ps "ConnStartEndStrategy"
6332stg "STSignalDisplayStrategy"
6333f (Text
6334uid 870,0
6335va (VaSet
6336)
6337xt "109000,63000,119700,64000"
6338st "spi_interface_config_start_o"
6339blo "109000,63800"
6340tm "WireNameMgr"
6341)
6342)
6343on &69
6344)
6345*198 (Wire
6346uid 871,0
6347shape (OrthoPolyLine
6348uid 872,0
6349va (VaSet
6350vasetType 3
6351)
6352xt "68000,35000,93250,38000"
6353pts [
6354"68000,38000"
6355"93250,35000"
6356]
6357)
6358end &118
6359es 0
6360sat 16
6361eat 32
6362st 0
6363sf 1
6364si 0
6365tg (WTG
6366uid 877,0
6367ps "ConnStartEndStrategy"
6368stg "STSignalDisplayStrategy"
6369f (Text
6370uid 878,0
6371va (VaSet
6372)
6373xt "69000,37000,70200,38000"
6374st "cs"
6375blo "69000,37800"
6376tm "WireNameMgr"
6377)
6378)
6379on &59
6380)
6381*199 (Wire
6382uid 879,0
6383shape (OrthoPolyLine
6384uid 880,0
6385va (VaSet
6386vasetType 3
6387)
6388xt "108000,66000,125000,66000"
6389pts [
6390"108000,66000"
6391"125000,66000"
6392]
6393)
6394start &101
6395sat 1
6396eat 16
6397st 0
6398sf 1
6399si 0
6400tg (WTG
6401uid 885,0
6402ps "ConnStartEndStrategy"
6403stg "STSignalDisplayStrategy"
6404f (Text
6405uid 886,0
6406va (VaSet
6407)
6408xt "109000,65000,113400,66000"
6409st "roi_setting"
6410blo "109000,65800"
6411tm "WireNameMgr"
6412)
6413)
6414on &71
6415)
6416*200 (Wire
6417uid 887,0
6418shape (OrthoPolyLine
6419uid 888,0
6420va (VaSet
6421vasetType 3
6422lineWidth 2
6423)
6424xt "108000,62000,125000,62000"
6425pts [
6426"108000,62000"
6427"125000,62000"
6428]
6429)
6430start &101
6431sat 1
6432eat 16
6433sty 1
6434st 0
6435sf 1
6436si 0
6437tg (WTG
6438uid 893,0
6439ps "ConnStartEndStrategy"
6440stg "STSignalDisplayStrategy"
6441f (Text
6442uid 894,0
6443va (VaSet
6444)
6445xt "109000,61000,117800,62000"
6446st "c_trigger_mult : (15:0)"
6447blo "109000,61800"
6448tm "WireNameMgr"
6449)
6450)
6451on &67
6452)
6453*201 (Wire
6454uid 895,0
6455shape (OrthoPolyLine
6456uid 896,0
6457va (VaSet
6458vasetType 3
6459)
6460xt "55000,46000,74000,46000"
6461pts [
6462"55000,46000"
6463"74000,46000"
6464]
6465)
6466end &101
6467sat 16
6468eat 2
6469st 0
6470sf 1
6471si 0
6472tg (WTG
6473uid 901,0
6474ps "ConnStartEndStrategy"
6475stg "STSignalDisplayStrategy"
6476f (Text
6477uid 902,0
6478va (VaSet
6479)
6480xt "56000,45000,64500,46000"
6481st "data_generator_idle_i"
6482blo "56000,45800"
6483tm "WireNameMgr"
6484)
6485)
6486on &84
6487)
6488*202 (Wire
6489uid 903,0
6490shape (OrthoPolyLine
6491uid 904,0
6492va (VaSet
6493vasetType 3
6494)
6495xt "55000,52000,74000,52000"
6496pts [
6497"55000,52000"
6498"74000,52000"
6499]
6500)
6501end &101
6502sat 16
6503eat 2
6504st 0
6505sf 1
6506si 0
6507tg (WTG
6508uid 909,0
6509ps "ConnStartEndStrategy"
6510stg "STSignalDisplayStrategy"
6511f (Text
6512uid 910,0
6513va (VaSet
6514)
6515xt "56000,51000,60100,52000"
6516st "data_valid"
6517blo "56000,51800"
6518tm "WireNameMgr"
6519)
6520)
6521on &90
6522)
6523*203 (Wire
6524uid 911,0
6525shape (OrthoPolyLine
6526uid 912,0
6527va (VaSet
6528vasetType 3
6529lineWidth 2
6530)
6531xt "55000,55000,74000,55000"
6532pts [
6533"55000,55000"
6534"74000,55000"
6535]
6536)
6537end &101
6538sat 16
6539eat 2
6540sty 1
6541st 0
6542sf 1
6543si 0
6544tg (WTG
6545uid 917,0
6546ps "ConnStartEndStrategy"
6547stg "STSignalDisplayStrategy"
6548f (Text
6549uid 918,0
6550va (VaSet
6551)
6552xt "56000,54000,63800,55000"
6553st "fifo_channels : (3:0)"
6554blo "56000,54800"
6555tm "WireNameMgr"
6556)
6557)
6558on &93
6559)
6560*204 (Wire
6561uid 919,0
6562shape (OrthoPolyLine
6563uid 920,0
6564va (VaSet
6565vasetType 3
6566)
6567xt "55000,54000,74000,54000"
6568pts [
6569"55000,54000"
6570"74000,54000"
6571]
6572)
6573end &101
6574sat 16
6575eat 2
6576st 0
6577sf 1
6578si 0
6579tg (WTG
6580uid 925,0
6581ps "ConnStartEndStrategy"
6582stg "STSignalDisplayStrategy"
6583f (Text
6584uid 926,0
6585va (VaSet
6586)
6587xt "56000,53000,61700,54000"
6588st "write_end_flag"
6589blo "56000,53800"
6590tm "WireNameMgr"
6591)
6592)
6593on &92
6594)
6595*205 (Wire
6596uid 927,0
6597shape (OrthoPolyLine
6598uid 928,0
6599va (VaSet
6600vasetType 3
6601)
6602xt "55000,56000,74000,56000"
6603pts [
6604"55000,56000"
6605"74000,56000"
6606]
6607)
6608end &101
6609sat 16
6610eat 2
6611st 0
6612sf 1
6613si 0
6614tg (WTG
6615uid 933,0
6616ps "ConnStartEndStrategy"
6617stg "STSignalDisplayStrategy"
6618f (Text
6619uid 934,0
6620va (VaSet
6621)
6622xt "56000,55000,68200,56000"
6623st "memory_manager_config_valid_i"
6624blo "56000,55800"
6625tm "WireNameMgr"
6626)
6627)
6628on &94
6629)
6630*206 (Wire
6631uid 935,0
6632shape (OrthoPolyLine
6633uid 936,0
6634va (VaSet
6635vasetType 3
6636)
6637xt "55000,58000,74000,58000"
6638pts [
6639"55000,58000"
6640"74000,58000"
6641]
6642)
6643end &101
6644sat 16
6645eat 2
6646st 0
6647sf 1
6648si 0
6649tg (WTG
6650uid 941,0
6651ps "ConnStartEndStrategy"
6652stg "STSignalDisplayStrategy"
6653f (Text
6654uid 942,0
6655va (VaSet
6656)
6657xt "56000,57000,62200,58000"
6658st "data_ram_empty"
6659blo "56000,57800"
6660tm "WireNameMgr"
6661)
6662)
6663on &96
6664)
6665*207 (Wire
6666uid 943,0
6667shape (OrthoPolyLine
6668uid 944,0
6669va (VaSet
6670vasetType 3
6671)
6672xt "55000,57000,74000,57000"
6673pts [
6674"55000,57000"
6675"74000,57000"
6676]
6677)
6678end &101
6679sat 16
6680eat 2
6681st 0
6682sf 1
6683si 0
6684tg (WTG
6685uid 949,0
6686ps "ConnStartEndStrategy"
6687stg "STSignalDisplayStrategy"
6688f (Text
6689uid 950,0
6690va (VaSet
6691)
6692xt "56000,56000,66500,57000"
6693st "spi_interface_config_valid_i"
6694blo "56000,56800"
6695tm "WireNameMgr"
6696)
6697)
6698on &95
6699)
6700*208 (Wire
6701uid 951,0
6702shape (OrthoPolyLine
6703uid 952,0
6704va (VaSet
6705vasetType 3
6706lineWidth 2
6707)
6708xt "55000,59000,74000,59000"
6709pts [
6710"55000,59000"
6711"74000,59000"
6712]
6713)
6714end &101
6715sat 16
6716eat 2
6717sty 1
6718st 0
6719sf 1
6720si 0
6721tg (WTG
6722uid 957,0
6723ps "ConnStartEndStrategy"
6724stg "STSignalDisplayStrategy"
6725f (Text
6726uid 958,0
6727va (VaSet
6728)
6729xt "56000,58000,63500,59000"
6730st "MAC_jumper : (1:0)"
6731blo "56000,58800"
6732tm "WireNameMgr"
6733)
6734)
6735on &97
6736)
6737*209 (Wire
6738uid 959,0
6739shape (OrthoPolyLine
6740uid 960,0
6741va (VaSet
6742vasetType 3
6743)
6744xt "108000,76000,125000,76000"
6745pts [
6746"108000,76000"
6747"125000,76000"
6748]
6749)
6750start &101
6751sat 1
6752eat 16
6753st 0
6754sf 1
6755si 0
6756tg (WTG
6757uid 965,0
6758ps "ConnStartEndStrategy"
6759stg "STSignalDisplayStrategy"
6760f (Text
6761uid 966,0
6762va (VaSet
6763)
6764xt "109000,75000,112300,76000"
6765st "ps_reset"
6766blo "109000,75800"
6767tm "WireNameMgr"
6768)
6769)
6770on &81
6771)
6772*210 (Wire
6773uid 967,0
6774shape (OrthoPolyLine
6775uid 968,0
6776va (VaSet
6777vasetType 3
6778)
6779xt "108000,77000,125000,77000"
6780pts [
6781"108000,77000"
6782"125000,77000"
6783]
6784)
6785start &101
6786sat 1
6787eat 16
6788st 0
6789sf 1
6790si 0
6791tg (WTG
6792uid 973,0
6793ps "ConnStartEndStrategy"
6794stg "STSignalDisplayStrategy"
6795f (Text
6796uid 974,0
6797va (VaSet
6798)
6799xt "109000,76000,114500,77000"
6800st "socks_waiting"
6801blo "109000,76800"
6802tm "WireNameMgr"
6803)
6804)
6805on &82
6806)
6807*211 (Wire
6808uid 975,0
6809shape (OrthoPolyLine
6810uid 976,0
6811va (VaSet
6812vasetType 3
6813)
6814xt "55000,53000,74000,53000"
6815pts [
6816"55000,53000"
6817"74000,53000"
6818]
6819)
6820end &101
6821sat 16
6822eat 2
6823st 0
6824sf 1
6825si 0
6826tg (WTG
6827uid 981,0
6828ps "ConnStartEndStrategy"
6829stg "STSignalDisplayStrategy"
6830f (Text
6831uid 982,0
6832va (VaSet
6833)
6834xt "56000,52000,62800,53000"
6835st "write_header_flag"
6836blo "56000,52800"
6837tm "WireNameMgr"
6838)
6839)
6840on &91
6841)
6842*212 (Wire
6843uid 983,0
6844shape (OrthoPolyLine
6845uid 984,0
6846va (VaSet
6847vasetType 3
6848)
6849xt "108000,78000,125000,78000"
6850pts [
6851"108000,78000"
6852"125000,78000"
6853]
6854)
6855start &101
6856sat 1
6857eat 16
6858st 0
6859sf 1
6860si 0
6861tg (WTG
6862uid 989,0
6863ps "ConnStartEndStrategy"
6864stg "STSignalDisplayStrategy"
6865f (Text
6866uid 990,0
6867va (VaSet
6868)
6869xt "109000,77000,115500,78000"
6870st "socks_connected"
6871blo "109000,77800"
6872tm "WireNameMgr"
6873)
6874)
6875on &83
6876)
6877*213 (Wire
6878uid 991,0
6879shape (OrthoPolyLine
6880uid 992,0
6881va (VaSet
6882vasetType 3
6883lineWidth 2
6884)
6885xt "55000,60000,74000,60000"
6886pts [
6887"55000,60000"
6888"74000,60000"
6889]
6890)
6891end &101
6892sat 16
6893eat 2
6894sty 1
6895st 0
6896sf 1
6897si 0
6898tg (WTG
6899uid 997,0
6900ps "ConnStartEndStrategy"
6901stg "STSignalDisplayStrategy"
6902f (Text
6903uid 998,0
6904va (VaSet
6905)
6906xt "56000,59000,61800,60000"
6907st "BoardID : (3:0)"
6908blo "56000,59800"
6909tm "WireNameMgr"
6910)
6911)
6912on &98
6913)
6914*214 (Wire
6915uid 999,0
6916shape (OrthoPolyLine
6917uid 1000,0
6918va (VaSet
6919vasetType 3
6920)
6921xt "55000,62000,74000,62000"
6922pts [
6923"55000,62000"
6924"74000,62000"
6925]
6926)
6927end &101
6928sat 16
6929eat 2
6930st 0
6931sf 1
6932si 0
6933tg (WTG
6934uid 1005,0
6935ps "ConnStartEndStrategy"
6936stg "STSignalDisplayStrategy"
6937f (Text
6938uid 1006,0
6939va (VaSet
6940)
6941xt "56000,61000,59400,62000"
6942st "ps_ready"
6943blo "56000,61800"
6944tm "WireNameMgr"
6945)
6946)
6947on &100
6948)
6949*215 (Wire
6950uid 1007,0
6951shape (OrthoPolyLine
6952uid 1008,0
6953va (VaSet
6954vasetType 3
6955lineWidth 2
6956)
6957xt "55000,61000,74000,61000"
6958pts [
6959"55000,61000"
6960"74000,61000"
6961]
6962)
6963end &101
6964sat 16
6965eat 2
6966sty 1
6967st 0
6968sf 1
6969si 0
6970tg (WTG
6971uid 1013,0
6972ps "ConnStartEndStrategy"
6973stg "STSignalDisplayStrategy"
6974f (Text
6975uid 1014,0
6976va (VaSet
6977)
6978xt "56000,60000,61700,61000"
6979st "CrateID : (1:0)"
6980blo "56000,60800"
6981tm "WireNameMgr"
6982)
6983)
6984on &99
6985)
6986*216 (Wire
6987uid 1015,0
6988shape (OrthoPolyLine
6989uid 1016,0
6990va (VaSet
6991vasetType 3
6992)
6993xt "108750,27000,124000,28000"
6994pts [
6995"108750,28000"
6996"124000,27000"
6997]
6998)
6999start &117
7000sat 32
7001eat 16
7002st 0
7003sf 1
7004si 0
7005tg (WTG
7006uid 1021,0
7007ps "ConnStartEndStrategy"
7008stg "STSignalDisplayStrategy"
7009f (Text
7010uid 1022,0
7011va (VaSet
7012)
7013xt "110000,27000,111200,28000"
7014st "int"
7015blo "110000,27800"
7016tm "WireNameMgr"
7017)
7018)
7019on &86
7020)
7021*217 (Wire
7022uid 1023,0
7023shape (OrthoPolyLine
7024uid 1024,0
7025va (VaSet
7026vasetType 3
7027)
7028xt "55000,47000,74000,47000"
7029pts [
7030"55000,47000"
7031"74000,47000"
7032]
7033)
7034end &101
7035sat 16
7036eat 1
7037st 0
7038sf 1
7039si 0
7040tg (WTG
7041uid 1029,0
7042ps "ConnStartEndStrategy"
7043stg "STSignalDisplayStrategy"
7044f (Text
7045uid 1030,0
7046va (VaSet
7047)
7048xt "56000,46000,57300,47000"
7049st "clk"
7050blo "56000,46800"
7051tm "WireNameMgr"
7052)
7053)
7054on &85
7055)
7056*218 (Wire
7057uid 1552,0
7058shape (OrthoPolyLine
7059uid 1553,0
7060va (VaSet
7061vasetType 3
7062)
7063xt "80750,20000,84000,20000"
7064pts [
7065"80750,20000"
7066"84000,20000"
7067]
7068)
7069start &106
7070sat 32
7071eat 16
7072st 0
7073sf 1
7074si 0
7075tg (WTG
7076uid 1556,0
7077ps "ConnStartEndStrategy"
7078stg "STSignalDisplayStrategy"
7079f (Text
7080uid 1557,0
7081va (VaSet
7082)
7083xt "82000,19000,83300,20000"
7084st "clk"
7085blo "82000,19800"
7086tm "WireNameMgr"
7087)
7088)
7089on &85
7090)
7091]
7092bg "65535,65535,65535"
7093grid (Grid
7094origin "0,0"
7095isVisible 1
7096isActive 1
7097xSpacing 1000
7098xySpacing 1000
7099xShown 1
7100yShown 1
7101color "26368,26368,26368"
7102)
7103packageList *219 (PackageList
7104uid 1163,0
7105stg "VerticalLayoutStrategy"
7106textVec [
7107*220 (Text
7108uid 1164,0
7109va (VaSet
7110font "arial,8,1"
7111)
7112xt "0,0,5400,1000"
7113st "Package List"
7114blo "0,800"
7115)
7116*221 (MLText
7117uid 1165,0
7118va (VaSet
7119)
7120xt "0,1000,15600,7000"
7121st "LIBRARY IEEE;
7122USE IEEE.STD_LOGIC_1164.ALL;
7123USE IEEE.STD_LOGIC_ARITH.ALL;
7124USE IEEE.STD_LOGIC_UNSIGNED.ALL;
7125LIBRARY FACT_FAD_lib;
7126USE FACT_FAD_lib.fad_definitions.ALL;"
7127tm "PackageList"
7128)
7129]
7130)
7131compDirBlock (MlTextGroup
7132uid 1166,0
7133stg "VerticalLayoutStrategy"
7134textVec [
7135*222 (Text
7136uid 1167,0
7137va (VaSet
7138isHidden 1
7139font "Arial,8,1"
7140)
7141xt "20000,0,28100,1000"
7142st "Compiler Directives"
7143blo "20000,800"
7144)
7145*223 (Text
7146uid 1168,0
7147va (VaSet
7148isHidden 1
7149font "Arial,8,1"
7150)
7151xt "20000,1000,29600,2000"
7152st "Pre-module directives:"
7153blo "20000,1800"
7154)
7155*224 (MLText
7156uid 1169,0
7157va (VaSet
7158isHidden 1
7159)
7160xt "20000,2000,27500,4000"
7161st "`resetall
7162`timescale 1ns/10ps"
7163tm "BdCompilerDirectivesTextMgr"
7164)
7165*225 (Text
7166uid 1170,0
7167va (VaSet
7168isHidden 1
7169font "Arial,8,1"
7170)
7171xt "20000,4000,30100,5000"
7172st "Post-module directives:"
7173blo "20000,4800"
7174)
7175*226 (MLText
7176uid 1171,0
7177va (VaSet
7178isHidden 1
7179)
7180xt "20000,0,20000,0"
7181tm "BdCompilerDirectivesTextMgr"
7182)
7183*227 (Text
7184uid 1172,0
7185va (VaSet
7186isHidden 1
7187font "Arial,8,1"
7188)
7189xt "20000,5000,29900,6000"
7190st "End-module directives:"
7191blo "20000,5800"
7192)
7193*228 (MLText
7194uid 1173,0
7195va (VaSet
7196isHidden 1
7197)
7198xt "20000,6000,20000,6000"
7199tm "BdCompilerDirectivesTextMgr"
7200)
7201]
7202associable 1
7203)
7204windowSize "0,22,1281,1024"
7205viewArea "8956,29642,91989,96397"
7206cachedDiagramExtent "-19400,0,125400,83000"
7207hasePageBreakOrigin 1
7208pageBreakOrigin "-20000,0"
7209lastUid 2880,0
7210defaultCommentText (CommentText
7211shape (Rectangle
7212layer 0
7213va (VaSet
7214vasetType 1
7215fg "65280,65280,46080"
7216lineColor "0,0,32768"
7217)
7218xt "0,0,15000,5000"
7219)
7220text (MLText
7221va (VaSet
7222fg "0,0,32768"
7223)
7224xt "200,200,2000,1200"
7225st "
7226Text
7227"
7228tm "CommentText"
7229wrapOption 3
7230visibleHeight 4600
7231visibleWidth 14600
7232)
7233)
7234defaultPanel (Panel
7235shape (RectFrame
7236va (VaSet
7237vasetType 1
7238fg "65535,65535,65535"
7239lineColor "32768,0,0"
7240lineWidth 3
7241)
7242xt "0,0,20000,20000"
7243)
7244title (TextAssociate
7245ps "TopLeftStrategy"
7246text (Text
7247va (VaSet
7248font "Arial,8,1"
7249)
7250xt "1000,1000,3800,2000"
7251st "Panel0"
7252blo "1000,1800"
7253tm "PanelText"
7254)
7255)
7256)
7257defaultBlk (Blk
7258shape (Rectangle
7259va (VaSet
7260vasetType 1
7261fg "39936,56832,65280"
7262lineColor "0,0,32768"
7263lineWidth 2
7264)
7265xt "0,0,8000,10000"
7266)
7267ttg (MlTextGroup
7268ps "CenterOffsetStrategy"
7269stg "VerticalLayoutStrategy"
7270textVec [
7271*229 (Text
7272va (VaSet
7273font "Arial,8,1"
7274)
7275xt "2200,3500,5800,4500"
7276st "<library>"
7277blo "2200,4300"
7278tm "BdLibraryNameMgr"
7279)
7280*230 (Text
7281va (VaSet
7282font "Arial,8,1"
7283)
7284xt "2200,4500,5600,5500"
7285st "<block>"
7286blo "2200,5300"
7287tm "BlkNameMgr"
7288)
7289*231 (Text
7290va (VaSet
7291font "Arial,8,1"
7292)
7293xt "2200,5500,4000,6500"
7294st "U_0"
7295blo "2200,6300"
7296tm "InstanceNameMgr"
7297)
7298]
7299)
7300ga (GenericAssociation
7301ps "EdgeToEdgeStrategy"
7302matrix (Matrix
7303text (MLText
7304va (VaSet
7305font "Courier New,8,0"
7306)
7307xt "2200,13500,2200,13500"
7308)
7309header ""
7310)
7311elements [
7312]
7313)
7314viewicon (ZoomableIcon
7315sl 0
7316va (VaSet
7317vasetType 1
7318fg "49152,49152,49152"
7319)
7320xt "0,0,1500,1500"
7321iconName "UnknownFile.png"
7322iconMaskName "UnknownFile.msk"
7323)
7324viewiconposition 0
7325)
7326defaultMWComponent (MWC
7327shape (Rectangle
7328va (VaSet
7329vasetType 1
7330fg "0,65535,0"
7331lineColor "0,32896,0"
7332lineWidth 2
7333)
7334xt "0,0,8000,10000"
7335)
7336ttg (MlTextGroup
7337ps "CenterOffsetStrategy"
7338stg "VerticalLayoutStrategy"
7339textVec [
7340*232 (Text
7341va (VaSet
7342font "Arial,8,1"
7343)
7344xt "550,3500,3450,4500"
7345st "Library"
7346blo "550,4300"
7347)
7348*233 (Text
7349va (VaSet
7350font "Arial,8,1"
7351)
7352xt "550,4500,7450,5500"
7353st "MWComponent"
7354blo "550,5300"
7355)
7356*234 (Text
7357va (VaSet
7358font "Arial,8,1"
7359)
7360xt "550,5500,2350,6500"
7361st "U_0"
7362blo "550,6300"
7363tm "InstanceNameMgr"
7364)
7365]
7366)
7367ga (GenericAssociation
7368ps "EdgeToEdgeStrategy"
7369matrix (Matrix
7370text (MLText
7371va (VaSet
7372font "Courier New,8,0"
7373)
7374xt "-6450,1500,-6450,1500"
7375)
7376header ""
7377)
7378elements [
7379]
7380)
7381portVis (PortSigDisplay
7382)
7383prms (Property
7384pclass "params"
7385pname "params"
7386ptn "String"
7387)
7388visOptions (mwParamsVisibilityOptions
7389)
7390)
7391defaultSaComponent (SaComponent
7392shape (Rectangle
7393va (VaSet
7394vasetType 1
7395fg "0,65535,0"
7396lineColor "0,32896,0"
7397lineWidth 2
7398)
7399xt "0,0,8000,10000"
7400)
7401ttg (MlTextGroup
7402ps "CenterOffsetStrategy"
7403stg "VerticalLayoutStrategy"
7404textVec [
7405*235 (Text
7406va (VaSet
7407font "Arial,8,1"
7408)
7409xt "900,3500,3800,4500"
7410st "Library"
7411blo "900,4300"
7412tm "BdLibraryNameMgr"
7413)
7414*236 (Text
7415va (VaSet
7416font "Arial,8,1"
7417)
7418xt "900,4500,7100,5500"
7419st "SaComponent"
7420blo "900,5300"
7421tm "CptNameMgr"
7422)
7423*237 (Text
7424va (VaSet
7425font "Arial,8,1"
7426)
7427xt "900,5500,2700,6500"
7428st "U_0"
7429blo "900,6300"
7430tm "InstanceNameMgr"
7431)
7432]
7433)
7434ga (GenericAssociation
7435ps "EdgeToEdgeStrategy"
7436matrix (Matrix
7437text (MLText
7438va (VaSet
7439font "Courier New,8,0"
7440)
7441xt "-6100,1500,-6100,1500"
7442)
7443header ""
7444)
7445elements [
7446]
7447)
7448viewicon (ZoomableIcon
7449sl 0
7450va (VaSet
7451vasetType 1
7452fg "49152,49152,49152"
7453)
7454xt "0,0,1500,1500"
7455iconName "UnknownFile.png"
7456iconMaskName "UnknownFile.msk"
7457)
7458viewiconposition 0
7459portVis (PortSigDisplay
7460)
7461archFileType "UNKNOWN"
7462)
7463defaultVhdlComponent (VhdlComponent
7464shape (Rectangle
7465va (VaSet
7466vasetType 1
7467fg "0,65535,0"
7468lineColor "0,32896,0"
7469lineWidth 2
7470)
7471xt "0,0,8000,10000"
7472)
7473ttg (MlTextGroup
7474ps "CenterOffsetStrategy"
7475stg "VerticalLayoutStrategy"
7476textVec [
7477*238 (Text
7478va (VaSet
7479font "Arial,8,1"
7480)
7481xt "500,3500,3400,4500"
7482st "Library"
7483blo "500,4300"
7484)
7485*239 (Text
7486va (VaSet
7487font "Arial,8,1"
7488)
7489xt "500,4500,7500,5500"
7490st "VhdlComponent"
7491blo "500,5300"
7492)
7493*240 (Text
7494va (VaSet
7495font "Arial,8,1"
7496)
7497xt "500,5500,2300,6500"
7498st "U_0"
7499blo "500,6300"
7500tm "InstanceNameMgr"
7501)
7502]
7503)
7504ga (GenericAssociation
7505ps "EdgeToEdgeStrategy"
7506matrix (Matrix
7507text (MLText
7508va (VaSet
7509font "Courier New,8,0"
7510)
7511xt "-6500,1500,-6500,1500"
7512)
7513header ""
7514)
7515elements [
7516]
7517)
7518portVis (PortSigDisplay
7519)
7520entityPath ""
7521archName ""
7522archPath ""
7523)
7524defaultVerilogComponent (VerilogComponent
7525shape (Rectangle
7526va (VaSet
7527vasetType 1
7528fg "0,65535,0"
7529lineColor "0,32896,0"
7530lineWidth 2
7531)
7532xt "-450,0,8450,10000"
7533)
7534ttg (MlTextGroup
7535ps "CenterOffsetStrategy"
7536stg "VerticalLayoutStrategy"
7537textVec [
7538*241 (Text
7539va (VaSet
7540font "Arial,8,1"
7541)
7542xt "50,3500,2950,4500"
7543st "Library"
7544blo "50,4300"
7545)
7546*242 (Text
7547va (VaSet
7548font "Arial,8,1"
7549)
7550xt "50,4500,7950,5500"
7551st "VerilogComponent"
7552blo "50,5300"
7553)
7554*243 (Text
7555va (VaSet
7556font "Arial,8,1"
7557)
7558xt "50,5500,1850,6500"
7559st "U_0"
7560blo "50,6300"
7561tm "InstanceNameMgr"
7562)
7563]
7564)
7565ga (GenericAssociation
7566ps "EdgeToEdgeStrategy"
7567matrix (Matrix
7568text (MLText
7569va (VaSet
7570font "Courier New,8,0"
7571)
7572xt "-6950,1500,-6950,1500"
7573)
7574header ""
7575)
7576elements [
7577]
7578)
7579entityPath ""
7580)
7581defaultHdlText (HdlText
7582shape (Rectangle
7583va (VaSet
7584vasetType 1
7585fg "65535,65535,37120"
7586lineColor "0,0,32768"
7587lineWidth 2
7588)
7589xt "0,0,8000,10000"
7590)
7591ttg (MlTextGroup
7592ps "CenterOffsetStrategy"
7593stg "VerticalLayoutStrategy"
7594textVec [
7595*244 (Text
7596va (VaSet
7597font "Arial,8,1"
7598)
7599xt "3150,4000,4850,5000"
7600st "eb1"
7601blo "3150,4800"
7602tm "HdlTextNameMgr"
7603)
7604*245 (Text
7605va (VaSet
7606font "Arial,8,1"
7607)
7608xt "3150,5000,3950,6000"
7609st "1"
7610blo "3150,5800"
7611tm "HdlTextNumberMgr"
7612)
7613]
7614)
7615viewicon (ZoomableIcon
7616sl 0
7617va (VaSet
7618vasetType 1
7619fg "49152,49152,49152"
7620)
7621xt "0,0,1500,1500"
7622iconName "UnknownFile.png"
7623iconMaskName "UnknownFile.msk"
7624)
7625viewiconposition 0
7626)
7627defaultEmbeddedText (EmbeddedText
7628commentText (CommentText
7629ps "CenterOffsetStrategy"
7630shape (Rectangle
7631va (VaSet
7632vasetType 1
7633fg "65535,65535,65535"
7634lineColor "0,0,32768"
7635lineWidth 2
7636)
7637xt "0,0,18000,5000"
7638)
7639text (MLText
7640va (VaSet
7641)
7642xt "200,200,2000,1200"
7643st "
7644Text
7645"
7646tm "HdlTextMgr"
7647wrapOption 3
7648visibleHeight 4600
7649visibleWidth 17600
7650)
7651)
7652)
7653defaultGlobalConnector (GlobalConnector
7654shape (Circle
7655va (VaSet
7656vasetType 1
7657fg "65535,65535,0"
7658)
7659xt "-1000,-1000,1000,1000"
7660radius 1000
7661)
7662name (Text
7663va (VaSet
7664font "Arial,8,1"
7665)
7666xt "-500,-500,500,500"
7667st "G"
7668blo "-500,300"
7669)
7670)
7671defaultRipper (Ripper
7672ps "OnConnectorStrategy"
7673shape (Line2D
7674pts [
7675"0,0"
7676"1000,1000"
7677]
7678va (VaSet
7679vasetType 1
7680)
7681xt "0,0,1000,1000"
7682)
7683)
7684defaultBdJunction (BdJunction
7685ps "OnConnectorStrategy"
7686shape (Circle
7687va (VaSet
7688vasetType 1
7689)
7690xt "-400,-400,400,400"
7691radius 400
7692)
7693)
7694defaultPortIoIn (PortIoIn
7695shape (CompositeShape
7696va (VaSet
7697vasetType 1
7698fg "0,0,32768"
7699)
7700optionalChildren [
7701(Pentagon
7702sl 0
7703ro 270
7704xt "-2000,-375,-500,375"
7705)
7706(Line
7707sl 0
7708ro 270
7709xt "-500,0,0,0"
7710pts [
7711"-500,0"
7712"0,0"
7713]
7714)
7715]
7716)
7717stc 0
7718sf 1
7719tg (WTG
7720ps "PortIoTextPlaceStrategy"
7721stg "STSignalDisplayStrategy"
7722f (Text
7723va (VaSet
7724)
7725xt "-1375,-1000,-1375,-1000"
7726ju 2
7727blo "-1375,-1000"
7728tm "WireNameMgr"
7729)
7730)
7731)
7732defaultPortIoOut (PortIoOut
7733shape (CompositeShape
7734va (VaSet
7735vasetType 1
7736fg "0,0,32768"
7737)
7738optionalChildren [
7739(Pentagon
7740sl 0
7741ro 270
7742xt "500,-375,2000,375"
7743)
7744(Line
7745sl 0
7746ro 270
7747xt "0,0,500,0"
7748pts [
7749"0,0"
7750"500,0"
7751]
7752)
7753]
7754)
7755stc 0
7756sf 1
7757tg (WTG
7758ps "PortIoTextPlaceStrategy"
7759stg "STSignalDisplayStrategy"
7760f (Text
7761va (VaSet
7762)
7763xt "625,-1000,625,-1000"
7764blo "625,-1000"
7765tm "WireNameMgr"
7766)
7767)
7768)
7769defaultPortIoInOut (PortIoInOut
7770shape (CompositeShape
7771va (VaSet
7772vasetType 1
7773fg "0,0,32768"
7774)
7775optionalChildren [
7776(Hexagon
7777sl 0
7778xt "500,-375,2000,375"
7779)
7780(Line
7781sl 0
7782xt "0,0,500,0"
7783pts [
7784"0,0"
7785"500,0"
7786]
7787)
7788]
7789)
7790stc 0
7791sf 1
7792tg (WTG
7793ps "PortIoTextPlaceStrategy"
7794stg "STSignalDisplayStrategy"
7795f (Text
7796va (VaSet
7797)
7798xt "0,-375,0,-375"
7799blo "0,-375"
7800tm "WireNameMgr"
7801)
7802)
7803)
7804defaultPortIoBuffer (PortIoBuffer
7805shape (CompositeShape
7806va (VaSet
7807vasetType 1
7808fg "65535,65535,65535"
7809lineColor "0,0,32768"
7810)
7811optionalChildren [
7812(Hexagon
7813sl 0
7814xt "500,-375,2000,375"
7815)
7816(Line
7817sl 0
7818xt "0,0,500,0"
7819pts [
7820"0,0"
7821"500,0"
7822]
7823)
7824]
7825)
7826stc 0
7827sf 1
7828tg (WTG
7829ps "PortIoTextPlaceStrategy"
7830stg "STSignalDisplayStrategy"
7831f (Text
7832va (VaSet
7833)
7834xt "0,-375,0,-375"
7835blo "0,-375"
7836tm "WireNameMgr"
7837)
7838)
7839)
7840defaultSignal (Wire
7841shape (OrthoPolyLine
7842va (VaSet
7843vasetType 3
7844)
7845pts [
7846"0,0"
7847"0,0"
7848]
7849)
7850ss 0
7851es 0
7852sat 32
7853eat 32
7854st 0
7855sf 1
7856si 0
7857tg (WTG
7858ps "ConnStartEndStrategy"
7859stg "STSignalDisplayStrategy"
7860f (Text
7861va (VaSet
7862)
7863xt "0,0,1900,1000"
7864st "sig0"
7865blo "0,800"
7866tm "WireNameMgr"
7867)
7868)
7869)
7870defaultBus (Wire
7871shape (OrthoPolyLine
7872va (VaSet
7873vasetType 3
7874lineWidth 2
7875)
7876pts [
7877"0,0"
7878"0,0"
7879]
7880)
7881ss 0
7882es 0
7883sat 32
7884eat 32
7885sty 1
7886st 0
7887sf 1
7888si 0
7889tg (WTG
7890ps "ConnStartEndStrategy"
7891stg "STSignalDisplayStrategy"
7892f (Text
7893va (VaSet
7894)
7895xt "0,0,2400,1000"
7896st "dbus0"
7897blo "0,800"
7898tm "WireNameMgr"
7899)
7900)
7901)
7902defaultBundle (Bundle
7903shape (OrthoPolyLine
7904va (VaSet
7905vasetType 3
7906lineColor "32768,0,0"
7907lineWidth 2
7908)
7909pts [
7910"0,0"
7911"0,0"
7912]
7913)
7914ss 0
7915es 0
7916sat 32
7917eat 32
7918textGroup (BiTextGroup
7919ps "ConnStartEndStrategy"
7920stg "VerticalLayoutStrategy"
7921first (Text
7922va (VaSet
7923)
7924xt "0,0,3000,1000"
7925st "bundle0"
7926blo "0,800"
7927tm "BundleNameMgr"
7928)
7929second (MLText
7930va (VaSet
7931)
7932xt "0,1000,1000,2000"
7933st "()"
7934tm "BundleContentsMgr"
7935)
7936)
7937bundleNet &0
7938)
7939defaultPortMapFrame (PortMapFrame
7940ps "PortMapFrameStrategy"
7941shape (RectFrame
7942va (VaSet
7943vasetType 1
7944fg "65535,65535,65535"
7945lineColor "0,0,32768"
7946lineWidth 2
7947)
7948xt "0,0,10000,12000"
7949)
7950portMapText (BiTextGroup
7951ps "BottomRightOffsetStrategy"
7952stg "VerticalLayoutStrategy"
7953first (MLText
7954va (VaSet
7955)
7956)
7957second (MLText
7958va (VaSet
7959)
7960tm "PortMapTextMgr"
7961)
7962)
7963)
7964defaultGenFrame (Frame
7965shape (RectFrame
7966va (VaSet
7967vasetType 1
7968fg "65535,65535,65535"
7969lineColor "26368,26368,26368"
7970lineStyle 2
7971lineWidth 3
7972)
7973xt "0,0,20000,20000"
7974)
7975title (TextAssociate
7976ps "TopLeftStrategy"
7977text (MLText
7978va (VaSet
7979)
7980xt "0,-1100,12600,-100"
7981st "g0: FOR i IN 0 TO n GENERATE"
7982tm "FrameTitleTextMgr"
7983)
7984)
7985seqNum (FrameSequenceNumber
7986ps "TopLeftStrategy"
7987shape (Rectangle
7988va (VaSet
7989vasetType 1
7990fg "65535,65535,65535"
7991)
7992xt "50,50,1250,1450"
7993)
7994num (Text
7995va (VaSet
7996)
7997xt "250,250,1050,1250"
7998st "1"
7999blo "250,1050"
8000tm "FrameSeqNumMgr"
8001)
8002)
8003decls (MlTextGroup
8004ps "BottomRightOffsetStrategy"
8005stg "VerticalLayoutStrategy"
8006textVec [
8007*246 (Text
8008va (VaSet
8009font "Arial,8,1"
8010)
8011xt "14100,20000,22000,21000"
8012st "Frame Declarations"
8013blo "14100,20800"
8014)
8015*247 (MLText
8016va (VaSet
8017)
8018xt "14100,21000,14100,21000"
8019tm "BdFrameDeclTextMgr"
8020)
8021]
8022)
8023)
8024defaultBlockFrame (Frame
8025shape (RectFrame
8026va (VaSet
8027vasetType 1
8028fg "65535,65535,65535"
8029lineColor "26368,26368,26368"
8030lineStyle 1
8031lineWidth 3
8032)
8033xt "0,0,20000,20000"
8034)
8035title (TextAssociate
8036ps "TopLeftStrategy"
8037text (MLText
8038va (VaSet
8039)
8040xt "0,-1100,7400,-100"
8041st "b0: BLOCK (guard)"
8042tm "FrameTitleTextMgr"
8043)
8044)
8045seqNum (FrameSequenceNumber
8046ps "TopLeftStrategy"
8047shape (Rectangle
8048va (VaSet
8049vasetType 1
8050fg "65535,65535,65535"
8051)
8052xt "50,50,1250,1450"
8053)
8054num (Text
8055va (VaSet
8056)
8057xt "250,250,1050,1250"
8058st "1"
8059blo "250,1050"
8060tm "FrameSeqNumMgr"
8061)
8062)
8063decls (MlTextGroup
8064ps "BottomRightOffsetStrategy"
8065stg "VerticalLayoutStrategy"
8066textVec [
8067*248 (Text
8068va (VaSet
8069font "Arial,8,1"
8070)
8071xt "14100,20000,22000,21000"
8072st "Frame Declarations"
8073blo "14100,20800"
8074)
8075*249 (MLText
8076va (VaSet
8077)
8078xt "14100,21000,14100,21000"
8079tm "BdFrameDeclTextMgr"
8080)
8081]
8082)
8083style 3
8084)
8085defaultSaCptPort (CptPort
8086ps "OnEdgeStrategy"
8087shape (Triangle
8088ro 90
8089va (VaSet
8090vasetType 1
8091fg "0,65535,0"
8092)
8093xt "0,0,750,750"
8094)
8095tg (CPTG
8096ps "CptPortTextPlaceStrategy"
8097stg "VerticalLayoutStrategy"
8098f (Text
8099va (VaSet
8100)
8101xt "0,750,1800,1750"
8102st "Port"
8103blo "0,1550"
8104)
8105)
8106thePort (LogicalPort
8107decl (Decl
8108n "Port"
8109t ""
8110o 0
8111)
8112)
8113)
8114defaultSaCptPortBuffer (CptPort
8115ps "OnEdgeStrategy"
8116shape (Diamond
8117va (VaSet
8118vasetType 1
8119fg "65535,65535,65535"
8120)
8121xt "0,0,750,750"
8122)
8123tg (CPTG
8124ps "CptPortTextPlaceStrategy"
8125stg "VerticalLayoutStrategy"
8126f (Text
8127va (VaSet
8128)
8129xt "0,750,1800,1750"
8130st "Port"
8131blo "0,1550"
8132)
8133)
8134thePort (LogicalPort
8135m 3
8136decl (Decl
8137n "Port"
8138t ""
8139o 0
8140)
8141)
8142)
8143defaultDeclText (MLText
8144va (VaSet
8145font "Courier New,8,0"
8146)
8147)
8148archDeclarativeBlock (BdArchDeclBlock
8149uid 1,0
8150stg "BdArchDeclBlockLS"
8151declLabel (Text
8152uid 2,0
8153va (VaSet
8154font "Arial,8,1"
8155)
8156xt "20000,0,25400,1000"
8157st "Declarations"
8158blo "20000,800"
8159)
8160portLabel (Text
8161uid 3,0
8162va (VaSet
8163font "Arial,8,1"
8164)
8165xt "20000,1000,22700,2000"
8166st "Ports:"
8167blo "20000,1800"
8168)
8169preUserLabel (Text
8170uid 4,0
8171va (VaSet
8172isHidden 1
8173font "Arial,8,1"
8174)
8175xt "20000,0,23800,1000"
8176st "Pre User:"
8177blo "20000,800"
8178)
8179preUserText (MLText
8180uid 5,0
8181va (VaSet
8182isHidden 1
8183font "Courier New,8,0"
8184)
8185xt "20000,0,20000,0"
8186tm "BdDeclarativeTextMgr"
8187)
8188diagSignalLabel (Text
8189uid 6,0
8190va (VaSet
8191font "Arial,8,1"
8192)
8193xt "20000,2000,27100,3000"
8194st "Diagram Signals:"
8195blo "20000,2800"
8196)
8197postUserLabel (Text
8198uid 7,0
8199va (VaSet
8200isHidden 1
8201font "Arial,8,1"
8202)
8203xt "20000,0,24700,1000"
8204st "Post User:"
8205blo "20000,800"
8206)
8207postUserText (MLText
8208uid 8,0
8209va (VaSet
8210isHidden 1
8211font "Courier New,8,0"
8212)
8213xt "20000,0,20000,0"
8214tm "BdDeclarativeTextMgr"
8215)
8216)
8217commonDM (CommonDM
8218ldm (LogicalDM
8219suid 50,0
8220usingSuid 1
8221emptyRow *250 (LEmptyRow
8222)
8223uid 1176,0
8224optionalChildren [
8225*251 (RefLabelRowHdr
8226)
8227*252 (TitleRowHdr
8228)
8229*253 (FilterRowHdr
8230)
8231*254 (RefLabelColHdr
8232tm "RefLabelColHdrMgr"
8233)
8234*255 (RowExpandColHdr
8235tm "RowExpandColHdrMgr"
8236)
8237*256 (GroupColHdr
8238tm "GroupColHdrMgr"
8239)
8240*257 (NameColHdr
8241tm "BlockDiagramNameColHdrMgr"
8242)
8243*258 (ModeColHdr
8244tm "BlockDiagramModeColHdrMgr"
8245)
8246*259 (TypeColHdr
8247tm "BlockDiagramTypeColHdrMgr"
8248)
8249*260 (BoundsColHdr
8250tm "BlockDiagramBoundsColHdrMgr"
8251)
8252*261 (InitColHdr
8253tm "BlockDiagramInitColHdrMgr"
8254)
8255*262 (EolColHdr
8256tm "BlockDiagramEolColHdrMgr"
8257)
8258*263 (LeafLogPort
8259port (LogicalPort
8260m 4
8261decl (Decl
8262n "state"
8263t "std_logic_vector"
8264b "(7 DOWNTO 0)"
8265o 1
8266suid 1,0
8267)
8268)
8269uid 1063,0
8270)
8271*264 (LeafLogPort
8272port (LogicalPort
8273m 4
8274decl (Decl
8275n "debug_data_ram_empty"
8276t "std_logic"
8277o 2
8278suid 2,0
8279)
8280)
8281uid 1065,0
8282)
8283*265 (LeafLogPort
8284port (LogicalPort
8285m 4
8286decl (Decl
8287n "debug_data_valid"
8288t "std_logic"
8289o 3
8290suid 3,0
8291)
8292)
8293uid 1067,0
8294)
8295*266 (LeafLogPort
8296port (LogicalPort
8297lang 10
8298m 4
8299decl (Decl
8300n "wiz_reset"
8301t "std_logic"
8302o 5
8303suid 5,0
8304)
8305)
8306uid 1071,0
8307)
8308*267 (LeafLogPort
8309port (LogicalPort
8310m 4
8311decl (Decl
8312n "addr"
8313t "std_logic_vector"
8314b "(9 DOWNTO 0)"
8315o 6
8316suid 6,0
8317)
8318)
8319uid 1073,0
8320)
8321*268 (LeafLogPort
8322port (LogicalPort
8323lang 10
8324m 4
8325decl (Decl
8326n "data"
8327t "std_logic_vector"
8328b "(15 DOWNTO 0)"
8329o 7
8330suid 7,0
8331i "(others => 'Z')"
8332)
8333)
8334uid 1075,0
8335)
8336*269 (LeafLogPort
8337port (LogicalPort
8338lang 10
8339m 4
8340decl (Decl
8341n "cs"
8342t "std_logic"
8343o 8
8344suid 8,0
8345)
8346)
8347uid 1077,0
8348)
8349*270 (LeafLogPort
8350port (LogicalPort
8351lang 10
8352m 4
8353decl (Decl
8354n "wr"
8355t "std_logic"
8356o 9
8357suid 9,0
8358)
8359)
8360uid 1079,0
8361)
8362*271 (LeafLogPort
8363port (LogicalPort
8364lang 10
8365m 4
8366decl (Decl
8367n "rd"
8368t "std_logic"
8369o 10
8370suid 10,0
8371)
8372)
8373uid 1081,0
8374)
8375*272 (LeafLogPort
8376port (LogicalPort
8377lang 10
8378m 4
8379decl (Decl
8380n "ram_addr"
8381t "std_logic_vector"
8382b "(13 DOWNTO 0)"
8383o 12
8384suid 12,0
8385)
8386)
8387uid 1085,0
8388)
8389*273 (LeafLogPort
8390port (LogicalPort
8391lang 10
8392m 4
8393decl (Decl
8394n "data_valid_ack"
8395t "std_logic"
8396o 13
8397suid 13,0
8398)
8399)
8400uid 1087,0
8401)
8402*274 (LeafLogPort
8403port (LogicalPort
8404lang 10
8405m 4
8406decl (Decl
8407n "busy"
8408t "std_logic"
8409o 14
8410suid 14,0
8411)
8412)
8413uid 1089,0
8414)
8415*275 (LeafLogPort
8416port (LogicalPort
8417lang 10
8418m 4
8419decl (Decl
8420n "s_trigger"
8421t "std_logic"
8422o 15
8423suid 15,0
8424)
8425)
8426uid 1091,0
8427)
8428*276 (LeafLogPort
8429port (LogicalPort
8430lang 10
8431m 4
8432decl (Decl
8433n "c_trigger_enable"
8434t "std_logic"
8435o 16
8436suid 16,0
8437)
8438)
8439uid 1093,0
8440)
8441*277 (LeafLogPort
8442port (LogicalPort
8443lang 10
8444m 4
8445decl (Decl
8446n "c_trigger_mult"
8447t "std_logic_vector"
8448b "(15 DOWNTO 0)"
8449o 17
8450suid 17,0
8451)
8452)
8453uid 1095,0
8454)
8455*278 (LeafLogPort
8456port (LogicalPort
8457lang 10
8458m 4
8459decl (Decl
8460n "memory_manager_config_start_o"
8461t "std_logic"
8462o 18
8463suid 18,0
8464)
8465)
8466uid 1097,0
8467)
8468*279 (LeafLogPort
8469port (LogicalPort
8470lang 10
8471m 4
8472decl (Decl
8473n "spi_interface_config_start_o"
8474t "std_logic"
8475o 19
8476suid 19,0
8477)
8478)
8479uid 1099,0
8480)
8481*280 (LeafLogPort
8482port (LogicalPort
8483lang 10
8484m 4
8485decl (Decl
8486n "dac_setting"
8487t "dac_array_type"
8488o 20
8489suid 20,0
8490)
8491)
8492uid 1101,0
8493)
8494*281 (LeafLogPort
8495port (LogicalPort
8496lang 10
8497m 4
8498decl (Decl
8499n "roi_setting"
8500t "roi_array_type"
8501o 21
8502suid 21,0
8503)
8504)
8505uid 1103,0
8506)
8507*282 (LeafLogPort
8508port (LogicalPort
8509lang 10
8510m 4
8511decl (Decl
8512n "runnumber"
8513t "std_logic_vector"
8514b "(31 DOWNTO 0)"
8515o 22
8516suid 22,0
8517)
8518)
8519uid 1105,0
8520)
8521*283 (LeafLogPort
8522port (LogicalPort
8523lang 10
8524m 4
8525decl (Decl
8526n "reset_trigger_id"
8527t "std_logic"
8528o 23
8529suid 23,0
8530)
8531)
8532uid 1107,0
8533)
8534*284 (LeafLogPort
8535port (LogicalPort
8536m 4
8537decl (Decl
8538n "trigger_enable"
8539t "std_logic"
8540o 24
8541suid 24,0
8542)
8543)
8544uid 1109,0
8545)
8546*285 (LeafLogPort
8547port (LogicalPort
8548lang 10
8549m 4
8550decl (Decl
8551n "denable"
8552t "std_logic"
8553o 25
8554suid 25,0
8555)
8556)
8557uid 1111,0
8558)
8559*286 (LeafLogPort
8560port (LogicalPort
8561lang 10
8562m 4
8563decl (Decl
8564n "dwrite_enable"
8565t "std_logic"
8566o 26
8567suid 26,0
8568)
8569)
8570uid 1113,0
8571)
8572*287 (LeafLogPort
8573port (LogicalPort
8574lang 10
8575m 4
8576decl (Decl
8577n "sclk_enable"
8578t "std_logic"
8579o 27
8580suid 27,0
8581)
8582)
8583uid 1115,0
8584)
8585*288 (LeafLogPort
8586port (LogicalPort
8587lang 10
8588m 4
8589decl (Decl
8590n "srclk_enable"
8591t "std_logic"
8592o 28
8593suid 28,0
8594)
8595)
8596uid 1117,0
8597)
8598*289 (LeafLogPort
8599port (LogicalPort
8600lang 10
8601m 4
8602decl (Decl
8603n "ps_direction"
8604t "std_logic"
8605o 29
8606suid 29,0
8607)
8608)
8609uid 1119,0
8610)
8611*290 (LeafLogPort
8612port (LogicalPort
8613lang 10
8614m 4
8615decl (Decl
8616n "ps_do_phase_shift"
8617t "std_logic"
8618o 30
8619suid 30,0
8620)
8621)
8622uid 1121,0
8623)
8624*291 (LeafLogPort
8625port (LogicalPort
8626lang 10
8627m 4
8628decl (Decl
8629n "ps_reset"
8630t "std_logic"
8631o 31
8632suid 31,0
8633)
8634)
8635uid 1123,0
8636)
8637*292 (LeafLogPort
8638port (LogicalPort
8639m 4
8640decl (Decl
8641n "socks_waiting"
8642t "std_logic"
8643o 32
8644suid 32,0
8645)
8646)
8647uid 1125,0
8648)
8649*293 (LeafLogPort
8650port (LogicalPort
8651m 4
8652decl (Decl
8653n "socks_connected"
8654t "std_logic"
8655o 33
8656suid 33,0
8657)
8658)
8659uid 1127,0
8660)
8661*294 (LeafLogPort
8662port (LogicalPort
8663m 4
8664decl (Decl
8665n "data_generator_idle_i"
8666t "std_logic"
8667o 34
8668suid 34,0
8669)
8670)
8671uid 1129,0
8672)
8673*295 (LeafLogPort
8674port (LogicalPort
8675m 4
8676decl (Decl
8677n "clk"
8678t "std_logic"
8679o 35
8680suid 35,0
8681)
8682)
8683uid 1131,0
8684)
8685*296 (LeafLogPort
8686port (LogicalPort
8687m 4
8688decl (Decl
8689n "int"
8690t "std_logic"
8691o 36
8692suid 36,0
8693)
8694)
8695uid 1133,0
8696)
8697*297 (LeafLogPort
8698port (LogicalPort
8699m 4
8700decl (Decl
8701n "write_length"
8702t "std_logic_vector"
8703b "(16 DOWNTO 0)"
8704o 37
8705suid 37,0
8706)
8707)
8708uid 1135,0
8709)
8710*298 (LeafLogPort
8711port (LogicalPort
8712lang 10
8713m 4
8714decl (Decl
8715n "ram_start_addr"
8716t "std_logic_vector"
8717b "(13 DOWNTO 0)"
8718o 38
8719suid 38,0
8720)
8721)
8722uid 1137,0
8723)
8724*299 (LeafLogPort
8725port (LogicalPort
8726m 4
8727decl (Decl
8728n "ram_data"
8729t "std_logic_vector"
8730b "(15 DOWNTO 0)"
8731o 39
8732suid 39,0
8733)
8734)
8735uid 1139,0
8736)
8737*300 (LeafLogPort
8738port (LogicalPort
8739m 4
8740decl (Decl
8741n "data_valid"
8742t "std_logic"
8743o 40
8744suid 40,0
8745)
8746)
8747uid 1141,0
8748)
8749*301 (LeafLogPort
8750port (LogicalPort
8751m 4
8752decl (Decl
8753n "write_header_flag"
8754t "std_logic"
8755o 41
8756suid 41,0
8757)
8758)
8759uid 1143,0
8760)
8761*302 (LeafLogPort
8762port (LogicalPort
8763m 4
8764decl (Decl
8765n "write_end_flag"
8766t "std_logic"
8767o 42
8768suid 42,0
8769)
8770)
8771uid 1145,0
8772)
8773*303 (LeafLogPort
8774port (LogicalPort
8775lang 10
8776m 4
8777decl (Decl
8778n "fifo_channels"
8779t "std_logic_vector"
8780b "(3 DOWNTO 0)"
8781o 43
8782suid 43,0
8783)
8784)
8785uid 1147,0
8786)
8787*304 (LeafLogPort
8788port (LogicalPort
8789m 4
8790decl (Decl
8791n "memory_manager_config_valid_i"
8792t "std_logic"
8793o 44
8794suid 44,0
8795)
8796)
8797uid 1149,0
8798)
8799*305 (LeafLogPort
8800port (LogicalPort
8801m 4
8802decl (Decl
8803n "spi_interface_config_valid_i"
8804t "std_logic"
8805o 45
8806suid 45,0
8807)
8808)
8809uid 1151,0
8810)
8811*306 (LeafLogPort
8812port (LogicalPort
8813m 4
8814decl (Decl
8815n "data_ram_empty"
8816t "std_logic"
8817o 46
8818suid 46,0
8819)
8820)
8821uid 1153,0
8822)
8823*307 (LeafLogPort
8824port (LogicalPort
8825lang 10
8826m 4
8827decl (Decl
8828n "MAC_jumper"
8829t "std_logic_vector"
8830b "(1 DOWNTO 0)"
8831o 47
8832suid 47,0
8833)
8834)
8835uid 1155,0
8836)
8837*308 (LeafLogPort
8838port (LogicalPort
8839lang 10
8840m 4
8841decl (Decl
8842n "BoardID"
8843t "std_logic_vector"
8844b "(3 DOWNTO 0)"
8845o 48
8846suid 48,0
8847)
8848)
8849uid 1157,0
8850)
8851*309 (LeafLogPort
8852port (LogicalPort
8853lang 10
8854m 4
8855decl (Decl
8856n "CrateID"
8857t "std_logic_vector"
8858b "(1 DOWNTO 0)"
8859o 49
8860suid 49,0
8861)
8862)
8863uid 1159,0
8864)
8865*310 (LeafLogPort
8866port (LogicalPort
8867m 4
8868decl (Decl
8869n "ps_ready"
8870t "std_logic"
8871o 50
8872suid 50,0
8873)
8874)
8875uid 1161,0
8876)
8877]
8878)
8879pdm (PhysicalDM
8880displayShortBounds 1
8881editShortBounds 1
8882uid 1189,0
8883optionalChildren [
8884*311 (Sheet
8885sheetRow (SheetRow
8886headerVa (MVa
8887cellColor "49152,49152,49152"
8888fontColor "0,0,0"
8889font "Tahoma,10,0"
8890)
8891cellVa (MVa
8892cellColor "65535,65535,65535"
8893fontColor "0,0,0"
8894font "Tahoma,10,0"
8895)
8896groupVa (MVa
8897cellColor "39936,56832,65280"
8898fontColor "0,0,0"
8899font "Tahoma,10,0"
8900)
8901emptyMRCItem *312 (MRCItem
8902litem &250
8903pos 48
8904dimension 20
8905)
8906uid 1191,0
8907optionalChildren [
8908*313 (MRCItem
8909litem &251
8910pos 0
8911dimension 20
8912uid 1192,0
8913)
8914*314 (MRCItem
8915litem &252
8916pos 1
8917dimension 23
8918uid 1193,0
8919)
8920*315 (MRCItem
8921litem &253
8922pos 2
8923hidden 1
8924dimension 20
8925uid 1194,0
8926)
8927*316 (MRCItem
8928litem &263
8929pos 0
8930dimension 20
8931uid 1064,0
8932)
8933*317 (MRCItem
8934litem &264
8935pos 1
8936dimension 20
8937uid 1066,0
8938)
8939*318 (MRCItem
8940litem &265
8941pos 2
8942dimension 20
8943uid 1068,0
8944)
8945*319 (MRCItem
8946litem &266
8947pos 3
8948dimension 20
8949uid 1072,0
8950)
8951*320 (MRCItem
8952litem &267
8953pos 4
8954dimension 20
8955uid 1074,0
8956)
8957*321 (MRCItem
8958litem &268
8959pos 5
8960dimension 20
8961uid 1076,0
8962)
8963*322 (MRCItem
8964litem &269
8965pos 6
8966dimension 20
8967uid 1078,0
8968)
8969*323 (MRCItem
8970litem &270
8971pos 7
8972dimension 20
8973uid 1080,0
8974)
8975*324 (MRCItem
8976litem &271
8977pos 8
8978dimension 20
8979uid 1082,0
8980)
8981*325 (MRCItem
8982litem &272
8983pos 9
8984dimension 20
8985uid 1086,0
8986)
8987*326 (MRCItem
8988litem &273
8989pos 10
8990dimension 20
8991uid 1088,0
8992)
8993*327 (MRCItem
8994litem &274
8995pos 11
8996dimension 20
8997uid 1090,0
8998)
8999*328 (MRCItem
9000litem &275
9001pos 12
9002dimension 20
9003uid 1092,0
9004)
9005*329 (MRCItem
9006litem &276
9007pos 13
9008dimension 20
9009uid 1094,0
9010)
9011*330 (MRCItem
9012litem &277
9013pos 14
9014dimension 20
9015uid 1096,0
9016)
9017*331 (MRCItem
9018litem &278
9019pos 15
9020dimension 20
9021uid 1098,0
9022)
9023*332 (MRCItem
9024litem &279
9025pos 16
9026dimension 20
9027uid 1100,0
9028)
9029*333 (MRCItem
9030litem &280
9031pos 17
9032dimension 20
9033uid 1102,0
9034)
9035*334 (MRCItem
9036litem &281
9037pos 18
9038dimension 20
9039uid 1104,0
9040)
9041*335 (MRCItem
9042litem &282
9043pos 19
9044dimension 20
9045uid 1106,0
9046)
9047*336 (MRCItem
9048litem &283
9049pos 20
9050dimension 20
9051uid 1108,0
9052)
9053*337 (MRCItem
9054litem &284
9055pos 21
9056dimension 20
9057uid 1110,0
9058)
9059*338 (MRCItem
9060litem &285
9061pos 22
9062dimension 20
9063uid 1112,0
9064)
9065*339 (MRCItem
9066litem &286
9067pos 23
9068dimension 20
9069uid 1114,0
9070)
9071*340 (MRCItem
9072litem &287
9073pos 24
9074dimension 20
9075uid 1116,0
9076)
9077*341 (MRCItem
9078litem &288
9079pos 25
9080dimension 20
9081uid 1118,0
9082)
9083*342 (MRCItem
9084litem &289
9085pos 26
9086dimension 20
9087uid 1120,0
9088)
9089*343 (MRCItem
9090litem &290
9091pos 27
9092dimension 20
9093uid 1122,0
9094)
9095*344 (MRCItem
9096litem &291
9097pos 28
9098dimension 20
9099uid 1124,0
9100)
9101*345 (MRCItem
9102litem &292
9103pos 29
9104dimension 20
9105uid 1126,0
9106)
9107*346 (MRCItem
9108litem &293
9109pos 30
9110dimension 20
9111uid 1128,0
9112)
9113*347 (MRCItem
9114litem &294
9115pos 31
9116dimension 20
9117uid 1130,0
9118)
9119*348 (MRCItem
9120litem &295
9121pos 32
9122dimension 20
9123uid 1132,0
9124)
9125*349 (MRCItem
9126litem &296
9127pos 33
9128dimension 20
9129uid 1134,0
9130)
9131*350 (MRCItem
9132litem &297
9133pos 34
9134dimension 20
9135uid 1136,0
9136)
9137*351 (MRCItem
9138litem &298
9139pos 35
9140dimension 20
9141uid 1138,0
9142)
9143*352 (MRCItem
9144litem &299
9145pos 36
9146dimension 20
9147uid 1140,0
9148)
9149*353 (MRCItem
9150litem &300
9151pos 37
9152dimension 20
9153uid 1142,0
9154)
9155*354 (MRCItem
9156litem &301
9157pos 38
9158dimension 20
9159uid 1144,0
9160)
9161*355 (MRCItem
9162litem &302
9163pos 39
9164dimension 20
9165uid 1146,0
9166)
9167*356 (MRCItem
9168litem &303
9169pos 40
9170dimension 20
9171uid 1148,0
9172)
9173*357 (MRCItem
9174litem &304
9175pos 41
9176dimension 20
9177uid 1150,0
9178)
9179*358 (MRCItem
9180litem &305
9181pos 42
9182dimension 20
9183uid 1152,0
9184)
9185*359 (MRCItem
9186litem &306
9187pos 43
9188dimension 20
9189uid 1154,0
9190)
9191*360 (MRCItem
9192litem &307
9193pos 44
9194dimension 20
9195uid 1156,0
9196)
9197*361 (MRCItem
9198litem &308
9199pos 45
9200dimension 20
9201uid 1158,0
9202)
9203*362 (MRCItem
9204litem &309
9205pos 46
9206dimension 20
9207uid 1160,0
9208)
9209*363 (MRCItem
9210litem &310
9211pos 47
9212dimension 20
9213uid 1162,0
9214)
9215]
9216)
9217sheetCol (SheetCol
9218propVa (MVa
9219cellColor "0,49152,49152"
9220fontColor "0,0,0"
9221font "Tahoma,10,0"
9222textAngle 90
9223)
9224uid 1195,0
9225optionalChildren [
9226*364 (MRCItem
9227litem &254
9228pos 0
9229dimension 20
9230uid 1196,0
9231)
9232*365 (MRCItem
9233litem &256
9234pos 1
9235dimension 50
9236uid 1197,0
9237)
9238*366 (MRCItem
9239litem &257
9240pos 2
9241dimension 100
9242uid 1198,0
9243)
9244*367 (MRCItem
9245litem &258
9246pos 3
9247dimension 50
9248uid 1199,0
9249)
9250*368 (MRCItem
9251litem &259
9252pos 4
9253dimension 100
9254uid 1200,0
9255)
9256*369 (MRCItem
9257litem &260
9258pos 5
9259dimension 100
9260uid 1201,0
9261)
9262*370 (MRCItem
9263litem &261
9264pos 6
9265dimension 50
9266uid 1202,0
9267)
9268*371 (MRCItem
9269litem &262
9270pos 7
9271dimension 80
9272uid 1203,0
9273)
9274]
9275)
9276fixedCol 4
9277fixedRow 2
9278name "Ports"
9279uid 1190,0
9280vaOverrides [
9281]
9282)
9283]
9284)
9285uid 1175,0
9286)
9287genericsCommonDM (CommonDM
9288ldm (LogicalDM
9289emptyRow *372 (LEmptyRow
9290)
9291uid 1205,0
9292optionalChildren [
9293*373 (RefLabelRowHdr
9294)
9295*374 (TitleRowHdr
9296)
9297*375 (FilterRowHdr
9298)
9299*376 (RefLabelColHdr
9300tm "RefLabelColHdrMgr"
9301)
9302*377 (RowExpandColHdr
9303tm "RowExpandColHdrMgr"
9304)
9305*378 (GroupColHdr
9306tm "GroupColHdrMgr"
9307)
9308*379 (NameColHdr
9309tm "GenericNameColHdrMgr"
9310)
9311*380 (TypeColHdr
9312tm "GenericTypeColHdrMgr"
9313)
9314*381 (InitColHdr
9315tm "GenericValueColHdrMgr"
9316)
9317*382 (PragmaColHdr
9318tm "GenericPragmaColHdrMgr"
9319)
9320*383 (EolColHdr
9321tm "GenericEolColHdrMgr"
9322)
9323*384 (LogGeneric
9324generic (GiElement
9325name "RAM_ADDR_WIDTH"
9326type "integer"
9327value "14"
9328)
9329uid 9,0
9330)
9331]
9332)
9333pdm (PhysicalDM
9334displayShortBounds 1
9335editShortBounds 1
9336uid 1217,0
9337optionalChildren [
9338*385 (Sheet
9339sheetRow (SheetRow
9340headerVa (MVa
9341cellColor "49152,49152,49152"
9342fontColor "0,0,0"
9343font "Tahoma,10,0"
9344)
9345cellVa (MVa
9346cellColor "65535,65535,65535"
9347fontColor "0,0,0"
9348font "Tahoma,10,0"
9349)
9350groupVa (MVa
9351cellColor "39936,56832,65280"
9352fontColor "0,0,0"
9353font "Tahoma,10,0"
9354)
9355emptyMRCItem *386 (MRCItem
9356litem &372
9357pos 1
9358dimension 20
9359)
9360uid 1219,0
9361optionalChildren [
9362*387 (MRCItem
9363litem &373
9364pos 0
9365dimension 20
9366uid 1220,0
9367)
9368*388 (MRCItem
9369litem &374
9370pos 1
9371dimension 23
9372uid 1221,0
9373)
9374*389 (MRCItem
9375litem &375
9376pos 2
9377hidden 1
9378dimension 20
9379uid 1222,0
9380)
9381*390 (MRCItem
9382litem &384
9383pos 0
9384dimension 20
9385uid 10,0
9386)
9387]
9388)
9389sheetCol (SheetCol
9390propVa (MVa
9391cellColor "0,49152,49152"
9392fontColor "0,0,0"
9393font "Tahoma,10,0"
9394textAngle 90
9395)
9396uid 1223,0
9397optionalChildren [
9398*391 (MRCItem
9399litem &376
9400pos 0
9401dimension 20
9402uid 1224,0
9403)
9404*392 (MRCItem
9405litem &378
9406pos 1
9407dimension 50
9408uid 1225,0
9409)
9410*393 (MRCItem
9411litem &379
9412pos 2
9413dimension 100
9414uid 1226,0
9415)
9416*394 (MRCItem
9417litem &380
9418pos 3
9419dimension 100
9420uid 1227,0
9421)
9422*395 (MRCItem
9423litem &381
9424pos 4
9425dimension 50
9426uid 1228,0
9427)
9428*396 (MRCItem
9429litem &382
9430pos 5
9431dimension 50
9432uid 1229,0
9433)
9434*397 (MRCItem
9435litem &383
9436pos 6
9437dimension 80
9438uid 1230,0
9439)
9440]
9441)
9442fixedCol 3
9443fixedRow 2
9444name "Ports"
9445uid 1218,0
9446vaOverrides [
9447]
9448)
9449]
9450)
9451uid 1204,0
9452type 1
9453)
9454activeModelName "BlockDiag"
9455)
Note: See TracBrowser for help on using the repository browser.