1 | DocumentHdrVersion "1.1"
|
---|
2 | Header (DocumentHdr
|
---|
3 | version 2
|
---|
4 | dmPackageRefs [
|
---|
5 | (DmPackageRef
|
---|
6 | library "ieee"
|
---|
7 | unitName "std_logic_1164"
|
---|
8 | )
|
---|
9 | (DmPackageRef
|
---|
10 | library "ieee"
|
---|
11 | unitName "std_logic_arith"
|
---|
12 | )
|
---|
13 | (DmPackageRef
|
---|
14 | library "IEEE"
|
---|
15 | unitName "NUMERIC_STD"
|
---|
16 | )
|
---|
17 | (DmPackageRef
|
---|
18 | library "ieee"
|
---|
19 | unitName "std_logic_unsigned"
|
---|
20 | )
|
---|
21 | (DmPackageRef
|
---|
22 | library "FACT_FAD_lib"
|
---|
23 | unitName "fad_definitions"
|
---|
24 | )
|
---|
25 | ]
|
---|
26 | instances [
|
---|
27 | (Instance
|
---|
28 | name "I_board_main"
|
---|
29 | duLibraryName "FACT_FAD_lib"
|
---|
30 | duName "FAD_main"
|
---|
31 | elements [
|
---|
32 | (GiElement
|
---|
33 | name "RAMADDRWIDTH64b"
|
---|
34 | type "integer"
|
---|
35 | value "LOG2_OF_RAM_SIZE_64B"
|
---|
36 | )
|
---|
37 | ]
|
---|
38 | mwi 0
|
---|
39 | uid 17195,0
|
---|
40 | )
|
---|
41 | (Instance
|
---|
42 | name "I0"
|
---|
43 | duLibraryName "moduleware"
|
---|
44 | duName "assignment"
|
---|
45 | elements [
|
---|
46 | ]
|
---|
47 | mwi 1
|
---|
48 | uid 19427,0
|
---|
49 | )
|
---|
50 | (Instance
|
---|
51 | name "I1"
|
---|
52 | duLibraryName "moduleware"
|
---|
53 | duName "assignment"
|
---|
54 | elements [
|
---|
55 | ]
|
---|
56 | mwi 1
|
---|
57 | uid 19438,0
|
---|
58 | )
|
---|
59 | (Instance
|
---|
60 | name "I2"
|
---|
61 | duLibraryName "moduleware"
|
---|
62 | duName "assignment"
|
---|
63 | elements [
|
---|
64 | ]
|
---|
65 | mwi 1
|
---|
66 | uid 19469,0
|
---|
67 | )
|
---|
68 | (Instance
|
---|
69 | name "I3"
|
---|
70 | duLibraryName "moduleware"
|
---|
71 | duName "assignment"
|
---|
72 | elements [
|
---|
73 | ]
|
---|
74 | mwi 1
|
---|
75 | uid 19500,0
|
---|
76 | )
|
---|
77 | (Instance
|
---|
78 | name "I4"
|
---|
79 | duLibraryName "moduleware"
|
---|
80 | duName "assignment"
|
---|
81 | elements [
|
---|
82 | ]
|
---|
83 | mwi 1
|
---|
84 | uid 20188,0
|
---|
85 | )
|
---|
86 | ]
|
---|
87 | embeddedInstances [
|
---|
88 | (EmbeddedInstance
|
---|
89 | name "eb_ID"
|
---|
90 | number "4"
|
---|
91 | )
|
---|
92 | (EmbeddedInstance
|
---|
93 | name "ADC_CLK"
|
---|
94 | number "2"
|
---|
95 | )
|
---|
96 | (EmbeddedInstance
|
---|
97 | name "ADC_DATA"
|
---|
98 | number "3"
|
---|
99 | )
|
---|
100 | (EmbeddedInstance
|
---|
101 | name "eb3"
|
---|
102 | number "9"
|
---|
103 | )
|
---|
104 | (EmbeddedInstance
|
---|
105 | name "SRCLK"
|
---|
106 | number "1"
|
---|
107 | )
|
---|
108 | ]
|
---|
109 | libraryRefs [
|
---|
110 | "ieee"
|
---|
111 | "FACT_FAD_lib"
|
---|
112 | ]
|
---|
113 | )
|
---|
114 | version "29.1"
|
---|
115 | appVersion "2009.1 (Build 12)"
|
---|
116 | noEmbeddedEditors 1
|
---|
117 | model (BlockDiag
|
---|
118 | VExpander (VariableExpander
|
---|
119 | vvMap [
|
---|
120 | (vvPair
|
---|
121 | variable "HDLDir"
|
---|
122 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
|
---|
123 | )
|
---|
124 | (vvPair
|
---|
125 | variable "HDSDir"
|
---|
126 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
|
---|
127 | )
|
---|
128 | (vvPair
|
---|
129 | variable "SideDataDesignDir"
|
---|
130 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
|
---|
131 | )
|
---|
132 | (vvPair
|
---|
133 | variable "SideDataUserDir"
|
---|
134 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
|
---|
135 | )
|
---|
136 | (vvPair
|
---|
137 | variable "SourceDir"
|
---|
138 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
|
---|
139 | )
|
---|
140 | (vvPair
|
---|
141 | variable "appl"
|
---|
142 | value "HDL Designer"
|
---|
143 | )
|
---|
144 | (vvPair
|
---|
145 | variable "arch_name"
|
---|
146 | value "struct"
|
---|
147 | )
|
---|
148 | (vvPair
|
---|
149 | variable "config"
|
---|
150 | value "%(unit)_%(view)_config"
|
---|
151 | )
|
---|
152 | (vvPair
|
---|
153 | variable "d"
|
---|
154 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
|
---|
155 | )
|
---|
156 | (vvPair
|
---|
157 | variable "d_logical"
|
---|
158 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
|
---|
159 | )
|
---|
160 | (vvPair
|
---|
161 | variable "date"
|
---|
162 | value "01.07.2011"
|
---|
163 | )
|
---|
164 | (vvPair
|
---|
165 | variable "day"
|
---|
166 | value "Fr"
|
---|
167 | )
|
---|
168 | (vvPair
|
---|
169 | variable "day_long"
|
---|
170 | value "Freitag"
|
---|
171 | )
|
---|
172 | (vvPair
|
---|
173 | variable "dd"
|
---|
174 | value "01"
|
---|
175 | )
|
---|
176 | (vvPair
|
---|
177 | variable "entity_name"
|
---|
178 | value "FAD_Board"
|
---|
179 | )
|
---|
180 | (vvPair
|
---|
181 | variable "ext"
|
---|
182 | value "<TBD>"
|
---|
183 | )
|
---|
184 | (vvPair
|
---|
185 | variable "f"
|
---|
186 | value "struct.bd"
|
---|
187 | )
|
---|
188 | (vvPair
|
---|
189 | variable "f_logical"
|
---|
190 | value "struct.bd"
|
---|
191 | )
|
---|
192 | (vvPair
|
---|
193 | variable "f_noext"
|
---|
194 | value "struct"
|
---|
195 | )
|
---|
196 | (vvPair
|
---|
197 | variable "group"
|
---|
198 | value "UNKNOWN"
|
---|
199 | )
|
---|
200 | (vvPair
|
---|
201 | variable "host"
|
---|
202 | value "IHP110"
|
---|
203 | )
|
---|
204 | (vvPair
|
---|
205 | variable "language"
|
---|
206 | value "VHDL"
|
---|
207 | )
|
---|
208 | (vvPair
|
---|
209 | variable "library"
|
---|
210 | value "FACT_FAD_lib"
|
---|
211 | )
|
---|
212 | (vvPair
|
---|
213 | variable "library_downstream_HdsLintPlugin"
|
---|
214 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
|
---|
215 | )
|
---|
216 | (vvPair
|
---|
217 | variable "library_downstream_ISEPARInvoke"
|
---|
218 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
219 | )
|
---|
220 | (vvPair
|
---|
221 | variable "library_downstream_ImpactInvoke"
|
---|
222 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
223 | )
|
---|
224 | (vvPair
|
---|
225 | variable "library_downstream_ModelSimCompiler"
|
---|
226 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
|
---|
227 | )
|
---|
228 | (vvPair
|
---|
229 | variable "library_downstream_XSTDataPrep"
|
---|
230 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
231 | )
|
---|
232 | (vvPair
|
---|
233 | variable "mm"
|
---|
234 | value "07"
|
---|
235 | )
|
---|
236 | (vvPair
|
---|
237 | variable "module_name"
|
---|
238 | value "FAD_Board"
|
---|
239 | )
|
---|
240 | (vvPair
|
---|
241 | variable "month"
|
---|
242 | value "Jul"
|
---|
243 | )
|
---|
244 | (vvPair
|
---|
245 | variable "month_long"
|
---|
246 | value "Juli"
|
---|
247 | )
|
---|
248 | (vvPair
|
---|
249 | variable "p"
|
---|
250 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
|
---|
251 | )
|
---|
252 | (vvPair
|
---|
253 | variable "p_logical"
|
---|
254 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
|
---|
255 | )
|
---|
256 | (vvPair
|
---|
257 | variable "package_name"
|
---|
258 | value "<Undefined Variable>"
|
---|
259 | )
|
---|
260 | (vvPair
|
---|
261 | variable "project_name"
|
---|
262 | value "FACT_FAD"
|
---|
263 | )
|
---|
264 | (vvPair
|
---|
265 | variable "series"
|
---|
266 | value "HDL Designer Series"
|
---|
267 | )
|
---|
268 | (vvPair
|
---|
269 | variable "task_DesignCompilerPath"
|
---|
270 | value "<TBD>"
|
---|
271 | )
|
---|
272 | (vvPair
|
---|
273 | variable "task_LeonardoPath"
|
---|
274 | value "<TBD>"
|
---|
275 | )
|
---|
276 | (vvPair
|
---|
277 | variable "task_ModelSimPath"
|
---|
278 | value "D:\\modeltech_6.5e\\win32"
|
---|
279 | )
|
---|
280 | (vvPair
|
---|
281 | variable "task_NC-SimPath"
|
---|
282 | value "<TBD>"
|
---|
283 | )
|
---|
284 | (vvPair
|
---|
285 | variable "task_PrecisionRTLPath"
|
---|
286 | value "<TBD>"
|
---|
287 | )
|
---|
288 | (vvPair
|
---|
289 | variable "task_QuestaSimPath"
|
---|
290 | value "<TBD>"
|
---|
291 | )
|
---|
292 | (vvPair
|
---|
293 | variable "task_VCSPath"
|
---|
294 | value "<TBD>"
|
---|
295 | )
|
---|
296 | (vvPair
|
---|
297 | variable "this_ext"
|
---|
298 | value "bd"
|
---|
299 | )
|
---|
300 | (vvPair
|
---|
301 | variable "this_file"
|
---|
302 | value "struct"
|
---|
303 | )
|
---|
304 | (vvPair
|
---|
305 | variable "this_file_logical"
|
---|
306 | value "struct"
|
---|
307 | )
|
---|
308 | (vvPair
|
---|
309 | variable "time"
|
---|
310 | value "15:43:11"
|
---|
311 | )
|
---|
312 | (vvPair
|
---|
313 | variable "unit"
|
---|
314 | value "FAD_Board"
|
---|
315 | )
|
---|
316 | (vvPair
|
---|
317 | variable "user"
|
---|
318 | value "daqct3"
|
---|
319 | )
|
---|
320 | (vvPair
|
---|
321 | variable "version"
|
---|
322 | value "2009.1 (Build 12)"
|
---|
323 | )
|
---|
324 | (vvPair
|
---|
325 | variable "view"
|
---|
326 | value "struct"
|
---|
327 | )
|
---|
328 | (vvPair
|
---|
329 | variable "year"
|
---|
330 | value "2011"
|
---|
331 | )
|
---|
332 | (vvPair
|
---|
333 | variable "yy"
|
---|
334 | value "11"
|
---|
335 | )
|
---|
336 | ]
|
---|
337 | )
|
---|
338 | LanguageMgr "VhdlLangMgr"
|
---|
339 | uid 52,0
|
---|
340 | optionalChildren [
|
---|
341 | *1 (Grouping
|
---|
342 | uid 9,0
|
---|
343 | optionalChildren [
|
---|
344 | *2 (CommentText
|
---|
345 | uid 11,0
|
---|
346 | shape (Rectangle
|
---|
347 | uid 12,0
|
---|
348 | sl 0
|
---|
349 | va (VaSet
|
---|
350 | vasetType 1
|
---|
351 | fg "65280,65280,46080"
|
---|
352 | )
|
---|
353 | xt "99000,4000,116000,5000"
|
---|
354 | )
|
---|
355 | oxt "18000,70000,35000,71000"
|
---|
356 | text (MLText
|
---|
357 | uid 13,0
|
---|
358 | va (VaSet
|
---|
359 | fg "0,0,32768"
|
---|
360 | bg "0,0,32768"
|
---|
361 | )
|
---|
362 | xt "99200,4000,109900,5000"
|
---|
363 | st "
|
---|
364 | by %user on %dd %month %year
|
---|
365 | "
|
---|
366 | tm "CommentText"
|
---|
367 | wrapOption 3
|
---|
368 | visibleHeight 1000
|
---|
369 | visibleWidth 17000
|
---|
370 | )
|
---|
371 | position 1
|
---|
372 | ignorePrefs 1
|
---|
373 | titleBlock 1
|
---|
374 | )
|
---|
375 | *3 (CommentText
|
---|
376 | uid 14,0
|
---|
377 | shape (Rectangle
|
---|
378 | uid 15,0
|
---|
379 | sl 0
|
---|
380 | va (VaSet
|
---|
381 | vasetType 1
|
---|
382 | fg "65280,65280,46080"
|
---|
383 | )
|
---|
384 | xt "116000,0,120000,1000"
|
---|
385 | )
|
---|
386 | oxt "35000,66000,39000,67000"
|
---|
387 | text (MLText
|
---|
388 | uid 16,0
|
---|
389 | va (VaSet
|
---|
390 | fg "0,0,32768"
|
---|
391 | bg "0,0,32768"
|
---|
392 | )
|
---|
393 | xt "116200,0,119500,1000"
|
---|
394 | st "
|
---|
395 | Project:
|
---|
396 | "
|
---|
397 | tm "CommentText"
|
---|
398 | wrapOption 3
|
---|
399 | visibleHeight 1000
|
---|
400 | visibleWidth 4000
|
---|
401 | )
|
---|
402 | position 1
|
---|
403 | ignorePrefs 1
|
---|
404 | titleBlock 1
|
---|
405 | )
|
---|
406 | *4 (CommentText
|
---|
407 | uid 17,0
|
---|
408 | shape (Rectangle
|
---|
409 | uid 18,0
|
---|
410 | sl 0
|
---|
411 | va (VaSet
|
---|
412 | vasetType 1
|
---|
413 | fg "65280,65280,46080"
|
---|
414 | )
|
---|
415 | xt "99000,2000,116000,3000"
|
---|
416 | )
|
---|
417 | oxt "18000,68000,35000,69000"
|
---|
418 | text (MLText
|
---|
419 | uid 19,0
|
---|
420 | va (VaSet
|
---|
421 | fg "0,0,32768"
|
---|
422 | bg "0,0,32768"
|
---|
423 | )
|
---|
424 | xt "99200,2000,110100,3000"
|
---|
425 | st "
|
---|
426 | <enter diagram title here>
|
---|
427 | "
|
---|
428 | tm "CommentText"
|
---|
429 | wrapOption 3
|
---|
430 | visibleHeight 1000
|
---|
431 | visibleWidth 17000
|
---|
432 | )
|
---|
433 | position 1
|
---|
434 | ignorePrefs 1
|
---|
435 | titleBlock 1
|
---|
436 | )
|
---|
437 | *5 (CommentText
|
---|
438 | uid 20,0
|
---|
439 | shape (Rectangle
|
---|
440 | uid 21,0
|
---|
441 | sl 0
|
---|
442 | va (VaSet
|
---|
443 | vasetType 1
|
---|
444 | fg "65280,65280,46080"
|
---|
445 | )
|
---|
446 | xt "95000,2000,99000,3000"
|
---|
447 | )
|
---|
448 | oxt "14000,68000,18000,69000"
|
---|
449 | text (MLText
|
---|
450 | uid 22,0
|
---|
451 | va (VaSet
|
---|
452 | fg "0,0,32768"
|
---|
453 | bg "0,0,32768"
|
---|
454 | )
|
---|
455 | xt "95200,2000,97500,3000"
|
---|
456 | st "
|
---|
457 | Title:
|
---|
458 | "
|
---|
459 | tm "CommentText"
|
---|
460 | wrapOption 3
|
---|
461 | visibleHeight 1000
|
---|
462 | visibleWidth 4000
|
---|
463 | )
|
---|
464 | position 1
|
---|
465 | ignorePrefs 1
|
---|
466 | titleBlock 1
|
---|
467 | )
|
---|
468 | *6 (CommentText
|
---|
469 | uid 23,0
|
---|
470 | shape (Rectangle
|
---|
471 | uid 24,0
|
---|
472 | sl 0
|
---|
473 | va (VaSet
|
---|
474 | vasetType 1
|
---|
475 | fg "65280,65280,46080"
|
---|
476 | )
|
---|
477 | xt "116000,1000,136000,5000"
|
---|
478 | )
|
---|
479 | oxt "35000,67000,55000,71000"
|
---|
480 | text (MLText
|
---|
481 | uid 25,0
|
---|
482 | va (VaSet
|
---|
483 | fg "0,0,32768"
|
---|
484 | bg "0,0,32768"
|
---|
485 | )
|
---|
486 | xt "116200,1200,126000,2200"
|
---|
487 | st "
|
---|
488 | <enter comments here>
|
---|
489 | "
|
---|
490 | tm "CommentText"
|
---|
491 | wrapOption 3
|
---|
492 | visibleHeight 4000
|
---|
493 | visibleWidth 20000
|
---|
494 | )
|
---|
495 | ignorePrefs 1
|
---|
496 | titleBlock 1
|
---|
497 | )
|
---|
498 | *7 (CommentText
|
---|
499 | uid 26,0
|
---|
500 | shape (Rectangle
|
---|
501 | uid 27,0
|
---|
502 | sl 0
|
---|
503 | va (VaSet
|
---|
504 | vasetType 1
|
---|
505 | fg "65280,65280,46080"
|
---|
506 | )
|
---|
507 | xt "120000,0,136000,1000"
|
---|
508 | )
|
---|
509 | oxt "39000,66000,55000,67000"
|
---|
510 | text (MLText
|
---|
511 | uid 28,0
|
---|
512 | va (VaSet
|
---|
513 | fg "0,0,32768"
|
---|
514 | bg "0,0,32768"
|
---|
515 | )
|
---|
516 | xt "120200,0,124900,1000"
|
---|
517 | st "
|
---|
518 | %project_name
|
---|
519 | "
|
---|
520 | tm "CommentText"
|
---|
521 | wrapOption 3
|
---|
522 | visibleHeight 1000
|
---|
523 | visibleWidth 16000
|
---|
524 | )
|
---|
525 | position 1
|
---|
526 | ignorePrefs 1
|
---|
527 | titleBlock 1
|
---|
528 | )
|
---|
529 | *8 (CommentText
|
---|
530 | uid 29,0
|
---|
531 | shape (Rectangle
|
---|
532 | uid 30,0
|
---|
533 | sl 0
|
---|
534 | va (VaSet
|
---|
535 | vasetType 1
|
---|
536 | fg "65280,65280,46080"
|
---|
537 | )
|
---|
538 | xt "95000,0,116000,2000"
|
---|
539 | )
|
---|
540 | oxt "14000,66000,35000,68000"
|
---|
541 | text (MLText
|
---|
542 | uid 31,0
|
---|
543 | va (VaSet
|
---|
544 | fg "32768,0,0"
|
---|
545 | )
|
---|
546 | xt "102450,0,108550,2000"
|
---|
547 | st "
|
---|
548 | TU Dortmund
|
---|
549 | Physik / EE
|
---|
550 | "
|
---|
551 | ju 0
|
---|
552 | tm "CommentText"
|
---|
553 | wrapOption 3
|
---|
554 | visibleHeight 2000
|
---|
555 | visibleWidth 21000
|
---|
556 | )
|
---|
557 | position 1
|
---|
558 | ignorePrefs 1
|
---|
559 | titleBlock 1
|
---|
560 | )
|
---|
561 | *9 (CommentText
|
---|
562 | uid 32,0
|
---|
563 | shape (Rectangle
|
---|
564 | uid 33,0
|
---|
565 | sl 0
|
---|
566 | va (VaSet
|
---|
567 | vasetType 1
|
---|
568 | fg "65280,65280,46080"
|
---|
569 | )
|
---|
570 | xt "95000,3000,99000,4000"
|
---|
571 | )
|
---|
572 | oxt "14000,69000,18000,70000"
|
---|
573 | text (MLText
|
---|
574 | uid 34,0
|
---|
575 | va (VaSet
|
---|
576 | fg "0,0,32768"
|
---|
577 | bg "0,0,32768"
|
---|
578 | )
|
---|
579 | xt "95200,3000,97500,4000"
|
---|
580 | st "
|
---|
581 | Path:
|
---|
582 | "
|
---|
583 | tm "CommentText"
|
---|
584 | wrapOption 3
|
---|
585 | visibleHeight 1000
|
---|
586 | visibleWidth 4000
|
---|
587 | )
|
---|
588 | position 1
|
---|
589 | ignorePrefs 1
|
---|
590 | titleBlock 1
|
---|
591 | )
|
---|
592 | *10 (CommentText
|
---|
593 | uid 35,0
|
---|
594 | shape (Rectangle
|
---|
595 | uid 36,0
|
---|
596 | sl 0
|
---|
597 | va (VaSet
|
---|
598 | vasetType 1
|
---|
599 | fg "65280,65280,46080"
|
---|
600 | )
|
---|
601 | xt "95000,4000,99000,5000"
|
---|
602 | )
|
---|
603 | oxt "14000,70000,18000,71000"
|
---|
604 | text (MLText
|
---|
605 | uid 37,0
|
---|
606 | va (VaSet
|
---|
607 | fg "0,0,32768"
|
---|
608 | bg "0,0,32768"
|
---|
609 | )
|
---|
610 | xt "95200,4000,98300,5000"
|
---|
611 | st "
|
---|
612 | Edited:
|
---|
613 | "
|
---|
614 | tm "CommentText"
|
---|
615 | wrapOption 3
|
---|
616 | visibleHeight 1000
|
---|
617 | visibleWidth 4000
|
---|
618 | )
|
---|
619 | position 1
|
---|
620 | ignorePrefs 1
|
---|
621 | titleBlock 1
|
---|
622 | )
|
---|
623 | *11 (CommentText
|
---|
624 | uid 38,0
|
---|
625 | shape (Rectangle
|
---|
626 | uid 39,0
|
---|
627 | sl 0
|
---|
628 | va (VaSet
|
---|
629 | vasetType 1
|
---|
630 | fg "65280,65280,46080"
|
---|
631 | )
|
---|
632 | xt "99000,3000,116000,4000"
|
---|
633 | )
|
---|
634 | oxt "18000,69000,35000,70000"
|
---|
635 | text (MLText
|
---|
636 | uid 40,0
|
---|
637 | va (VaSet
|
---|
638 | fg "0,0,32768"
|
---|
639 | bg "0,0,32768"
|
---|
640 | )
|
---|
641 | xt "99200,3000,113900,4000"
|
---|
642 | st "
|
---|
643 | %library/%unit/%view
|
---|
644 | "
|
---|
645 | tm "CommentText"
|
---|
646 | wrapOption 3
|
---|
647 | visibleHeight 1000
|
---|
648 | visibleWidth 17000
|
---|
649 | )
|
---|
650 | position 1
|
---|
651 | ignorePrefs 1
|
---|
652 | titleBlock 1
|
---|
653 | )
|
---|
654 | ]
|
---|
655 | shape (GroupingShape
|
---|
656 | uid 10,0
|
---|
657 | va (VaSet
|
---|
658 | vasetType 1
|
---|
659 | fg "65535,65535,65535"
|
---|
660 | lineStyle 2
|
---|
661 | lineWidth 2
|
---|
662 | )
|
---|
663 | xt "95000,0,136000,5000"
|
---|
664 | )
|
---|
665 | oxt "14000,66000,55000,71000"
|
---|
666 | )
|
---|
667 | *12 (PortIoIn
|
---|
668 | uid 231,0
|
---|
669 | shape (CompositeShape
|
---|
670 | uid 232,0
|
---|
671 | va (VaSet
|
---|
672 | vasetType 1
|
---|
673 | fg "0,0,32768"
|
---|
674 | )
|
---|
675 | optionalChildren [
|
---|
676 | (Pentagon
|
---|
677 | uid 233,0
|
---|
678 | sl 0
|
---|
679 | ro 270
|
---|
680 | xt "20000,77625,21500,78375"
|
---|
681 | )
|
---|
682 | (Line
|
---|
683 | uid 234,0
|
---|
684 | sl 0
|
---|
685 | ro 270
|
---|
686 | xt "21500,78000,22000,78000"
|
---|
687 | pts [
|
---|
688 | "21500,78000"
|
---|
689 | "22000,78000"
|
---|
690 | ]
|
---|
691 | )
|
---|
692 | ]
|
---|
693 | )
|
---|
694 | stc 0
|
---|
695 | sf 1
|
---|
696 | tg (WTG
|
---|
697 | uid 235,0
|
---|
698 | ps "PortIoTextPlaceStrategy"
|
---|
699 | stg "STSignalDisplayStrategy"
|
---|
700 | f (Text
|
---|
701 | uid 236,0
|
---|
702 | va (VaSet
|
---|
703 | )
|
---|
704 | xt "17100,77500,19000,78500"
|
---|
705 | st "TRG"
|
---|
706 | ju 2
|
---|
707 | blo "19000,78300"
|
---|
708 | tm "WireNameMgr"
|
---|
709 | )
|
---|
710 | )
|
---|
711 | )
|
---|
712 | *13 (PortIoIn
|
---|
713 | uid 251,0
|
---|
714 | shape (CompositeShape
|
---|
715 | uid 252,0
|
---|
716 | va (VaSet
|
---|
717 | vasetType 1
|
---|
718 | fg "0,0,32768"
|
---|
719 | )
|
---|
720 | optionalChildren [
|
---|
721 | (Pentagon
|
---|
722 | uid 253,0
|
---|
723 | sl 0
|
---|
724 | ro 270
|
---|
725 | xt "19000,67625,20500,68375"
|
---|
726 | )
|
---|
727 | (Line
|
---|
728 | uid 254,0
|
---|
729 | sl 0
|
---|
730 | ro 270
|
---|
731 | xt "20500,68000,21000,68000"
|
---|
732 | pts [
|
---|
733 | "20500,68000"
|
---|
734 | "21000,68000"
|
---|
735 | ]
|
---|
736 | )
|
---|
737 | ]
|
---|
738 | )
|
---|
739 | stc 0
|
---|
740 | sf 1
|
---|
741 | tg (WTG
|
---|
742 | uid 255,0
|
---|
743 | ps "PortIoTextPlaceStrategy"
|
---|
744 | stg "STSignalDisplayStrategy"
|
---|
745 | f (Text
|
---|
746 | uid 256,0
|
---|
747 | va (VaSet
|
---|
748 | )
|
---|
749 | xt "14800,67500,18000,68500"
|
---|
750 | st "X_50M"
|
---|
751 | ju 2
|
---|
752 | blo "18000,68300"
|
---|
753 | tm "WireNameMgr"
|
---|
754 | )
|
---|
755 | )
|
---|
756 | )
|
---|
757 | *14 (HdlText
|
---|
758 | uid 265,0
|
---|
759 | optionalChildren [
|
---|
760 | *15 (EmbeddedText
|
---|
761 | uid 271,0
|
---|
762 | commentText (CommentText
|
---|
763 | uid 272,0
|
---|
764 | ps "CenterOffsetStrategy"
|
---|
765 | shape (Rectangle
|
---|
766 | uid 273,0
|
---|
767 | va (VaSet
|
---|
768 | vasetType 1
|
---|
769 | fg "65535,65535,65535"
|
---|
770 | lineColor "0,0,32768"
|
---|
771 | lineWidth 2
|
---|
772 | )
|
---|
773 | xt "32000,83000,44000,87000"
|
---|
774 | )
|
---|
775 | oxt "12000,27000,20000,31000"
|
---|
776 | text (MLText
|
---|
777 | uid 274,0
|
---|
778 | va (VaSet
|
---|
779 | )
|
---|
780 | xt "32200,83200,43900,87200"
|
---|
781 | st "
|
---|
782 | -- hard-wired IDs
|
---|
783 | board_id <= LINE(5 downto 2);
|
---|
784 | crate_id <= LINE(1 downto 0);
|
---|
785 | "
|
---|
786 | tm "HdlTextMgr"
|
---|
787 | wrapOption 3
|
---|
788 | visibleHeight 4000
|
---|
789 | visibleWidth 12000
|
---|
790 | )
|
---|
791 | )
|
---|
792 | )
|
---|
793 | ]
|
---|
794 | shape (Rectangle
|
---|
795 | uid 266,0
|
---|
796 | va (VaSet
|
---|
797 | vasetType 1
|
---|
798 | fg "65535,65535,37120"
|
---|
799 | lineColor "0,0,32768"
|
---|
800 | lineWidth 2
|
---|
801 | )
|
---|
802 | xt "28000,80000,32000,83000"
|
---|
803 | )
|
---|
804 | oxt "12000,23000,17000,27000"
|
---|
805 | ttg (MlTextGroup
|
---|
806 | uid 267,0
|
---|
807 | ps "CenterOffsetStrategy"
|
---|
808 | stg "VerticalLayoutStrategy"
|
---|
809 | textVec [
|
---|
810 | *16 (Text
|
---|
811 | uid 268,0
|
---|
812 | va (VaSet
|
---|
813 | font "Arial,8,1"
|
---|
814 | )
|
---|
815 | xt "29150,80000,31650,81000"
|
---|
816 | st "eb_ID"
|
---|
817 | blo "29150,80800"
|
---|
818 | tm "HdlTextNameMgr"
|
---|
819 | )
|
---|
820 | *17 (Text
|
---|
821 | uid 269,0
|
---|
822 | va (VaSet
|
---|
823 | font "Arial,8,1"
|
---|
824 | )
|
---|
825 | xt "29150,81000,29950,82000"
|
---|
826 | st "4"
|
---|
827 | blo "29150,81800"
|
---|
828 | tm "HdlTextNumberMgr"
|
---|
829 | )
|
---|
830 | ]
|
---|
831 | )
|
---|
832 | viewicon (ZoomableIcon
|
---|
833 | uid 270,0
|
---|
834 | sl 0
|
---|
835 | va (VaSet
|
---|
836 | vasetType 1
|
---|
837 | fg "49152,49152,49152"
|
---|
838 | )
|
---|
839 | xt "28250,81250,29750,82750"
|
---|
840 | iconName "TextFile.png"
|
---|
841 | iconMaskName "TextFile.msk"
|
---|
842 | ftype 21
|
---|
843 | )
|
---|
844 | viewiconposition 0
|
---|
845 | )
|
---|
846 | *18 (Net
|
---|
847 | uid 275,0
|
---|
848 | decl (Decl
|
---|
849 | n "board_id"
|
---|
850 | t "std_logic_vector"
|
---|
851 | b "(3 downto 0)"
|
---|
852 | preAdd 0
|
---|
853 | posAdd 0
|
---|
854 | o 60
|
---|
855 | suid 5,0
|
---|
856 | )
|
---|
857 | declText (MLText
|
---|
858 | uid 276,0
|
---|
859 | va (VaSet
|
---|
860 | font "Courier New,8,0"
|
---|
861 | )
|
---|
862 | xt "39000,52800,70500,53600"
|
---|
863 | st "SIGNAL board_id : std_logic_vector(3 downto 0)
|
---|
864 | "
|
---|
865 | )
|
---|
866 | )
|
---|
867 | *19 (Net
|
---|
868 | uid 283,0
|
---|
869 | decl (Decl
|
---|
870 | n "crate_id"
|
---|
871 | t "std_logic_vector"
|
---|
872 | b "(1 downto 0)"
|
---|
873 | o 62
|
---|
874 | suid 6,0
|
---|
875 | )
|
---|
876 | declText (MLText
|
---|
877 | uid 284,0
|
---|
878 | va (VaSet
|
---|
879 | font "Courier New,8,0"
|
---|
880 | )
|
---|
881 | xt "39000,54400,70500,55200"
|
---|
882 | st "SIGNAL crate_id : std_logic_vector(1 downto 0)
|
---|
883 | "
|
---|
884 | )
|
---|
885 | )
|
---|
886 | *20 (PortIoOut
|
---|
887 | uid 472,0
|
---|
888 | shape (CompositeShape
|
---|
889 | uid 473,0
|
---|
890 | va (VaSet
|
---|
891 | vasetType 1
|
---|
892 | fg "0,0,32768"
|
---|
893 | )
|
---|
894 | optionalChildren [
|
---|
895 | (Pentagon
|
---|
896 | uid 474,0
|
---|
897 | sl 0
|
---|
898 | ro 270
|
---|
899 | xt "90500,70625,92000,71375"
|
---|
900 | )
|
---|
901 | (Line
|
---|
902 | uid 475,0
|
---|
903 | sl 0
|
---|
904 | ro 270
|
---|
905 | xt "90000,71000,90500,71000"
|
---|
906 | pts [
|
---|
907 | "90000,71000"
|
---|
908 | "90500,71000"
|
---|
909 | ]
|
---|
910 | )
|
---|
911 | ]
|
---|
912 | )
|
---|
913 | stc 0
|
---|
914 | sf 1
|
---|
915 | tg (WTG
|
---|
916 | uid 476,0
|
---|
917 | ps "PortIoTextPlaceStrategy"
|
---|
918 | stg "STSignalDisplayStrategy"
|
---|
919 | f (Text
|
---|
920 | uid 477,0
|
---|
921 | va (VaSet
|
---|
922 | )
|
---|
923 | xt "93000,70500,96400,71500"
|
---|
924 | st "W_RES"
|
---|
925 | blo "93000,71300"
|
---|
926 | tm "WireNameMgr"
|
---|
927 | )
|
---|
928 | )
|
---|
929 | )
|
---|
930 | *21 (PortIoOut
|
---|
931 | uid 478,0
|
---|
932 | shape (CompositeShape
|
---|
933 | uid 479,0
|
---|
934 | va (VaSet
|
---|
935 | vasetType 1
|
---|
936 | fg "0,0,32768"
|
---|
937 | )
|
---|
938 | optionalChildren [
|
---|
939 | (Pentagon
|
---|
940 | uid 480,0
|
---|
941 | sl 0
|
---|
942 | ro 270
|
---|
943 | xt "90500,67625,92000,68375"
|
---|
944 | )
|
---|
945 | (Line
|
---|
946 | uid 481,0
|
---|
947 | sl 0
|
---|
948 | ro 270
|
---|
949 | xt "90000,68000,90500,68000"
|
---|
950 | pts [
|
---|
951 | "90000,68000"
|
---|
952 | "90500,68000"
|
---|
953 | ]
|
---|
954 | )
|
---|
955 | ]
|
---|
956 | )
|
---|
957 | stc 0
|
---|
958 | sf 1
|
---|
959 | tg (WTG
|
---|
960 | uid 482,0
|
---|
961 | ps "PortIoTextPlaceStrategy"
|
---|
962 | stg "STSignalDisplayStrategy"
|
---|
963 | f (Text
|
---|
964 | uid 483,0
|
---|
965 | va (VaSet
|
---|
966 | )
|
---|
967 | xt "93000,67500,95400,68500"
|
---|
968 | st "W_A"
|
---|
969 | blo "93000,68300"
|
---|
970 | tm "WireNameMgr"
|
---|
971 | )
|
---|
972 | )
|
---|
973 | )
|
---|
974 | *22 (PortIoOut
|
---|
975 | uid 484,0
|
---|
976 | shape (CompositeShape
|
---|
977 | uid 485,0
|
---|
978 | va (VaSet
|
---|
979 | vasetType 1
|
---|
980 | fg "0,0,32768"
|
---|
981 | )
|
---|
982 | optionalChildren [
|
---|
983 | (Pentagon
|
---|
984 | uid 486,0
|
---|
985 | sl 0
|
---|
986 | ro 270
|
---|
987 | xt "90500,74625,92000,75375"
|
---|
988 | )
|
---|
989 | (Line
|
---|
990 | uid 487,0
|
---|
991 | sl 0
|
---|
992 | ro 270
|
---|
993 | xt "90000,75000,90500,75000"
|
---|
994 | pts [
|
---|
995 | "90000,75000"
|
---|
996 | "90500,75000"
|
---|
997 | ]
|
---|
998 | )
|
---|
999 | ]
|
---|
1000 | )
|
---|
1001 | stc 0
|
---|
1002 | sf 1
|
---|
1003 | tg (WTG
|
---|
1004 | uid 488,0
|
---|
1005 | ps "PortIoTextPlaceStrategy"
|
---|
1006 | stg "STSignalDisplayStrategy"
|
---|
1007 | f (Text
|
---|
1008 | uid 489,0
|
---|
1009 | va (VaSet
|
---|
1010 | )
|
---|
1011 | xt "93000,74500,95900,75500"
|
---|
1012 | st "W_CS"
|
---|
1013 | blo "93000,75300"
|
---|
1014 | tm "WireNameMgr"
|
---|
1015 | )
|
---|
1016 | )
|
---|
1017 | )
|
---|
1018 | *23 (PortIoInOut
|
---|
1019 | uid 490,0
|
---|
1020 | shape (CompositeShape
|
---|
1021 | uid 491,0
|
---|
1022 | va (VaSet
|
---|
1023 | vasetType 1
|
---|
1024 | fg "0,0,32768"
|
---|
1025 | )
|
---|
1026 | optionalChildren [
|
---|
1027 | (Hexagon
|
---|
1028 | uid 492,0
|
---|
1029 | sl 0
|
---|
1030 | xt "90500,68625,92000,69375"
|
---|
1031 | )
|
---|
1032 | (Line
|
---|
1033 | uid 493,0
|
---|
1034 | sl 0
|
---|
1035 | xt "90000,69000,90500,69000"
|
---|
1036 | pts [
|
---|
1037 | "90000,69000"
|
---|
1038 | "90500,69000"
|
---|
1039 | ]
|
---|
1040 | )
|
---|
1041 | ]
|
---|
1042 | )
|
---|
1043 | stc 0
|
---|
1044 | sf 1
|
---|
1045 | tg (WTG
|
---|
1046 | uid 494,0
|
---|
1047 | ps "PortIoTextPlaceStrategy"
|
---|
1048 | stg "STSignalDisplayStrategy"
|
---|
1049 | f (Text
|
---|
1050 | uid 495,0
|
---|
1051 | va (VaSet
|
---|
1052 | )
|
---|
1053 | xt "93000,68500,95400,69500"
|
---|
1054 | st "W_D"
|
---|
1055 | blo "93000,69300"
|
---|
1056 | tm "WireNameMgr"
|
---|
1057 | )
|
---|
1058 | )
|
---|
1059 | )
|
---|
1060 | *24 (PortIoIn
|
---|
1061 | uid 496,0
|
---|
1062 | shape (CompositeShape
|
---|
1063 | uid 497,0
|
---|
1064 | va (VaSet
|
---|
1065 | vasetType 1
|
---|
1066 | fg "0,0,32768"
|
---|
1067 | )
|
---|
1068 | optionalChildren [
|
---|
1069 | (Pentagon
|
---|
1070 | uid 498,0
|
---|
1071 | sl 0
|
---|
1072 | ro 90
|
---|
1073 | xt "90500,73625,92000,74375"
|
---|
1074 | )
|
---|
1075 | (Line
|
---|
1076 | uid 499,0
|
---|
1077 | sl 0
|
---|
1078 | ro 90
|
---|
1079 | xt "90000,74000,90500,74000"
|
---|
1080 | pts [
|
---|
1081 | "90500,74000"
|
---|
1082 | "90000,74000"
|
---|
1083 | ]
|
---|
1084 | )
|
---|
1085 | ]
|
---|
1086 | )
|
---|
1087 | stc 0
|
---|
1088 | sf 1
|
---|
1089 | tg (WTG
|
---|
1090 | uid 500,0
|
---|
1091 | ps "PortIoTextPlaceStrategy"
|
---|
1092 | stg "STSignalDisplayStrategy"
|
---|
1093 | f (Text
|
---|
1094 | uid 501,0
|
---|
1095 | va (VaSet
|
---|
1096 | )
|
---|
1097 | xt "93000,73500,96300,74500"
|
---|
1098 | st "W_INT"
|
---|
1099 | blo "93000,74300"
|
---|
1100 | tm "WireNameMgr"
|
---|
1101 | )
|
---|
1102 | )
|
---|
1103 | )
|
---|
1104 | *25 (PortIoOut
|
---|
1105 | uid 502,0
|
---|
1106 | shape (CompositeShape
|
---|
1107 | uid 503,0
|
---|
1108 | va (VaSet
|
---|
1109 | vasetType 1
|
---|
1110 | fg "0,0,32768"
|
---|
1111 | )
|
---|
1112 | optionalChildren [
|
---|
1113 | (Pentagon
|
---|
1114 | uid 504,0
|
---|
1115 | sl 0
|
---|
1116 | ro 270
|
---|
1117 | xt "90500,71625,92000,72375"
|
---|
1118 | )
|
---|
1119 | (Line
|
---|
1120 | uid 505,0
|
---|
1121 | sl 0
|
---|
1122 | ro 270
|
---|
1123 | xt "90000,72000,90500,72000"
|
---|
1124 | pts [
|
---|
1125 | "90000,72000"
|
---|
1126 | "90500,72000"
|
---|
1127 | ]
|
---|
1128 | )
|
---|
1129 | ]
|
---|
1130 | )
|
---|
1131 | stc 0
|
---|
1132 | sf 1
|
---|
1133 | tg (WTG
|
---|
1134 | uid 506,0
|
---|
1135 | ps "PortIoTextPlaceStrategy"
|
---|
1136 | stg "STSignalDisplayStrategy"
|
---|
1137 | f (Text
|
---|
1138 | uid 507,0
|
---|
1139 | va (VaSet
|
---|
1140 | )
|
---|
1141 | xt "93000,71500,95900,72500"
|
---|
1142 | st "W_RD"
|
---|
1143 | blo "93000,72300"
|
---|
1144 | tm "WireNameMgr"
|
---|
1145 | )
|
---|
1146 | )
|
---|
1147 | )
|
---|
1148 | *26 (PortIoOut
|
---|
1149 | uid 508,0
|
---|
1150 | shape (CompositeShape
|
---|
1151 | uid 509,0
|
---|
1152 | va (VaSet
|
---|
1153 | vasetType 1
|
---|
1154 | fg "0,0,32768"
|
---|
1155 | )
|
---|
1156 | optionalChildren [
|
---|
1157 | (Pentagon
|
---|
1158 | uid 510,0
|
---|
1159 | sl 0
|
---|
1160 | ro 270
|
---|
1161 | xt "90500,72625,92000,73375"
|
---|
1162 | )
|
---|
1163 | (Line
|
---|
1164 | uid 511,0
|
---|
1165 | sl 0
|
---|
1166 | ro 270
|
---|
1167 | xt "90000,73000,90500,73000"
|
---|
1168 | pts [
|
---|
1169 | "90000,73000"
|
---|
1170 | "90500,73000"
|
---|
1171 | ]
|
---|
1172 | )
|
---|
1173 | ]
|
---|
1174 | )
|
---|
1175 | stc 0
|
---|
1176 | sf 1
|
---|
1177 | tg (WTG
|
---|
1178 | uid 512,0
|
---|
1179 | ps "PortIoTextPlaceStrategy"
|
---|
1180 | stg "STSignalDisplayStrategy"
|
---|
1181 | f (Text
|
---|
1182 | uid 513,0
|
---|
1183 | va (VaSet
|
---|
1184 | )
|
---|
1185 | xt "93000,72500,96200,73500"
|
---|
1186 | st "W_WR"
|
---|
1187 | blo "93000,73300"
|
---|
1188 | tm "WireNameMgr"
|
---|
1189 | )
|
---|
1190 | )
|
---|
1191 | )
|
---|
1192 | *27 (Net
|
---|
1193 | uid 1465,0
|
---|
1194 | decl (Decl
|
---|
1195 | n "adc_data_array"
|
---|
1196 | t "adc_data_array_type"
|
---|
1197 | o 57
|
---|
1198 | suid 29,0
|
---|
1199 | )
|
---|
1200 | declText (MLText
|
---|
1201 | uid 1466,0
|
---|
1202 | va (VaSet
|
---|
1203 | font "Courier New,8,0"
|
---|
1204 | )
|
---|
1205 | xt "39000,50400,66000,51200"
|
---|
1206 | st "SIGNAL adc_data_array : adc_data_array_type
|
---|
1207 | "
|
---|
1208 | )
|
---|
1209 | )
|
---|
1210 | *28 (Net
|
---|
1211 | uid 2407,0
|
---|
1212 | decl (Decl
|
---|
1213 | n "RSRLOAD"
|
---|
1214 | t "std_logic"
|
---|
1215 | o 40
|
---|
1216 | suid 57,0
|
---|
1217 | i "'0'"
|
---|
1218 | )
|
---|
1219 | declText (MLText
|
---|
1220 | uid 2408,0
|
---|
1221 | va (VaSet
|
---|
1222 | font "Courier New,8,0"
|
---|
1223 | )
|
---|
1224 | xt "39000,35000,71500,35800"
|
---|
1225 | st "RSRLOAD : std_logic := '0'
|
---|
1226 | "
|
---|
1227 | )
|
---|
1228 | )
|
---|
1229 | *29 (PortIoOut
|
---|
1230 | uid 2415,0
|
---|
1231 | shape (CompositeShape
|
---|
1232 | uid 2416,0
|
---|
1233 | va (VaSet
|
---|
1234 | vasetType 1
|
---|
1235 | fg "0,0,32768"
|
---|
1236 | )
|
---|
1237 | optionalChildren [
|
---|
1238 | (Pentagon
|
---|
1239 | uid 2417,0
|
---|
1240 | sl 0
|
---|
1241 | ro 90
|
---|
1242 | xt "19000,110625,20500,111375"
|
---|
1243 | )
|
---|
1244 | (Line
|
---|
1245 | uid 2418,0
|
---|
1246 | sl 0
|
---|
1247 | ro 90
|
---|
1248 | xt "20500,111000,21000,111000"
|
---|
1249 | pts [
|
---|
1250 | "21000,111000"
|
---|
1251 | "20500,111000"
|
---|
1252 | ]
|
---|
1253 | )
|
---|
1254 | ]
|
---|
1255 | )
|
---|
1256 | stc 0
|
---|
1257 | sf 1
|
---|
1258 | tg (WTG
|
---|
1259 | uid 2419,0
|
---|
1260 | ps "PortIoTextPlaceStrategy"
|
---|
1261 | stg "STSignalDisplayStrategy"
|
---|
1262 | f (Text
|
---|
1263 | uid 2420,0
|
---|
1264 | va (VaSet
|
---|
1265 | )
|
---|
1266 | xt "13800,110500,18000,111500"
|
---|
1267 | st "RSRLOAD"
|
---|
1268 | ju 2
|
---|
1269 | blo "18000,111300"
|
---|
1270 | tm "WireNameMgr"
|
---|
1271 | )
|
---|
1272 | )
|
---|
1273 | )
|
---|
1274 | *30 (Net
|
---|
1275 | uid 3025,0
|
---|
1276 | decl (Decl
|
---|
1277 | n "DAC_CS"
|
---|
1278 | t "std_logic"
|
---|
1279 | o 22
|
---|
1280 | suid 66,0
|
---|
1281 | )
|
---|
1282 | declText (MLText
|
---|
1283 | uid 3026,0
|
---|
1284 | va (VaSet
|
---|
1285 | font "Courier New,8,0"
|
---|
1286 | )
|
---|
1287 | xt "39000,20600,57000,21400"
|
---|
1288 | st "DAC_CS : std_logic
|
---|
1289 | "
|
---|
1290 | )
|
---|
1291 | )
|
---|
1292 | *31 (PortIoOut
|
---|
1293 | uid 3153,0
|
---|
1294 | shape (CompositeShape
|
---|
1295 | uid 3154,0
|
---|
1296 | va (VaSet
|
---|
1297 | vasetType 1
|
---|
1298 | fg "0,0,32768"
|
---|
1299 | )
|
---|
1300 | optionalChildren [
|
---|
1301 | (Pentagon
|
---|
1302 | uid 3155,0
|
---|
1303 | sl 0
|
---|
1304 | ro 90
|
---|
1305 | xt "-3000,70625,-1500,71375"
|
---|
1306 | )
|
---|
1307 | (Line
|
---|
1308 | uid 3156,0
|
---|
1309 | sl 0
|
---|
1310 | ro 90
|
---|
1311 | xt "-1500,71000,-1000,71000"
|
---|
1312 | pts [
|
---|
1313 | "-1000,71000"
|
---|
1314 | "-1500,71000"
|
---|
1315 | ]
|
---|
1316 | )
|
---|
1317 | ]
|
---|
1318 | )
|
---|
1319 | stc 0
|
---|
1320 | sf 1
|
---|
1321 | tg (WTG
|
---|
1322 | uid 3157,0
|
---|
1323 | ps "PortIoTextPlaceStrategy"
|
---|
1324 | stg "STSignalDisplayStrategy"
|
---|
1325 | f (Text
|
---|
1326 | uid 3158,0
|
---|
1327 | va (VaSet
|
---|
1328 | )
|
---|
1329 | xt "-6900,70500,-4000,71500"
|
---|
1330 | st "A_CLK"
|
---|
1331 | ju 2
|
---|
1332 | blo "-4000,71300"
|
---|
1333 | tm "WireNameMgr"
|
---|
1334 | )
|
---|
1335 | )
|
---|
1336 | )
|
---|
1337 | *32 (Net
|
---|
1338 | uid 3216,0
|
---|
1339 | decl (Decl
|
---|
1340 | n "X_50M"
|
---|
1341 | t "STD_LOGIC"
|
---|
1342 | preAdd 0
|
---|
1343 | posAdd 0
|
---|
1344 | o 17
|
---|
1345 | suid 67,0
|
---|
1346 | )
|
---|
1347 | declText (MLText
|
---|
1348 | uid 3217,0
|
---|
1349 | va (VaSet
|
---|
1350 | font "Courier New,8,0"
|
---|
1351 | )
|
---|
1352 | xt "39000,16600,57000,17400"
|
---|
1353 | st "X_50M : STD_LOGIC
|
---|
1354 | "
|
---|
1355 | )
|
---|
1356 | )
|
---|
1357 | *33 (Net
|
---|
1358 | uid 3226,0
|
---|
1359 | decl (Decl
|
---|
1360 | n "TRG"
|
---|
1361 | t "STD_LOGIC"
|
---|
1362 | o 15
|
---|
1363 | suid 68,0
|
---|
1364 | )
|
---|
1365 | declText (MLText
|
---|
1366 | uid 3227,0
|
---|
1367 | va (VaSet
|
---|
1368 | font "Courier New,8,0"
|
---|
1369 | )
|
---|
1370 | xt "39000,15000,57000,15800"
|
---|
1371 | st "TRG : STD_LOGIC
|
---|
1372 | "
|
---|
1373 | )
|
---|
1374 | )
|
---|
1375 | *34 (HdlText
|
---|
1376 | uid 3248,0
|
---|
1377 | optionalChildren [
|
---|
1378 | *35 (EmbeddedText
|
---|
1379 | uid 3254,0
|
---|
1380 | commentText (CommentText
|
---|
1381 | uid 3255,0
|
---|
1382 | ps "CenterOffsetStrategy"
|
---|
1383 | shape (Rectangle
|
---|
1384 | uid 3256,0
|
---|
1385 | va (VaSet
|
---|
1386 | vasetType 1
|
---|
1387 | fg "65535,65535,65535"
|
---|
1388 | lineColor "0,0,32768"
|
---|
1389 | lineWidth 2
|
---|
1390 | )
|
---|
1391 | xt "-14000,63000,12000,69000"
|
---|
1392 | )
|
---|
1393 | oxt "0,0,18000,5000"
|
---|
1394 | text (MLText
|
---|
1395 | uid 3257,0
|
---|
1396 | va (VaSet
|
---|
1397 | )
|
---|
1398 | xt "-13800,63200,-9000,69200"
|
---|
1399 | st "
|
---|
1400 | A_CLK <= (
|
---|
1401 | ADC_CLK,
|
---|
1402 | ADC_CLK,
|
---|
1403 | ADC_CLK,
|
---|
1404 | ADC_CLK
|
---|
1405 | );
|
---|
1406 |
|
---|
1407 | "
|
---|
1408 | tm "HdlTextMgr"
|
---|
1409 | wrapOption 3
|
---|
1410 | visibleHeight 6000
|
---|
1411 | visibleWidth 26000
|
---|
1412 | )
|
---|
1413 | )
|
---|
1414 | )
|
---|
1415 | ]
|
---|
1416 | shape (Rectangle
|
---|
1417 | uid 3249,0
|
---|
1418 | va (VaSet
|
---|
1419 | vasetType 1
|
---|
1420 | fg "65535,65535,37120"
|
---|
1421 | lineColor "0,0,32768"
|
---|
1422 | lineWidth 2
|
---|
1423 | )
|
---|
1424 | xt "5000,70000,13000,73000"
|
---|
1425 | )
|
---|
1426 | oxt "0,0,8000,10000"
|
---|
1427 | ttg (MlTextGroup
|
---|
1428 | uid 3250,0
|
---|
1429 | ps "CenterOffsetStrategy"
|
---|
1430 | stg "VerticalLayoutStrategy"
|
---|
1431 | textVec [
|
---|
1432 | *36 (Text
|
---|
1433 | uid 3251,0
|
---|
1434 | va (VaSet
|
---|
1435 | font "Arial,8,1"
|
---|
1436 | )
|
---|
1437 | xt "6150,70000,10350,71000"
|
---|
1438 | st "ADC_CLK"
|
---|
1439 | blo "6150,70800"
|
---|
1440 | tm "HdlTextNameMgr"
|
---|
1441 | )
|
---|
1442 | *37 (Text
|
---|
1443 | uid 3252,0
|
---|
1444 | va (VaSet
|
---|
1445 | font "Arial,8,1"
|
---|
1446 | )
|
---|
1447 | xt "6150,71000,6950,72000"
|
---|
1448 | st "2"
|
---|
1449 | blo "6150,71800"
|
---|
1450 | tm "HdlTextNumberMgr"
|
---|
1451 | )
|
---|
1452 | ]
|
---|
1453 | )
|
---|
1454 | viewicon (ZoomableIcon
|
---|
1455 | uid 3253,0
|
---|
1456 | sl 0
|
---|
1457 | va (VaSet
|
---|
1458 | vasetType 1
|
---|
1459 | fg "49152,49152,49152"
|
---|
1460 | )
|
---|
1461 | xt "5250,71250,6750,72750"
|
---|
1462 | iconName "TextFile.png"
|
---|
1463 | iconMaskName "TextFile.msk"
|
---|
1464 | ftype 21
|
---|
1465 | )
|
---|
1466 | viewiconposition 0
|
---|
1467 | )
|
---|
1468 | *38 (Net
|
---|
1469 | uid 3266,0
|
---|
1470 | decl (Decl
|
---|
1471 | n "A_CLK"
|
---|
1472 | t "std_logic_vector"
|
---|
1473 | b "(3 downto 0)"
|
---|
1474 | o 21
|
---|
1475 | suid 71,0
|
---|
1476 | )
|
---|
1477 | declText (MLText
|
---|
1478 | uid 3267,0
|
---|
1479 | va (VaSet
|
---|
1480 | font "Courier New,8,0"
|
---|
1481 | )
|
---|
1482 | xt "39000,19800,67000,20600"
|
---|
1483 | st "A_CLK : std_logic_vector(3 downto 0)
|
---|
1484 | "
|
---|
1485 | )
|
---|
1486 | )
|
---|
1487 | *39 (PortIoOut
|
---|
1488 | uid 3284,0
|
---|
1489 | shape (CompositeShape
|
---|
1490 | uid 3285,0
|
---|
1491 | va (VaSet
|
---|
1492 | vasetType 1
|
---|
1493 | fg "0,0,32768"
|
---|
1494 | )
|
---|
1495 | optionalChildren [
|
---|
1496 | (Pentagon
|
---|
1497 | uid 3286,0
|
---|
1498 | sl 0
|
---|
1499 | ro 90
|
---|
1500 | xt "19000,89625,20500,90375"
|
---|
1501 | )
|
---|
1502 | (Line
|
---|
1503 | uid 3287,0
|
---|
1504 | sl 0
|
---|
1505 | ro 90
|
---|
1506 | xt "20500,90000,21000,90000"
|
---|
1507 | pts [
|
---|
1508 | "21000,90000"
|
---|
1509 | "20500,90000"
|
---|
1510 | ]
|
---|
1511 | )
|
---|
1512 | ]
|
---|
1513 | )
|
---|
1514 | stc 0
|
---|
1515 | sf 1
|
---|
1516 | tg (WTG
|
---|
1517 | uid 3288,0
|
---|
1518 | ps "PortIoTextPlaceStrategy"
|
---|
1519 | stg "STSignalDisplayStrategy"
|
---|
1520 | f (Text
|
---|
1521 | uid 3289,0
|
---|
1522 | va (VaSet
|
---|
1523 | )
|
---|
1524 | xt "14300,89500,18000,90500"
|
---|
1525 | st "OE_ADC"
|
---|
1526 | ju 2
|
---|
1527 | blo "18000,90300"
|
---|
1528 | tm "WireNameMgr"
|
---|
1529 | )
|
---|
1530 | )
|
---|
1531 | )
|
---|
1532 | *40 (Net
|
---|
1533 | uid 3290,0
|
---|
1534 | decl (Decl
|
---|
1535 | n "OE_ADC"
|
---|
1536 | t "STD_LOGIC"
|
---|
1537 | preAdd 0
|
---|
1538 | posAdd 0
|
---|
1539 | o 32
|
---|
1540 | suid 73,0
|
---|
1541 | )
|
---|
1542 | declText (MLText
|
---|
1543 | uid 3291,0
|
---|
1544 | va (VaSet
|
---|
1545 | font "Courier New,8,0"
|
---|
1546 | )
|
---|
1547 | xt "39000,28600,57000,29400"
|
---|
1548 | st "OE_ADC : STD_LOGIC
|
---|
1549 | "
|
---|
1550 | )
|
---|
1551 | )
|
---|
1552 | *41 (PortIoIn
|
---|
1553 | uid 3292,0
|
---|
1554 | shape (CompositeShape
|
---|
1555 | uid 3293,0
|
---|
1556 | va (VaSet
|
---|
1557 | vasetType 1
|
---|
1558 | fg "0,0,32768"
|
---|
1559 | )
|
---|
1560 | optionalChildren [
|
---|
1561 | (Pentagon
|
---|
1562 | uid 3294,0
|
---|
1563 | sl 0
|
---|
1564 | ro 270
|
---|
1565 | xt "19000,88625,20500,89375"
|
---|
1566 | )
|
---|
1567 | (Line
|
---|
1568 | uid 3295,0
|
---|
1569 | sl 0
|
---|
1570 | ro 270
|
---|
1571 | xt "20500,89000,21000,89000"
|
---|
1572 | pts [
|
---|
1573 | "20500,89000"
|
---|
1574 | "21000,89000"
|
---|
1575 | ]
|
---|
1576 | )
|
---|
1577 | ]
|
---|
1578 | )
|
---|
1579 | stc 0
|
---|
1580 | sf 1
|
---|
1581 | tg (WTG
|
---|
1582 | uid 3296,0
|
---|
1583 | ps "PortIoTextPlaceStrategy"
|
---|
1584 | stg "STSignalDisplayStrategy"
|
---|
1585 | f (Text
|
---|
1586 | uid 3297,0
|
---|
1587 | va (VaSet
|
---|
1588 | )
|
---|
1589 | xt "14900,88500,18000,89500"
|
---|
1590 | st "A_OTR"
|
---|
1591 | ju 2
|
---|
1592 | blo "18000,89300"
|
---|
1593 | tm "WireNameMgr"
|
---|
1594 | )
|
---|
1595 | )
|
---|
1596 | )
|
---|
1597 | *42 (Net
|
---|
1598 | uid 3298,0
|
---|
1599 | decl (Decl
|
---|
1600 | n "A_OTR"
|
---|
1601 | t "std_logic_vector"
|
---|
1602 | b "(3 DOWNTO 0)"
|
---|
1603 | o 5
|
---|
1604 | suid 74,0
|
---|
1605 | )
|
---|
1606 | declText (MLText
|
---|
1607 | uid 3299,0
|
---|
1608 | va (VaSet
|
---|
1609 | font "Courier New,8,0"
|
---|
1610 | )
|
---|
1611 | xt "39000,7000,67000,7800"
|
---|
1612 | st "A_OTR : std_logic_vector(3 DOWNTO 0)
|
---|
1613 | "
|
---|
1614 | )
|
---|
1615 | )
|
---|
1616 | *43 (HdlText
|
---|
1617 | uid 3300,0
|
---|
1618 | optionalChildren [
|
---|
1619 | *44 (EmbeddedText
|
---|
1620 | uid 3306,0
|
---|
1621 | commentText (CommentText
|
---|
1622 | uid 3307,0
|
---|
1623 | ps "CenterOffsetStrategy"
|
---|
1624 | shape (Rectangle
|
---|
1625 | uid 3308,0
|
---|
1626 | va (VaSet
|
---|
1627 | vasetType 1
|
---|
1628 | fg "65535,65535,65535"
|
---|
1629 | lineColor "0,0,32768"
|
---|
1630 | lineWidth 2
|
---|
1631 | )
|
---|
1632 | xt "19000,99000,38000,101000"
|
---|
1633 | )
|
---|
1634 | oxt "0,0,18000,5000"
|
---|
1635 | text (MLText
|
---|
1636 | uid 3309,0
|
---|
1637 | va (VaSet
|
---|
1638 | )
|
---|
1639 | xt "19200,99200,35900,101200"
|
---|
1640 | st "
|
---|
1641 | adc_data_array <= ( A0_D, A1_D, A2_D, A3_D );
|
---|
1642 |
|
---|
1643 | "
|
---|
1644 | tm "HdlTextMgr"
|
---|
1645 | wrapOption 3
|
---|
1646 | visibleHeight 2000
|
---|
1647 | visibleWidth 19000
|
---|
1648 | )
|
---|
1649 | )
|
---|
1650 | )
|
---|
1651 | ]
|
---|
1652 | shape (Rectangle
|
---|
1653 | uid 3301,0
|
---|
1654 | va (VaSet
|
---|
1655 | vasetType 1
|
---|
1656 | fg "65535,65535,37120"
|
---|
1657 | lineColor "0,0,32768"
|
---|
1658 | lineWidth 2
|
---|
1659 | )
|
---|
1660 | xt "24000,94000,30000,99000"
|
---|
1661 | )
|
---|
1662 | oxt "0,0,8000,10000"
|
---|
1663 | ttg (MlTextGroup
|
---|
1664 | uid 3302,0
|
---|
1665 | ps "CenterOffsetStrategy"
|
---|
1666 | stg "VerticalLayoutStrategy"
|
---|
1667 | textVec [
|
---|
1668 | *45 (Text
|
---|
1669 | uid 3303,0
|
---|
1670 | va (VaSet
|
---|
1671 | font "Arial,8,1"
|
---|
1672 | )
|
---|
1673 | xt "27150,95000,31750,96000"
|
---|
1674 | st "ADC_DATA"
|
---|
1675 | blo "27150,95800"
|
---|
1676 | tm "HdlTextNameMgr"
|
---|
1677 | )
|
---|
1678 | *46 (Text
|
---|
1679 | uid 3304,0
|
---|
1680 | va (VaSet
|
---|
1681 | font "Arial,8,1"
|
---|
1682 | )
|
---|
1683 | xt "27150,96000,27950,97000"
|
---|
1684 | st "3"
|
---|
1685 | blo "27150,96800"
|
---|
1686 | tm "HdlTextNumberMgr"
|
---|
1687 | )
|
---|
1688 | ]
|
---|
1689 | )
|
---|
1690 | viewicon (ZoomableIcon
|
---|
1691 | uid 3305,0
|
---|
1692 | sl 0
|
---|
1693 | va (VaSet
|
---|
1694 | vasetType 1
|
---|
1695 | fg "49152,49152,49152"
|
---|
1696 | )
|
---|
1697 | xt "24250,97250,25750,98750"
|
---|
1698 | iconName "TextFile.png"
|
---|
1699 | iconMaskName "TextFile.msk"
|
---|
1700 | ftype 21
|
---|
1701 | )
|
---|
1702 | viewiconposition 0
|
---|
1703 | )
|
---|
1704 | *47 (PortIoIn
|
---|
1705 | uid 3310,0
|
---|
1706 | shape (CompositeShape
|
---|
1707 | uid 3311,0
|
---|
1708 | va (VaSet
|
---|
1709 | vasetType 1
|
---|
1710 | fg "0,0,32768"
|
---|
1711 | )
|
---|
1712 | optionalChildren [
|
---|
1713 | (Pentagon
|
---|
1714 | uid 3312,0
|
---|
1715 | sl 0
|
---|
1716 | ro 270
|
---|
1717 | xt "19000,94625,20500,95375"
|
---|
1718 | )
|
---|
1719 | (Line
|
---|
1720 | uid 3313,0
|
---|
1721 | sl 0
|
---|
1722 | ro 270
|
---|
1723 | xt "20500,95000,21000,95000"
|
---|
1724 | pts [
|
---|
1725 | "20500,95000"
|
---|
1726 | "21000,95000"
|
---|
1727 | ]
|
---|
1728 | )
|
---|
1729 | ]
|
---|
1730 | )
|
---|
1731 | stc 0
|
---|
1732 | sf 1
|
---|
1733 | tg (WTG
|
---|
1734 | uid 3314,0
|
---|
1735 | ps "PortIoTextPlaceStrategy"
|
---|
1736 | stg "STSignalDisplayStrategy"
|
---|
1737 | f (Text
|
---|
1738 | uid 3315,0
|
---|
1739 | va (VaSet
|
---|
1740 | )
|
---|
1741 | xt "15400,94500,18000,95500"
|
---|
1742 | st "A0_D"
|
---|
1743 | ju 2
|
---|
1744 | blo "18000,95300"
|
---|
1745 | tm "WireNameMgr"
|
---|
1746 | )
|
---|
1747 | )
|
---|
1748 | )
|
---|
1749 | *48 (PortIoIn
|
---|
1750 | uid 3332,0
|
---|
1751 | shape (CompositeShape
|
---|
1752 | uid 3333,0
|
---|
1753 | va (VaSet
|
---|
1754 | vasetType 1
|
---|
1755 | fg "0,0,32768"
|
---|
1756 | )
|
---|
1757 | optionalChildren [
|
---|
1758 | (Pentagon
|
---|
1759 | uid 3334,0
|
---|
1760 | sl 0
|
---|
1761 | ro 270
|
---|
1762 | xt "19000,95625,20500,96375"
|
---|
1763 | )
|
---|
1764 | (Line
|
---|
1765 | uid 3335,0
|
---|
1766 | sl 0
|
---|
1767 | ro 270
|
---|
1768 | xt "20500,96000,21000,96000"
|
---|
1769 | pts [
|
---|
1770 | "20500,96000"
|
---|
1771 | "21000,96000"
|
---|
1772 | ]
|
---|
1773 | )
|
---|
1774 | ]
|
---|
1775 | )
|
---|
1776 | stc 0
|
---|
1777 | sf 1
|
---|
1778 | tg (WTG
|
---|
1779 | uid 3336,0
|
---|
1780 | ps "PortIoTextPlaceStrategy"
|
---|
1781 | stg "STSignalDisplayStrategy"
|
---|
1782 | f (Text
|
---|
1783 | uid 3337,0
|
---|
1784 | va (VaSet
|
---|
1785 | )
|
---|
1786 | xt "15500,95500,18000,96500"
|
---|
1787 | st "A1_D"
|
---|
1788 | ju 2
|
---|
1789 | blo "18000,96300"
|
---|
1790 | tm "WireNameMgr"
|
---|
1791 | )
|
---|
1792 | )
|
---|
1793 | )
|
---|
1794 | *49 (PortIoIn
|
---|
1795 | uid 3338,0
|
---|
1796 | shape (CompositeShape
|
---|
1797 | uid 3339,0
|
---|
1798 | va (VaSet
|
---|
1799 | vasetType 1
|
---|
1800 | fg "0,0,32768"
|
---|
1801 | )
|
---|
1802 | optionalChildren [
|
---|
1803 | (Pentagon
|
---|
1804 | uid 3340,0
|
---|
1805 | sl 0
|
---|
1806 | ro 270
|
---|
1807 | xt "19000,96625,20500,97375"
|
---|
1808 | )
|
---|
1809 | (Line
|
---|
1810 | uid 3341,0
|
---|
1811 | sl 0
|
---|
1812 | ro 270
|
---|
1813 | xt "20500,97000,21000,97000"
|
---|
1814 | pts [
|
---|
1815 | "20500,97000"
|
---|
1816 | "21000,97000"
|
---|
1817 | ]
|
---|
1818 | )
|
---|
1819 | ]
|
---|
1820 | )
|
---|
1821 | stc 0
|
---|
1822 | sf 1
|
---|
1823 | tg (WTG
|
---|
1824 | uid 3342,0
|
---|
1825 | ps "PortIoTextPlaceStrategy"
|
---|
1826 | stg "STSignalDisplayStrategy"
|
---|
1827 | f (Text
|
---|
1828 | uid 3343,0
|
---|
1829 | va (VaSet
|
---|
1830 | )
|
---|
1831 | xt "15400,96500,18000,97500"
|
---|
1832 | st "A2_D"
|
---|
1833 | ju 2
|
---|
1834 | blo "18000,97300"
|
---|
1835 | tm "WireNameMgr"
|
---|
1836 | )
|
---|
1837 | )
|
---|
1838 | )
|
---|
1839 | *50 (PortIoIn
|
---|
1840 | uid 3344,0
|
---|
1841 | shape (CompositeShape
|
---|
1842 | uid 3345,0
|
---|
1843 | va (VaSet
|
---|
1844 | vasetType 1
|
---|
1845 | fg "0,0,32768"
|
---|
1846 | )
|
---|
1847 | optionalChildren [
|
---|
1848 | (Pentagon
|
---|
1849 | uid 3346,0
|
---|
1850 | sl 0
|
---|
1851 | ro 270
|
---|
1852 | xt "19000,97625,20500,98375"
|
---|
1853 | )
|
---|
1854 | (Line
|
---|
1855 | uid 3347,0
|
---|
1856 | sl 0
|
---|
1857 | ro 270
|
---|
1858 | xt "20500,98000,21000,98000"
|
---|
1859 | pts [
|
---|
1860 | "20500,98000"
|
---|
1861 | "21000,98000"
|
---|
1862 | ]
|
---|
1863 | )
|
---|
1864 | ]
|
---|
1865 | )
|
---|
1866 | stc 0
|
---|
1867 | sf 1
|
---|
1868 | tg (WTG
|
---|
1869 | uid 3348,0
|
---|
1870 | ps "PortIoTextPlaceStrategy"
|
---|
1871 | stg "STSignalDisplayStrategy"
|
---|
1872 | f (Text
|
---|
1873 | uid 3349,0
|
---|
1874 | va (VaSet
|
---|
1875 | )
|
---|
1876 | xt "15400,97500,18000,98500"
|
---|
1877 | st "A3_D"
|
---|
1878 | ju 2
|
---|
1879 | blo "18000,98300"
|
---|
1880 | tm "WireNameMgr"
|
---|
1881 | )
|
---|
1882 | )
|
---|
1883 | )
|
---|
1884 | *51 (Net
|
---|
1885 | uid 3374,0
|
---|
1886 | decl (Decl
|
---|
1887 | n "A0_D"
|
---|
1888 | t "std_logic_vector"
|
---|
1889 | b "(11 DOWNTO 0)"
|
---|
1890 | o 1
|
---|
1891 | suid 79,0
|
---|
1892 | )
|
---|
1893 | declText (MLText
|
---|
1894 | uid 3375,0
|
---|
1895 | va (VaSet
|
---|
1896 | font "Courier New,8,0"
|
---|
1897 | )
|
---|
1898 | xt "39000,3800,67500,4600"
|
---|
1899 | st "A0_D : std_logic_vector(11 DOWNTO 0)
|
---|
1900 | "
|
---|
1901 | )
|
---|
1902 | )
|
---|
1903 | *52 (Net
|
---|
1904 | uid 3376,0
|
---|
1905 | decl (Decl
|
---|
1906 | n "A1_D"
|
---|
1907 | t "std_logic_vector"
|
---|
1908 | b "(11 DOWNTO 0)"
|
---|
1909 | o 2
|
---|
1910 | suid 80,0
|
---|
1911 | )
|
---|
1912 | declText (MLText
|
---|
1913 | uid 3377,0
|
---|
1914 | va (VaSet
|
---|
1915 | font "Courier New,8,0"
|
---|
1916 | )
|
---|
1917 | xt "39000,4600,67500,5400"
|
---|
1918 | st "A1_D : std_logic_vector(11 DOWNTO 0)
|
---|
1919 | "
|
---|
1920 | )
|
---|
1921 | )
|
---|
1922 | *53 (Net
|
---|
1923 | uid 3378,0
|
---|
1924 | decl (Decl
|
---|
1925 | n "A2_D"
|
---|
1926 | t "std_logic_vector"
|
---|
1927 | b "(11 DOWNTO 0)"
|
---|
1928 | o 3
|
---|
1929 | suid 81,0
|
---|
1930 | )
|
---|
1931 | declText (MLText
|
---|
1932 | uid 3379,0
|
---|
1933 | va (VaSet
|
---|
1934 | font "Courier New,8,0"
|
---|
1935 | )
|
---|
1936 | xt "39000,5400,67500,6200"
|
---|
1937 | st "A2_D : std_logic_vector(11 DOWNTO 0)
|
---|
1938 | "
|
---|
1939 | )
|
---|
1940 | )
|
---|
1941 | *54 (Net
|
---|
1942 | uid 3380,0
|
---|
1943 | decl (Decl
|
---|
1944 | n "A3_D"
|
---|
1945 | t "std_logic_vector"
|
---|
1946 | b "(11 DOWNTO 0)"
|
---|
1947 | o 4
|
---|
1948 | suid 82,0
|
---|
1949 | )
|
---|
1950 | declText (MLText
|
---|
1951 | uid 3381,0
|
---|
1952 | va (VaSet
|
---|
1953 | font "Courier New,8,0"
|
---|
1954 | )
|
---|
1955 | xt "39000,6200,67500,7000"
|
---|
1956 | st "A3_D : std_logic_vector(11 DOWNTO 0)
|
---|
1957 | "
|
---|
1958 | )
|
---|
1959 | )
|
---|
1960 | *55 (PortIoIn
|
---|
1961 | uid 3476,0
|
---|
1962 | shape (CompositeShape
|
---|
1963 | uid 3477,0
|
---|
1964 | va (VaSet
|
---|
1965 | vasetType 1
|
---|
1966 | fg "0,0,32768"
|
---|
1967 | )
|
---|
1968 | optionalChildren [
|
---|
1969 | (Pentagon
|
---|
1970 | uid 3478,0
|
---|
1971 | sl 0
|
---|
1972 | ro 270
|
---|
1973 | xt "19000,104625,20500,105375"
|
---|
1974 | )
|
---|
1975 | (Line
|
---|
1976 | uid 3479,0
|
---|
1977 | sl 0
|
---|
1978 | ro 270
|
---|
1979 | xt "20500,105000,21000,105000"
|
---|
1980 | pts [
|
---|
1981 | "20500,105000"
|
---|
1982 | "21000,105000"
|
---|
1983 | ]
|
---|
1984 | )
|
---|
1985 | ]
|
---|
1986 | )
|
---|
1987 | stc 0
|
---|
1988 | sf 1
|
---|
1989 | tg (WTG
|
---|
1990 | uid 3480,0
|
---|
1991 | ps "PortIoTextPlaceStrategy"
|
---|
1992 | stg "STSignalDisplayStrategy"
|
---|
1993 | f (Text
|
---|
1994 | uid 3481,0
|
---|
1995 | va (VaSet
|
---|
1996 | )
|
---|
1997 | xt "13200,104500,18000,105500"
|
---|
1998 | st "D0_SROUT"
|
---|
1999 | ju 2
|
---|
2000 | blo "18000,105300"
|
---|
2001 | tm "WireNameMgr"
|
---|
2002 | )
|
---|
2003 | )
|
---|
2004 | )
|
---|
2005 | *56 (PortIoIn
|
---|
2006 | uid 3482,0
|
---|
2007 | shape (CompositeShape
|
---|
2008 | uid 3483,0
|
---|
2009 | va (VaSet
|
---|
2010 | vasetType 1
|
---|
2011 | fg "0,0,32768"
|
---|
2012 | )
|
---|
2013 | optionalChildren [
|
---|
2014 | (Pentagon
|
---|
2015 | uid 3484,0
|
---|
2016 | sl 0
|
---|
2017 | ro 270
|
---|
2018 | xt "19000,105625,20500,106375"
|
---|
2019 | )
|
---|
2020 | (Line
|
---|
2021 | uid 3485,0
|
---|
2022 | sl 0
|
---|
2023 | ro 270
|
---|
2024 | xt "20500,106000,21000,106000"
|
---|
2025 | pts [
|
---|
2026 | "20500,106000"
|
---|
2027 | "21000,106000"
|
---|
2028 | ]
|
---|
2029 | )
|
---|
2030 | ]
|
---|
2031 | )
|
---|
2032 | stc 0
|
---|
2033 | sf 1
|
---|
2034 | tg (WTG
|
---|
2035 | uid 3486,0
|
---|
2036 | ps "PortIoTextPlaceStrategy"
|
---|
2037 | stg "STSignalDisplayStrategy"
|
---|
2038 | f (Text
|
---|
2039 | uid 3487,0
|
---|
2040 | va (VaSet
|
---|
2041 | )
|
---|
2042 | xt "13300,105500,18000,106500"
|
---|
2043 | st "D1_SROUT"
|
---|
2044 | ju 2
|
---|
2045 | blo "18000,106300"
|
---|
2046 | tm "WireNameMgr"
|
---|
2047 | )
|
---|
2048 | )
|
---|
2049 | )
|
---|
2050 | *57 (PortIoIn
|
---|
2051 | uid 3488,0
|
---|
2052 | shape (CompositeShape
|
---|
2053 | uid 3489,0
|
---|
2054 | va (VaSet
|
---|
2055 | vasetType 1
|
---|
2056 | fg "0,0,32768"
|
---|
2057 | )
|
---|
2058 | optionalChildren [
|
---|
2059 | (Pentagon
|
---|
2060 | uid 3490,0
|
---|
2061 | sl 0
|
---|
2062 | ro 270
|
---|
2063 | xt "19000,106625,20500,107375"
|
---|
2064 | )
|
---|
2065 | (Line
|
---|
2066 | uid 3491,0
|
---|
2067 | sl 0
|
---|
2068 | ro 270
|
---|
2069 | xt "20500,107000,21000,107000"
|
---|
2070 | pts [
|
---|
2071 | "20500,107000"
|
---|
2072 | "21000,107000"
|
---|
2073 | ]
|
---|
2074 | )
|
---|
2075 | ]
|
---|
2076 | )
|
---|
2077 | stc 0
|
---|
2078 | sf 1
|
---|
2079 | tg (WTG
|
---|
2080 | uid 3492,0
|
---|
2081 | ps "PortIoTextPlaceStrategy"
|
---|
2082 | stg "STSignalDisplayStrategy"
|
---|
2083 | f (Text
|
---|
2084 | uid 3493,0
|
---|
2085 | va (VaSet
|
---|
2086 | )
|
---|
2087 | xt "13200,106500,18000,107500"
|
---|
2088 | st "D2_SROUT"
|
---|
2089 | ju 2
|
---|
2090 | blo "18000,107300"
|
---|
2091 | tm "WireNameMgr"
|
---|
2092 | )
|
---|
2093 | )
|
---|
2094 | )
|
---|
2095 | *58 (PortIoIn
|
---|
2096 | uid 3494,0
|
---|
2097 | shape (CompositeShape
|
---|
2098 | uid 3495,0
|
---|
2099 | va (VaSet
|
---|
2100 | vasetType 1
|
---|
2101 | fg "0,0,32768"
|
---|
2102 | )
|
---|
2103 | optionalChildren [
|
---|
2104 | (Pentagon
|
---|
2105 | uid 3496,0
|
---|
2106 | sl 0
|
---|
2107 | ro 270
|
---|
2108 | xt "19000,107625,20500,108375"
|
---|
2109 | )
|
---|
2110 | (Line
|
---|
2111 | uid 3497,0
|
---|
2112 | sl 0
|
---|
2113 | ro 270
|
---|
2114 | xt "20500,108000,21000,108000"
|
---|
2115 | pts [
|
---|
2116 | "20500,108000"
|
---|
2117 | "21000,108000"
|
---|
2118 | ]
|
---|
2119 | )
|
---|
2120 | ]
|
---|
2121 | )
|
---|
2122 | stc 0
|
---|
2123 | sf 1
|
---|
2124 | tg (WTG
|
---|
2125 | uid 3498,0
|
---|
2126 | ps "PortIoTextPlaceStrategy"
|
---|
2127 | stg "STSignalDisplayStrategy"
|
---|
2128 | f (Text
|
---|
2129 | uid 3499,0
|
---|
2130 | va (VaSet
|
---|
2131 | )
|
---|
2132 | xt "13200,107500,18000,108500"
|
---|
2133 | st "D3_SROUT"
|
---|
2134 | ju 2
|
---|
2135 | blo "18000,108300"
|
---|
2136 | tm "WireNameMgr"
|
---|
2137 | )
|
---|
2138 | )
|
---|
2139 | )
|
---|
2140 | *59 (Net
|
---|
2141 | uid 3500,0
|
---|
2142 | decl (Decl
|
---|
2143 | n "D0_SROUT"
|
---|
2144 | t "std_logic"
|
---|
2145 | o 6
|
---|
2146 | suid 91,0
|
---|
2147 | )
|
---|
2148 | declText (MLText
|
---|
2149 | uid 3501,0
|
---|
2150 | va (VaSet
|
---|
2151 | font "Courier New,8,0"
|
---|
2152 | )
|
---|
2153 | xt "39000,7800,57000,8600"
|
---|
2154 | st "D0_SROUT : std_logic
|
---|
2155 | "
|
---|
2156 | )
|
---|
2157 | )
|
---|
2158 | *60 (Net
|
---|
2159 | uid 3502,0
|
---|
2160 | decl (Decl
|
---|
2161 | n "D1_SROUT"
|
---|
2162 | t "std_logic"
|
---|
2163 | o 7
|
---|
2164 | suid 92,0
|
---|
2165 | )
|
---|
2166 | declText (MLText
|
---|
2167 | uid 3503,0
|
---|
2168 | va (VaSet
|
---|
2169 | font "Courier New,8,0"
|
---|
2170 | )
|
---|
2171 | xt "39000,8600,57000,9400"
|
---|
2172 | st "D1_SROUT : std_logic
|
---|
2173 | "
|
---|
2174 | )
|
---|
2175 | )
|
---|
2176 | *61 (Net
|
---|
2177 | uid 3504,0
|
---|
2178 | decl (Decl
|
---|
2179 | n "D2_SROUT"
|
---|
2180 | t "std_logic"
|
---|
2181 | o 8
|
---|
2182 | suid 93,0
|
---|
2183 | )
|
---|
2184 | declText (MLText
|
---|
2185 | uid 3505,0
|
---|
2186 | va (VaSet
|
---|
2187 | font "Courier New,8,0"
|
---|
2188 | )
|
---|
2189 | xt "39000,9400,57000,10200"
|
---|
2190 | st "D2_SROUT : std_logic
|
---|
2191 | "
|
---|
2192 | )
|
---|
2193 | )
|
---|
2194 | *62 (Net
|
---|
2195 | uid 3506,0
|
---|
2196 | decl (Decl
|
---|
2197 | n "D3_SROUT"
|
---|
2198 | t "std_logic"
|
---|
2199 | o 9
|
---|
2200 | suid 94,0
|
---|
2201 | )
|
---|
2202 | declText (MLText
|
---|
2203 | uid 3507,0
|
---|
2204 | va (VaSet
|
---|
2205 | font "Courier New,8,0"
|
---|
2206 | )
|
---|
2207 | xt "39000,10200,57000,11000"
|
---|
2208 | st "D3_SROUT : std_logic
|
---|
2209 | "
|
---|
2210 | )
|
---|
2211 | )
|
---|
2212 | *63 (PortIoOut
|
---|
2213 | uid 3508,0
|
---|
2214 | shape (CompositeShape
|
---|
2215 | uid 3509,0
|
---|
2216 | va (VaSet
|
---|
2217 | vasetType 1
|
---|
2218 | fg "0,0,32768"
|
---|
2219 | )
|
---|
2220 | optionalChildren [
|
---|
2221 | (Pentagon
|
---|
2222 | uid 3510,0
|
---|
2223 | sl 0
|
---|
2224 | ro 90
|
---|
2225 | xt "19000,108625,20500,109375"
|
---|
2226 | )
|
---|
2227 | (Line
|
---|
2228 | uid 3511,0
|
---|
2229 | sl 0
|
---|
2230 | ro 90
|
---|
2231 | xt "20500,109000,21000,109000"
|
---|
2232 | pts [
|
---|
2233 | "21000,109000"
|
---|
2234 | "20500,109000"
|
---|
2235 | ]
|
---|
2236 | )
|
---|
2237 | ]
|
---|
2238 | )
|
---|
2239 | stc 0
|
---|
2240 | sf 1
|
---|
2241 | tg (WTG
|
---|
2242 | uid 3512,0
|
---|
2243 | ps "PortIoTextPlaceStrategy"
|
---|
2244 | stg "STSignalDisplayStrategy"
|
---|
2245 | f (Text
|
---|
2246 | uid 3513,0
|
---|
2247 | va (VaSet
|
---|
2248 | )
|
---|
2249 | xt "15900,108500,18000,109500"
|
---|
2250 | st "D_A"
|
---|
2251 | ju 2
|
---|
2252 | blo "18000,109300"
|
---|
2253 | tm "WireNameMgr"
|
---|
2254 | )
|
---|
2255 | )
|
---|
2256 | )
|
---|
2257 | *64 (Net
|
---|
2258 | uid 3514,0
|
---|
2259 | decl (Decl
|
---|
2260 | n "D_A"
|
---|
2261 | t "std_logic_vector"
|
---|
2262 | b "(3 DOWNTO 0)"
|
---|
2263 | o 26
|
---|
2264 | suid 95,0
|
---|
2265 | i "(others => '0')"
|
---|
2266 | )
|
---|
2267 | declText (MLText
|
---|
2268 | uid 3515,0
|
---|
2269 | va (VaSet
|
---|
2270 | font "Courier New,8,0"
|
---|
2271 | )
|
---|
2272 | xt "39000,23800,77500,24600"
|
---|
2273 | st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0')
|
---|
2274 | "
|
---|
2275 | )
|
---|
2276 | )
|
---|
2277 | *65 (PortIoOut
|
---|
2278 | uid 3516,0
|
---|
2279 | shape (CompositeShape
|
---|
2280 | uid 3517,0
|
---|
2281 | va (VaSet
|
---|
2282 | vasetType 1
|
---|
2283 | fg "0,0,32768"
|
---|
2284 | )
|
---|
2285 | optionalChildren [
|
---|
2286 | (Pentagon
|
---|
2287 | uid 3518,0
|
---|
2288 | sl 0
|
---|
2289 | ro 90
|
---|
2290 | xt "19000,109625,20500,110375"
|
---|
2291 | )
|
---|
2292 | (Line
|
---|
2293 | uid 3519,0
|
---|
2294 | sl 0
|
---|
2295 | ro 90
|
---|
2296 | xt "20500,110000,21000,110000"
|
---|
2297 | pts [
|
---|
2298 | "21000,110000"
|
---|
2299 | "20500,110000"
|
---|
2300 | ]
|
---|
2301 | )
|
---|
2302 | ]
|
---|
2303 | )
|
---|
2304 | stc 0
|
---|
2305 | sf 1
|
---|
2306 | tg (WTG
|
---|
2307 | uid 3520,0
|
---|
2308 | ps "PortIoTextPlaceStrategy"
|
---|
2309 | stg "STSignalDisplayStrategy"
|
---|
2310 | f (Text
|
---|
2311 | uid 3521,0
|
---|
2312 | va (VaSet
|
---|
2313 | )
|
---|
2314 | xt "14200,109500,18000,110500"
|
---|
2315 | st "DWRITE"
|
---|
2316 | ju 2
|
---|
2317 | blo "18000,110300"
|
---|
2318 | tm "WireNameMgr"
|
---|
2319 | )
|
---|
2320 | )
|
---|
2321 | )
|
---|
2322 | *66 (Net
|
---|
2323 | uid 3522,0
|
---|
2324 | decl (Decl
|
---|
2325 | n "DWRITE"
|
---|
2326 | t "std_logic"
|
---|
2327 | o 25
|
---|
2328 | suid 96,0
|
---|
2329 | i "'0'"
|
---|
2330 | )
|
---|
2331 | declText (MLText
|
---|
2332 | uid 3523,0
|
---|
2333 | va (VaSet
|
---|
2334 | font "Courier New,8,0"
|
---|
2335 | )
|
---|
2336 | xt "39000,23000,71500,23800"
|
---|
2337 | st "DWRITE : std_logic := '0'
|
---|
2338 | "
|
---|
2339 | )
|
---|
2340 | )
|
---|
2341 | *67 (PortIoOut
|
---|
2342 | uid 3536,0
|
---|
2343 | shape (CompositeShape
|
---|
2344 | uid 3537,0
|
---|
2345 | va (VaSet
|
---|
2346 | vasetType 1
|
---|
2347 | fg "0,0,32768"
|
---|
2348 | )
|
---|
2349 | optionalChildren [
|
---|
2350 | (Pentagon
|
---|
2351 | uid 3538,0
|
---|
2352 | sl 0
|
---|
2353 | ro 270
|
---|
2354 | xt "97500,83625,99000,84375"
|
---|
2355 | )
|
---|
2356 | (Line
|
---|
2357 | uid 3539,0
|
---|
2358 | sl 0
|
---|
2359 | ro 270
|
---|
2360 | xt "97000,84000,97500,84000"
|
---|
2361 | pts [
|
---|
2362 | "97000,84000"
|
---|
2363 | "97500,84000"
|
---|
2364 | ]
|
---|
2365 | )
|
---|
2366 | ]
|
---|
2367 | )
|
---|
2368 | stc 0
|
---|
2369 | sf 1
|
---|
2370 | tg (WTG
|
---|
2371 | uid 3540,0
|
---|
2372 | ps "PortIoTextPlaceStrategy"
|
---|
2373 | stg "STSignalDisplayStrategy"
|
---|
2374 | f (Text
|
---|
2375 | uid 3541,0
|
---|
2376 | va (VaSet
|
---|
2377 | )
|
---|
2378 | xt "100000,83500,103700,84500"
|
---|
2379 | st "DAC_CS"
|
---|
2380 | blo "100000,84300"
|
---|
2381 | tm "WireNameMgr"
|
---|
2382 | )
|
---|
2383 | )
|
---|
2384 | )
|
---|
2385 | *68 (PortIoOut
|
---|
2386 | uid 3624,0
|
---|
2387 | shape (CompositeShape
|
---|
2388 | uid 3625,0
|
---|
2389 | va (VaSet
|
---|
2390 | vasetType 1
|
---|
2391 | fg "0,0,32768"
|
---|
2392 | )
|
---|
2393 | optionalChildren [
|
---|
2394 | (Pentagon
|
---|
2395 | uid 3626,0
|
---|
2396 | sl 0
|
---|
2397 | ro 270
|
---|
2398 | xt "99500,96625,101000,97375"
|
---|
2399 | )
|
---|
2400 | (Line
|
---|
2401 | uid 3627,0
|
---|
2402 | sl 0
|
---|
2403 | ro 270
|
---|
2404 | xt "99000,97000,99500,97000"
|
---|
2405 | pts [
|
---|
2406 | "99000,97000"
|
---|
2407 | "99500,97000"
|
---|
2408 | ]
|
---|
2409 | )
|
---|
2410 | ]
|
---|
2411 | )
|
---|
2412 | stc 0
|
---|
2413 | sf 1
|
---|
2414 | tg (WTG
|
---|
2415 | uid 3628,0
|
---|
2416 | ps "PortIoTextPlaceStrategy"
|
---|
2417 | stg "STSignalDisplayStrategy"
|
---|
2418 | f (Text
|
---|
2419 | uid 3629,0
|
---|
2420 | va (VaSet
|
---|
2421 | )
|
---|
2422 | xt "101750,96500,104650,97500"
|
---|
2423 | st "S_CLK"
|
---|
2424 | blo "101750,97300"
|
---|
2425 | tm "WireNameMgr"
|
---|
2426 | )
|
---|
2427 | )
|
---|
2428 | )
|
---|
2429 | *69 (Net
|
---|
2430 | uid 3630,0
|
---|
2431 | decl (Decl
|
---|
2432 | n "S_CLK"
|
---|
2433 | t "std_logic"
|
---|
2434 | o 42
|
---|
2435 | suid 105,0
|
---|
2436 | )
|
---|
2437 | declText (MLText
|
---|
2438 | uid 3631,0
|
---|
2439 | va (VaSet
|
---|
2440 | font "Courier New,8,0"
|
---|
2441 | )
|
---|
2442 | xt "39000,36600,57000,37400"
|
---|
2443 | st "S_CLK : std_logic
|
---|
2444 | "
|
---|
2445 | )
|
---|
2446 | )
|
---|
2447 | *70 (Net
|
---|
2448 | uid 3632,0
|
---|
2449 | decl (Decl
|
---|
2450 | n "W_A"
|
---|
2451 | t "std_logic_vector"
|
---|
2452 | b "(9 DOWNTO 0)"
|
---|
2453 | o 45
|
---|
2454 | suid 106,0
|
---|
2455 | )
|
---|
2456 | declText (MLText
|
---|
2457 | uid 3633,0
|
---|
2458 | va (VaSet
|
---|
2459 | font "Courier New,8,0"
|
---|
2460 | )
|
---|
2461 | xt "39000,39000,67000,39800"
|
---|
2462 | st "W_A : std_logic_vector(9 DOWNTO 0)
|
---|
2463 | "
|
---|
2464 | )
|
---|
2465 | )
|
---|
2466 | *71 (Net
|
---|
2467 | uid 3634,0
|
---|
2468 | decl (Decl
|
---|
2469 | n "W_D"
|
---|
2470 | t "std_logic_vector"
|
---|
2471 | b "(15 DOWNTO 0)"
|
---|
2472 | o 52
|
---|
2473 | suid 107,0
|
---|
2474 | )
|
---|
2475 | declText (MLText
|
---|
2476 | uid 3635,0
|
---|
2477 | va (VaSet
|
---|
2478 | font "Courier New,8,0"
|
---|
2479 | )
|
---|
2480 | xt "39000,44600,67500,45400"
|
---|
2481 | st "W_D : std_logic_vector(15 DOWNTO 0)
|
---|
2482 | "
|
---|
2483 | )
|
---|
2484 | )
|
---|
2485 | *72 (Net
|
---|
2486 | uid 3636,0
|
---|
2487 | decl (Decl
|
---|
2488 | n "W_RES"
|
---|
2489 | t "std_logic"
|
---|
2490 | o 48
|
---|
2491 | suid 108,0
|
---|
2492 | i "'1'"
|
---|
2493 | )
|
---|
2494 | declText (MLText
|
---|
2495 | uid 3637,0
|
---|
2496 | va (VaSet
|
---|
2497 | font "Courier New,8,0"
|
---|
2498 | )
|
---|
2499 | xt "39000,41400,71500,42200"
|
---|
2500 | st "W_RES : std_logic := '1'
|
---|
2501 | "
|
---|
2502 | )
|
---|
2503 | )
|
---|
2504 | *73 (Net
|
---|
2505 | uid 3638,0
|
---|
2506 | decl (Decl
|
---|
2507 | n "W_RD"
|
---|
2508 | t "std_logic"
|
---|
2509 | o 47
|
---|
2510 | suid 109,0
|
---|
2511 | i "'1'"
|
---|
2512 | )
|
---|
2513 | declText (MLText
|
---|
2514 | uid 3639,0
|
---|
2515 | va (VaSet
|
---|
2516 | font "Courier New,8,0"
|
---|
2517 | )
|
---|
2518 | xt "39000,40600,71500,41400"
|
---|
2519 | st "W_RD : std_logic := '1'
|
---|
2520 | "
|
---|
2521 | )
|
---|
2522 | )
|
---|
2523 | *74 (Net
|
---|
2524 | uid 3640,0
|
---|
2525 | decl (Decl
|
---|
2526 | n "W_WR"
|
---|
2527 | t "std_logic"
|
---|
2528 | o 50
|
---|
2529 | suid 110,0
|
---|
2530 | i "'1'"
|
---|
2531 | )
|
---|
2532 | declText (MLText
|
---|
2533 | uid 3641,0
|
---|
2534 | va (VaSet
|
---|
2535 | font "Courier New,8,0"
|
---|
2536 | )
|
---|
2537 | xt "39000,43000,71500,43800"
|
---|
2538 | st "W_WR : std_logic := '1'
|
---|
2539 | "
|
---|
2540 | )
|
---|
2541 | )
|
---|
2542 | *75 (Net
|
---|
2543 | uid 3642,0
|
---|
2544 | decl (Decl
|
---|
2545 | n "W_INT"
|
---|
2546 | t "std_logic"
|
---|
2547 | o 16
|
---|
2548 | suid 111,0
|
---|
2549 | )
|
---|
2550 | declText (MLText
|
---|
2551 | uid 3643,0
|
---|
2552 | va (VaSet
|
---|
2553 | font "Courier New,8,0"
|
---|
2554 | )
|
---|
2555 | xt "39000,15800,57000,16600"
|
---|
2556 | st "W_INT : std_logic
|
---|
2557 | "
|
---|
2558 | )
|
---|
2559 | )
|
---|
2560 | *76 (Net
|
---|
2561 | uid 3644,0
|
---|
2562 | decl (Decl
|
---|
2563 | n "W_CS"
|
---|
2564 | t "std_logic"
|
---|
2565 | o 46
|
---|
2566 | suid 112,0
|
---|
2567 | i "'1'"
|
---|
2568 | )
|
---|
2569 | declText (MLText
|
---|
2570 | uid 3645,0
|
---|
2571 | va (VaSet
|
---|
2572 | font "Courier New,8,0"
|
---|
2573 | )
|
---|
2574 | xt "39000,39800,71500,40600"
|
---|
2575 | st "W_CS : std_logic := '1'
|
---|
2576 | "
|
---|
2577 | )
|
---|
2578 | )
|
---|
2579 | *77 (PortIoInOut
|
---|
2580 | uid 3674,0
|
---|
2581 | shape (CompositeShape
|
---|
2582 | uid 3675,0
|
---|
2583 | va (VaSet
|
---|
2584 | vasetType 1
|
---|
2585 | fg "0,0,32768"
|
---|
2586 | )
|
---|
2587 | optionalChildren [
|
---|
2588 | (Hexagon
|
---|
2589 | uid 3676,0
|
---|
2590 | sl 0
|
---|
2591 | xt "90500,98625,92000,99375"
|
---|
2592 | )
|
---|
2593 | (Line
|
---|
2594 | uid 3677,0
|
---|
2595 | sl 0
|
---|
2596 | xt "90000,99000,90500,99000"
|
---|
2597 | pts [
|
---|
2598 | "90000,99000"
|
---|
2599 | "90500,99000"
|
---|
2600 | ]
|
---|
2601 | )
|
---|
2602 | ]
|
---|
2603 | )
|
---|
2604 | stc 0
|
---|
2605 | sf 1
|
---|
2606 | tg (WTG
|
---|
2607 | uid 3678,0
|
---|
2608 | ps "PortIoTextPlaceStrategy"
|
---|
2609 | stg "STSignalDisplayStrategy"
|
---|
2610 | f (Text
|
---|
2611 | uid 3679,0
|
---|
2612 | va (VaSet
|
---|
2613 | )
|
---|
2614 | xt "93000,98500,95700,99500"
|
---|
2615 | st "MISO"
|
---|
2616 | blo "93000,99300"
|
---|
2617 | tm "WireNameMgr"
|
---|
2618 | )
|
---|
2619 | )
|
---|
2620 | )
|
---|
2621 | *78 (Net
|
---|
2622 | uid 3680,0
|
---|
2623 | decl (Decl
|
---|
2624 | n "MOSI"
|
---|
2625 | t "std_logic"
|
---|
2626 | o 31
|
---|
2627 | suid 113,0
|
---|
2628 | i "'0'"
|
---|
2629 | )
|
---|
2630 | declText (MLText
|
---|
2631 | uid 3681,0
|
---|
2632 | va (VaSet
|
---|
2633 | font "Courier New,8,0"
|
---|
2634 | )
|
---|
2635 | xt "39000,27800,71500,28600"
|
---|
2636 | st "MOSI : std_logic := '0'
|
---|
2637 | "
|
---|
2638 | )
|
---|
2639 | )
|
---|
2640 | *79 (PortIoOut
|
---|
2641 | uid 3688,0
|
---|
2642 | shape (CompositeShape
|
---|
2643 | uid 3689,0
|
---|
2644 | va (VaSet
|
---|
2645 | vasetType 1
|
---|
2646 | fg "0,0,32768"
|
---|
2647 | )
|
---|
2648 | optionalChildren [
|
---|
2649 | (Pentagon
|
---|
2650 | uid 3690,0
|
---|
2651 | sl 0
|
---|
2652 | ro 270
|
---|
2653 | xt "99500,99625,101000,100375"
|
---|
2654 | )
|
---|
2655 | (Line
|
---|
2656 | uid 3691,0
|
---|
2657 | sl 0
|
---|
2658 | ro 270
|
---|
2659 | xt "99000,100000,99500,100000"
|
---|
2660 | pts [
|
---|
2661 | "99000,100000"
|
---|
2662 | "99500,100000"
|
---|
2663 | ]
|
---|
2664 | )
|
---|
2665 | ]
|
---|
2666 | )
|
---|
2667 | stc 0
|
---|
2668 | sf 1
|
---|
2669 | tg (WTG
|
---|
2670 | uid 3692,0
|
---|
2671 | ps "PortIoTextPlaceStrategy"
|
---|
2672 | stg "STSignalDisplayStrategy"
|
---|
2673 | f (Text
|
---|
2674 | uid 3693,0
|
---|
2675 | va (VaSet
|
---|
2676 | )
|
---|
2677 | xt "102000,99500,104700,100500"
|
---|
2678 | st "MOSI"
|
---|
2679 | blo "102000,100300"
|
---|
2680 | tm "WireNameMgr"
|
---|
2681 | )
|
---|
2682 | )
|
---|
2683 | )
|
---|
2684 | *80 (Net
|
---|
2685 | uid 3694,0
|
---|
2686 | decl (Decl
|
---|
2687 | n "MISO"
|
---|
2688 | t "std_logic"
|
---|
2689 | preAdd 0
|
---|
2690 | posAdd 0
|
---|
2691 | o 51
|
---|
2692 | suid 114,0
|
---|
2693 | )
|
---|
2694 | declText (MLText
|
---|
2695 | uid 3695,0
|
---|
2696 | va (VaSet
|
---|
2697 | font "Courier New,8,0"
|
---|
2698 | )
|
---|
2699 | xt "39000,43800,57000,44600"
|
---|
2700 | st "MISO : std_logic
|
---|
2701 | "
|
---|
2702 | )
|
---|
2703 | )
|
---|
2704 | *81 (PortIoOut
|
---|
2705 | uid 3716,0
|
---|
2706 | shape (CompositeShape
|
---|
2707 | uid 3717,0
|
---|
2708 | va (VaSet
|
---|
2709 | vasetType 1
|
---|
2710 | fg "0,0,32768"
|
---|
2711 | )
|
---|
2712 | optionalChildren [
|
---|
2713 | (Pentagon
|
---|
2714 | uid 3718,0
|
---|
2715 | sl 0
|
---|
2716 | ro 270
|
---|
2717 | xt "176500,127625,178000,128375"
|
---|
2718 | )
|
---|
2719 | (Line
|
---|
2720 | uid 3719,0
|
---|
2721 | sl 0
|
---|
2722 | ro 270
|
---|
2723 | xt "176000,128000,176500,128000"
|
---|
2724 | pts [
|
---|
2725 | "176000,128000"
|
---|
2726 | "176500,128000"
|
---|
2727 | ]
|
---|
2728 | )
|
---|
2729 | ]
|
---|
2730 | )
|
---|
2731 | stc 0
|
---|
2732 | sf 1
|
---|
2733 | tg (WTG
|
---|
2734 | uid 3720,0
|
---|
2735 | ps "PortIoTextPlaceStrategy"
|
---|
2736 | stg "STSignalDisplayStrategy"
|
---|
2737 | f (Text
|
---|
2738 | uid 3721,0
|
---|
2739 | va (VaSet
|
---|
2740 | )
|
---|
2741 | xt "179000,127500,185100,128500"
|
---|
2742 | st "RS485_C_DE"
|
---|
2743 | blo "179000,128300"
|
---|
2744 | tm "WireNameMgr"
|
---|
2745 | )
|
---|
2746 | )
|
---|
2747 | )
|
---|
2748 | *82 (PortIoOut
|
---|
2749 | uid 3722,0
|
---|
2750 | shape (CompositeShape
|
---|
2751 | uid 3723,0
|
---|
2752 | va (VaSet
|
---|
2753 | vasetType 1
|
---|
2754 | fg "0,0,32768"
|
---|
2755 | )
|
---|
2756 | optionalChildren [
|
---|
2757 | (Pentagon
|
---|
2758 | uid 3724,0
|
---|
2759 | sl 0
|
---|
2760 | ro 270
|
---|
2761 | xt "176500,128625,178000,129375"
|
---|
2762 | )
|
---|
2763 | (Line
|
---|
2764 | uid 3725,0
|
---|
2765 | sl 0
|
---|
2766 | ro 270
|
---|
2767 | xt "176000,129000,176500,129000"
|
---|
2768 | pts [
|
---|
2769 | "176000,129000"
|
---|
2770 | "176500,129000"
|
---|
2771 | ]
|
---|
2772 | )
|
---|
2773 | ]
|
---|
2774 | )
|
---|
2775 | stc 0
|
---|
2776 | sf 1
|
---|
2777 | tg (WTG
|
---|
2778 | uid 3726,0
|
---|
2779 | ps "PortIoTextPlaceStrategy"
|
---|
2780 | stg "STSignalDisplayStrategy"
|
---|
2781 | f (Text
|
---|
2782 | uid 3727,0
|
---|
2783 | va (VaSet
|
---|
2784 | )
|
---|
2785 | xt "179000,128500,185200,129500"
|
---|
2786 | st "RS485_C_DO"
|
---|
2787 | blo "179000,129300"
|
---|
2788 | tm "WireNameMgr"
|
---|
2789 | )
|
---|
2790 | )
|
---|
2791 | )
|
---|
2792 | *83 (PortIoOut
|
---|
2793 | uid 3728,0
|
---|
2794 | shape (CompositeShape
|
---|
2795 | uid 3729,0
|
---|
2796 | va (VaSet
|
---|
2797 | vasetType 1
|
---|
2798 | fg "0,0,32768"
|
---|
2799 | )
|
---|
2800 | optionalChildren [
|
---|
2801 | (Pentagon
|
---|
2802 | uid 3730,0
|
---|
2803 | sl 0
|
---|
2804 | ro 270
|
---|
2805 | xt "85500,147625,87000,148375"
|
---|
2806 | )
|
---|
2807 | (Line
|
---|
2808 | uid 3731,0
|
---|
2809 | sl 0
|
---|
2810 | ro 270
|
---|
2811 | xt "85000,148000,85500,148000"
|
---|
2812 | pts [
|
---|
2813 | "85000,148000"
|
---|
2814 | "85500,148000"
|
---|
2815 | ]
|
---|
2816 | )
|
---|
2817 | ]
|
---|
2818 | )
|
---|
2819 | stc 0
|
---|
2820 | sf 1
|
---|
2821 | tg (WTG
|
---|
2822 | uid 3732,0
|
---|
2823 | ps "PortIoTextPlaceStrategy"
|
---|
2824 | stg "STSignalDisplayStrategy"
|
---|
2825 | f (Text
|
---|
2826 | uid 3733,0
|
---|
2827 | va (VaSet
|
---|
2828 | )
|
---|
2829 | xt "88000,147500,94000,148500"
|
---|
2830 | st "RS485_E_RE"
|
---|
2831 | blo "88000,148300"
|
---|
2832 | tm "WireNameMgr"
|
---|
2833 | )
|
---|
2834 | )
|
---|
2835 | )
|
---|
2836 | *84 (PortIoOut
|
---|
2837 | uid 3734,0
|
---|
2838 | shape (CompositeShape
|
---|
2839 | uid 3735,0
|
---|
2840 | va (VaSet
|
---|
2841 | vasetType 1
|
---|
2842 | fg "0,0,32768"
|
---|
2843 | )
|
---|
2844 | optionalChildren [
|
---|
2845 | (Pentagon
|
---|
2846 | uid 3736,0
|
---|
2847 | sl 0
|
---|
2848 | ro 270
|
---|
2849 | xt "85500,146625,87000,147375"
|
---|
2850 | )
|
---|
2851 | (Line
|
---|
2852 | uid 3737,0
|
---|
2853 | sl 0
|
---|
2854 | ro 270
|
---|
2855 | xt "85000,147000,85500,147000"
|
---|
2856 | pts [
|
---|
2857 | "85000,147000"
|
---|
2858 | "85500,147000"
|
---|
2859 | ]
|
---|
2860 | )
|
---|
2861 | ]
|
---|
2862 | )
|
---|
2863 | stc 0
|
---|
2864 | sf 1
|
---|
2865 | tg (WTG
|
---|
2866 | uid 3738,0
|
---|
2867 | ps "PortIoTextPlaceStrategy"
|
---|
2868 | stg "STSignalDisplayStrategy"
|
---|
2869 | f (Text
|
---|
2870 | uid 3739,0
|
---|
2871 | va (VaSet
|
---|
2872 | )
|
---|
2873 | xt "88000,146500,94100,147500"
|
---|
2874 | st "RS485_E_DE"
|
---|
2875 | blo "88000,147300"
|
---|
2876 | tm "WireNameMgr"
|
---|
2877 | )
|
---|
2878 | )
|
---|
2879 | )
|
---|
2880 | *85 (PortIoOut
|
---|
2881 | uid 3740,0
|
---|
2882 | shape (CompositeShape
|
---|
2883 | uid 3741,0
|
---|
2884 | va (VaSet
|
---|
2885 | vasetType 1
|
---|
2886 | fg "0,0,32768"
|
---|
2887 | )
|
---|
2888 | optionalChildren [
|
---|
2889 | (Pentagon
|
---|
2890 | uid 3742,0
|
---|
2891 | sl 0
|
---|
2892 | ro 270
|
---|
2893 | xt "82500,120625,84000,121375"
|
---|
2894 | )
|
---|
2895 | (Line
|
---|
2896 | uid 3743,0
|
---|
2897 | sl 0
|
---|
2898 | ro 270
|
---|
2899 | xt "82000,121000,82500,121000"
|
---|
2900 | pts [
|
---|
2901 | "82000,121000"
|
---|
2902 | "82500,121000"
|
---|
2903 | ]
|
---|
2904 | )
|
---|
2905 | ]
|
---|
2906 | )
|
---|
2907 | stc 0
|
---|
2908 | sf 1
|
---|
2909 | tg (WTG
|
---|
2910 | uid 3744,0
|
---|
2911 | ps "PortIoTextPlaceStrategy"
|
---|
2912 | stg "STSignalDisplayStrategy"
|
---|
2913 | f (Text
|
---|
2914 | uid 3745,0
|
---|
2915 | va (VaSet
|
---|
2916 | )
|
---|
2917 | xt "85000,120500,89100,121500"
|
---|
2918 | st "DENABLE"
|
---|
2919 | blo "85000,121300"
|
---|
2920 | tm "WireNameMgr"
|
---|
2921 | )
|
---|
2922 | )
|
---|
2923 | )
|
---|
2924 | *86 (PortIoOut
|
---|
2925 | uid 3752,0
|
---|
2926 | shape (CompositeShape
|
---|
2927 | uid 3753,0
|
---|
2928 | va (VaSet
|
---|
2929 | vasetType 1
|
---|
2930 | fg "0,0,32768"
|
---|
2931 | )
|
---|
2932 | optionalChildren [
|
---|
2933 | (Pentagon
|
---|
2934 | uid 3754,0
|
---|
2935 | sl 0
|
---|
2936 | ro 270
|
---|
2937 | xt "176500,135625,178000,136375"
|
---|
2938 | )
|
---|
2939 | (Line
|
---|
2940 | uid 3755,0
|
---|
2941 | sl 0
|
---|
2942 | ro 270
|
---|
2943 | xt "176000,136000,176500,136000"
|
---|
2944 | pts [
|
---|
2945 | "176000,136000"
|
---|
2946 | "176500,136000"
|
---|
2947 | ]
|
---|
2948 | )
|
---|
2949 | ]
|
---|
2950 | )
|
---|
2951 | stc 0
|
---|
2952 | sf 1
|
---|
2953 | tg (WTG
|
---|
2954 | uid 3756,0
|
---|
2955 | ps "PortIoTextPlaceStrategy"
|
---|
2956 | stg "STSignalDisplayStrategy"
|
---|
2957 | f (Text
|
---|
2958 | uid 3757,0
|
---|
2959 | va (VaSet
|
---|
2960 | )
|
---|
2961 | xt "179000,135500,182000,136500"
|
---|
2962 | st "EE_CS"
|
---|
2963 | blo "179000,136300"
|
---|
2964 | tm "WireNameMgr"
|
---|
2965 | )
|
---|
2966 | )
|
---|
2967 | )
|
---|
2968 | *87 (Net
|
---|
2969 | uid 3866,0
|
---|
2970 | decl (Decl
|
---|
2971 | n "RS485_C_RE"
|
---|
2972 | t "std_logic"
|
---|
2973 | o 36
|
---|
2974 | suid 127,0
|
---|
2975 | )
|
---|
2976 | declText (MLText
|
---|
2977 | uid 3867,0
|
---|
2978 | va (VaSet
|
---|
2979 | font "Courier New,8,0"
|
---|
2980 | )
|
---|
2981 | xt "39000,31800,57000,32600"
|
---|
2982 | st "RS485_C_RE : std_logic
|
---|
2983 | "
|
---|
2984 | )
|
---|
2985 | )
|
---|
2986 | *88 (Net
|
---|
2987 | uid 3868,0
|
---|
2988 | decl (Decl
|
---|
2989 | n "RS485_C_DE"
|
---|
2990 | t "std_logic"
|
---|
2991 | o 34
|
---|
2992 | suid 128,0
|
---|
2993 | )
|
---|
2994 | declText (MLText
|
---|
2995 | uid 3869,0
|
---|
2996 | va (VaSet
|
---|
2997 | font "Courier New,8,0"
|
---|
2998 | )
|
---|
2999 | xt "39000,30200,57000,31000"
|
---|
3000 | st "RS485_C_DE : std_logic
|
---|
3001 | "
|
---|
3002 | )
|
---|
3003 | )
|
---|
3004 | *89 (Net
|
---|
3005 | uid 3870,0
|
---|
3006 | decl (Decl
|
---|
3007 | n "RS485_E_RE"
|
---|
3008 | t "std_logic"
|
---|
3009 | o 39
|
---|
3010 | suid 129,0
|
---|
3011 | )
|
---|
3012 | declText (MLText
|
---|
3013 | uid 3871,0
|
---|
3014 | va (VaSet
|
---|
3015 | font "Courier New,8,0"
|
---|
3016 | )
|
---|
3017 | xt "39000,34200,57000,35000"
|
---|
3018 | st "RS485_E_RE : std_logic
|
---|
3019 | "
|
---|
3020 | )
|
---|
3021 | )
|
---|
3022 | *90 (Net
|
---|
3023 | uid 3872,0
|
---|
3024 | decl (Decl
|
---|
3025 | n "RS485_E_DE"
|
---|
3026 | t "std_logic"
|
---|
3027 | o 37
|
---|
3028 | suid 130,0
|
---|
3029 | )
|
---|
3030 | declText (MLText
|
---|
3031 | uid 3873,0
|
---|
3032 | va (VaSet
|
---|
3033 | font "Courier New,8,0"
|
---|
3034 | )
|
---|
3035 | xt "39000,32600,57000,33400"
|
---|
3036 | st "RS485_E_DE : std_logic
|
---|
3037 | "
|
---|
3038 | )
|
---|
3039 | )
|
---|
3040 | *91 (Net
|
---|
3041 | uid 3874,0
|
---|
3042 | decl (Decl
|
---|
3043 | n "DENABLE"
|
---|
3044 | t "std_logic"
|
---|
3045 | o 23
|
---|
3046 | suid 131,0
|
---|
3047 | i "'0'"
|
---|
3048 | )
|
---|
3049 | declText (MLText
|
---|
3050 | uid 3875,0
|
---|
3051 | va (VaSet
|
---|
3052 | font "Courier New,8,0"
|
---|
3053 | )
|
---|
3054 | xt "39000,21400,71500,22200"
|
---|
3055 | st "DENABLE : std_logic := '0'
|
---|
3056 | "
|
---|
3057 | )
|
---|
3058 | )
|
---|
3059 | *92 (Net
|
---|
3060 | uid 3878,0
|
---|
3061 | decl (Decl
|
---|
3062 | n "EE_CS"
|
---|
3063 | t "std_logic"
|
---|
3064 | o 29
|
---|
3065 | suid 133,0
|
---|
3066 | )
|
---|
3067 | declText (MLText
|
---|
3068 | uid 3879,0
|
---|
3069 | va (VaSet
|
---|
3070 | font "Courier New,8,0"
|
---|
3071 | )
|
---|
3072 | xt "39000,26200,57000,27000"
|
---|
3073 | st "EE_CS : std_logic
|
---|
3074 | "
|
---|
3075 | )
|
---|
3076 | )
|
---|
3077 | *93 (PortIoOut
|
---|
3078 | uid 4916,0
|
---|
3079 | shape (CompositeShape
|
---|
3080 | uid 4917,0
|
---|
3081 | va (VaSet
|
---|
3082 | vasetType 1
|
---|
3083 | fg "0,0,32768"
|
---|
3084 | )
|
---|
3085 | optionalChildren [
|
---|
3086 | (Pentagon
|
---|
3087 | uid 4918,0
|
---|
3088 | sl 0
|
---|
3089 | ro 270
|
---|
3090 | xt "176500,114625,178000,115375"
|
---|
3091 | )
|
---|
3092 | (Line
|
---|
3093 | uid 4919,0
|
---|
3094 | sl 0
|
---|
3095 | ro 270
|
---|
3096 | xt "176000,115000,176500,115000"
|
---|
3097 | pts [
|
---|
3098 | "176000,115000"
|
---|
3099 | "176500,115000"
|
---|
3100 | ]
|
---|
3101 | )
|
---|
3102 | ]
|
---|
3103 | )
|
---|
3104 | stc 0
|
---|
3105 | sf 1
|
---|
3106 | tg (WTG
|
---|
3107 | uid 4920,0
|
---|
3108 | ps "PortIoTextPlaceStrategy"
|
---|
3109 | stg "STSignalDisplayStrategy"
|
---|
3110 | f (Text
|
---|
3111 | uid 4921,0
|
---|
3112 | va (VaSet
|
---|
3113 | )
|
---|
3114 | xt "179000,114500,181000,115500"
|
---|
3115 | st "D_T"
|
---|
3116 | blo "179000,115300"
|
---|
3117 | tm "WireNameMgr"
|
---|
3118 | )
|
---|
3119 | )
|
---|
3120 | )
|
---|
3121 | *94 (Net
|
---|
3122 | uid 5320,0
|
---|
3123 | decl (Decl
|
---|
3124 | n "D_T"
|
---|
3125 | t "std_logic_vector"
|
---|
3126 | b "(7 DOWNTO 0)"
|
---|
3127 | o 27
|
---|
3128 | suid 141,0
|
---|
3129 | i "(OTHERS => '0')"
|
---|
3130 | )
|
---|
3131 | declText (MLText
|
---|
3132 | uid 5321,0
|
---|
3133 | va (VaSet
|
---|
3134 | font "Courier New,8,0"
|
---|
3135 | )
|
---|
3136 | xt "39000,24600,77500,25400"
|
---|
3137 | st "D_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
|
---|
3138 | "
|
---|
3139 | )
|
---|
3140 | )
|
---|
3141 | *95 (PortIoOut
|
---|
3142 | uid 6874,0
|
---|
3143 | shape (CompositeShape
|
---|
3144 | uid 6875,0
|
---|
3145 | va (VaSet
|
---|
3146 | vasetType 1
|
---|
3147 | fg "0,0,32768"
|
---|
3148 | )
|
---|
3149 | optionalChildren [
|
---|
3150 | (Pentagon
|
---|
3151 | uid 6876,0
|
---|
3152 | sl 0
|
---|
3153 | ro 270
|
---|
3154 | xt "176500,124625,178000,125375"
|
---|
3155 | )
|
---|
3156 | (Line
|
---|
3157 | uid 6877,0
|
---|
3158 | sl 0
|
---|
3159 | ro 270
|
---|
3160 | xt "176000,125000,176500,125000"
|
---|
3161 | pts [
|
---|
3162 | "176000,125000"
|
---|
3163 | "176500,125000"
|
---|
3164 | ]
|
---|
3165 | )
|
---|
3166 | ]
|
---|
3167 | )
|
---|
3168 | stc 0
|
---|
3169 | sf 1
|
---|
3170 | tg (WTG
|
---|
3171 | uid 6878,0
|
---|
3172 | ps "PortIoTextPlaceStrategy"
|
---|
3173 | stg "STSignalDisplayStrategy"
|
---|
3174 | f (Text
|
---|
3175 | uid 6879,0
|
---|
3176 | va (VaSet
|
---|
3177 | )
|
---|
3178 | xt "179000,124500,181500,125500"
|
---|
3179 | st "D_T2"
|
---|
3180 | blo "179000,125300"
|
---|
3181 | tm "WireNameMgr"
|
---|
3182 | )
|
---|
3183 | )
|
---|
3184 | )
|
---|
3185 | *96 (Net
|
---|
3186 | uid 6886,0
|
---|
3187 | decl (Decl
|
---|
3188 | n "D_T2"
|
---|
3189 | t "std_logic_vector"
|
---|
3190 | b "(1 DOWNTO 0)"
|
---|
3191 | o 28
|
---|
3192 | suid 154,0
|
---|
3193 | i "(others => '0')"
|
---|
3194 | )
|
---|
3195 | declText (MLText
|
---|
3196 | uid 6887,0
|
---|
3197 | va (VaSet
|
---|
3198 | font "Courier New,8,0"
|
---|
3199 | )
|
---|
3200 | xt "39000,25400,77500,26200"
|
---|
3201 | st "D_T2 : std_logic_vector(1 DOWNTO 0) := (others => '0')
|
---|
3202 | "
|
---|
3203 | )
|
---|
3204 | )
|
---|
3205 | *97 (PortIoOut
|
---|
3206 | uid 7138,0
|
---|
3207 | shape (CompositeShape
|
---|
3208 | uid 7139,0
|
---|
3209 | va (VaSet
|
---|
3210 | vasetType 1
|
---|
3211 | fg "0,0,32768"
|
---|
3212 | )
|
---|
3213 | optionalChildren [
|
---|
3214 | (Pentagon
|
---|
3215 | uid 7140,0
|
---|
3216 | sl 0
|
---|
3217 | ro 270
|
---|
3218 | xt "176500,117625,178000,118375"
|
---|
3219 | )
|
---|
3220 | (Line
|
---|
3221 | uid 7141,0
|
---|
3222 | sl 0
|
---|
3223 | ro 270
|
---|
3224 | xt "176000,118000,176500,118000"
|
---|
3225 | pts [
|
---|
3226 | "176000,118000"
|
---|
3227 | "176500,118000"
|
---|
3228 | ]
|
---|
3229 | )
|
---|
3230 | ]
|
---|
3231 | )
|
---|
3232 | stc 0
|
---|
3233 | sf 1
|
---|
3234 | tg (WTG
|
---|
3235 | uid 7142,0
|
---|
3236 | ps "PortIoTextPlaceStrategy"
|
---|
3237 | stg "STSignalDisplayStrategy"
|
---|
3238 | f (Text
|
---|
3239 | uid 7143,0
|
---|
3240 | va (VaSet
|
---|
3241 | )
|
---|
3242 | xt "179000,117500,181400,118500"
|
---|
3243 | st "A1_T"
|
---|
3244 | blo "179000,118300"
|
---|
3245 | tm "WireNameMgr"
|
---|
3246 | )
|
---|
3247 | )
|
---|
3248 | )
|
---|
3249 | *98 (Net
|
---|
3250 | uid 7150,0
|
---|
3251 | decl (Decl
|
---|
3252 | n "A1_T"
|
---|
3253 | t "std_logic_vector"
|
---|
3254 | b "(7 DOWNTO 0)"
|
---|
3255 | o 19
|
---|
3256 | suid 155,0
|
---|
3257 | i "(OTHERS => '0')"
|
---|
3258 | )
|
---|
3259 | declText (MLText
|
---|
3260 | uid 7151,0
|
---|
3261 | va (VaSet
|
---|
3262 | font "Courier New,8,0"
|
---|
3263 | )
|
---|
3264 | xt "39000,18200,77500,19000"
|
---|
3265 | st "A1_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
|
---|
3266 | "
|
---|
3267 | )
|
---|
3268 | )
|
---|
3269 | *99 (Net
|
---|
3270 | uid 9500,0
|
---|
3271 | decl (Decl
|
---|
3272 | n "CLK_50"
|
---|
3273 | t "std_logic"
|
---|
3274 | o 54
|
---|
3275 | suid 163,0
|
---|
3276 | )
|
---|
3277 | declText (MLText
|
---|
3278 | uid 9501,0
|
---|
3279 | va (VaSet
|
---|
3280 | font "Courier New,8,0"
|
---|
3281 | )
|
---|
3282 | xt "39000,47200,61000,48000"
|
---|
3283 | st "SIGNAL CLK_50 : std_logic
|
---|
3284 | "
|
---|
3285 | )
|
---|
3286 | )
|
---|
3287 | *100 (PortIoOut
|
---|
3288 | uid 10296,0
|
---|
3289 | shape (CompositeShape
|
---|
3290 | uid 10297,0
|
---|
3291 | va (VaSet
|
---|
3292 | vasetType 1
|
---|
3293 | fg "0,0,32768"
|
---|
3294 | )
|
---|
3295 | optionalChildren [
|
---|
3296 | (Pentagon
|
---|
3297 | uid 10298,0
|
---|
3298 | sl 0
|
---|
3299 | ro 270
|
---|
3300 | xt "176500,116625,178000,117375"
|
---|
3301 | )
|
---|
3302 | (Line
|
---|
3303 | uid 10299,0
|
---|
3304 | sl 0
|
---|
3305 | ro 270
|
---|
3306 | xt "176000,117000,176500,117000"
|
---|
3307 | pts [
|
---|
3308 | "176000,117000"
|
---|
3309 | "176500,117000"
|
---|
3310 | ]
|
---|
3311 | )
|
---|
3312 | ]
|
---|
3313 | )
|
---|
3314 | stc 0
|
---|
3315 | sf 1
|
---|
3316 | tg (WTG
|
---|
3317 | uid 10300,0
|
---|
3318 | ps "PortIoTextPlaceStrategy"
|
---|
3319 | stg "STSignalDisplayStrategy"
|
---|
3320 | f (Text
|
---|
3321 | uid 10301,0
|
---|
3322 | va (VaSet
|
---|
3323 | )
|
---|
3324 | xt "179000,116500,181500,117500"
|
---|
3325 | st "A0_T"
|
---|
3326 | blo "179000,117300"
|
---|
3327 | tm "WireNameMgr"
|
---|
3328 | )
|
---|
3329 | )
|
---|
3330 | )
|
---|
3331 | *101 (Net
|
---|
3332 | uid 10308,0
|
---|
3333 | decl (Decl
|
---|
3334 | n "A0_T"
|
---|
3335 | t "std_logic_vector"
|
---|
3336 | b "(7 DOWNTO 0)"
|
---|
3337 | o 18
|
---|
3338 | suid 166,0
|
---|
3339 | i "(others => '0')"
|
---|
3340 | )
|
---|
3341 | declText (MLText
|
---|
3342 | uid 10309,0
|
---|
3343 | va (VaSet
|
---|
3344 | font "Courier New,8,0"
|
---|
3345 | )
|
---|
3346 | xt "39000,17400,77500,18200"
|
---|
3347 | st "A0_T : std_logic_vector(7 DOWNTO 0) := (others => '0')
|
---|
3348 | "
|
---|
3349 | )
|
---|
3350 | )
|
---|
3351 | *102 (HdlText
|
---|
3352 | uid 10310,0
|
---|
3353 | optionalChildren [
|
---|
3354 | *103 (EmbeddedText
|
---|
3355 | uid 10316,0
|
---|
3356 | commentText (CommentText
|
---|
3357 | uid 10317,0
|
---|
3358 | ps "CenterOffsetStrategy"
|
---|
3359 | shape (Rectangle
|
---|
3360 | uid 10318,0
|
---|
3361 | va (VaSet
|
---|
3362 | vasetType 1
|
---|
3363 | fg "65535,65535,65535"
|
---|
3364 | lineColor "0,0,32768"
|
---|
3365 | lineWidth 2
|
---|
3366 | )
|
---|
3367 | xt "114000,57000,146000,99000"
|
---|
3368 | )
|
---|
3369 | oxt "0,0,18000,5000"
|
---|
3370 | text (MLText
|
---|
3371 | uid 10319,0
|
---|
3372 | va (VaSet
|
---|
3373 | )
|
---|
3374 | xt "114200,57200,135600,99200"
|
---|
3375 | st "
|
---|
3376 | -- testpins D_T2 are used as MAX3485 outputs.
|
---|
3377 |
|
---|
3378 | --D_T <= (others => '0');
|
---|
3379 | D_T <= w5300_state;
|
---|
3380 | --D_T2(0) <= debug_data_valid;
|
---|
3381 | D_T2(0) <= debug_data_ram_empty;
|
---|
3382 | --D_T2(1) <= socket_tx_free_out(16);
|
---|
3383 |
|
---|
3384 | D_T2(1) <= TRG_V;
|
---|
3385 | --D_T2 <= ( others => '0' );
|
---|
3386 |
|
---|
3387 |
|
---|
3388 | A0_T <= (others => '0');
|
---|
3389 | A1_T <= (others => '1');
|
---|
3390 |
|
---|
3391 |
|
---|
3392 | --A0_T <= DG_state;
|
---|
3393 | W_T(3 downto 0) <= mem_manager_state;
|
---|
3394 | --A1_T(7 downto 4) <= \"1100\";
|
---|
3395 |
|
---|
3396 | --A0_T <= socket_tx_free_out(7 downto 0);
|
---|
3397 | --A0_T <= spi_debug_16bit(7 downto 0);
|
---|
3398 | --A1_T <= spi_debug_16bit(15 downto 8);
|
---|
3399 | --A1_T <= socket_tx_free_out(15 downto 8);
|
---|
3400 |
|
---|
3401 | -- check SPI interfac
|
---|
3402 | --A1_T(7) <= sclk;
|
---|
3403 | --A1_T(6) <= MISO;
|
---|
3404 | --A1_T(5) <= mosi1;
|
---|
3405 |
|
---|
3406 | --A1_T(4) <= dac_cs1;
|
---|
3407 | --A1_T( 3 downto 0) <= sensor_cs;
|
---|
3408 |
|
---|
3409 |
|
---|
3410 | --D_T(3 downto 0) <= counter_result ( 11 downto 8);
|
---|
3411 | --D_T(4) <= alarm_refclk_too_low;
|
---|
3412 | --D_T(5) <= alarm_refclk_too_high;
|
---|
3413 | --D_T(6) <= '0';
|
---|
3414 | --D_T(7) <= '0';
|
---|
3415 |
|
---|
3416 |
|
---|
3417 |
|
---|
3418 | -- additional MAX3485 is switched to shutdown mode
|
---|
3419 | RS485_C_RE <= '1'; --inverted logic
|
---|
3420 | RS485_C_DE <= '0';
|
---|
3421 | RS485_C_DO <= '0';
|
---|
3422 | -- MAX3485 receiver out pit is fed out... should be HIGH-Z
|
---|
3423 |
|
---|
3424 |
|
---|
3425 | -- EEPROM is not used on FAD. CS is always high.
|
---|
3426 | EE_CS <= '1';
|
---|
3427 | "
|
---|
3428 | tm "HdlTextMgr"
|
---|
3429 | wrapOption 3
|
---|
3430 | visibleHeight 42000
|
---|
3431 | visibleWidth 32000
|
---|
3432 | )
|
---|
3433 | )
|
---|
3434 | )
|
---|
3435 | ]
|
---|
3436 | shape (Rectangle
|
---|
3437 | uid 10311,0
|
---|
3438 | va (VaSet
|
---|
3439 | vasetType 1
|
---|
3440 | fg "65535,65535,37120"
|
---|
3441 | lineColor "0,0,32768"
|
---|
3442 | lineWidth 2
|
---|
3443 | )
|
---|
3444 | xt "165000,104000,171000,140000"
|
---|
3445 | )
|
---|
3446 | oxt "0,0,8000,10000"
|
---|
3447 | ttg (MlTextGroup
|
---|
3448 | uid 10312,0
|
---|
3449 | ps "CenterOffsetStrategy"
|
---|
3450 | stg "VerticalLayoutStrategy"
|
---|
3451 | textVec [
|
---|
3452 | *104 (Text
|
---|
3453 | uid 10313,0
|
---|
3454 | va (VaSet
|
---|
3455 | font "Arial,8,1"
|
---|
3456 | )
|
---|
3457 | xt "168150,107000,169850,108000"
|
---|
3458 | st "eb3"
|
---|
3459 | blo "168150,107800"
|
---|
3460 | tm "HdlTextNameMgr"
|
---|
3461 | )
|
---|
3462 | *105 (Text
|
---|
3463 | uid 10314,0
|
---|
3464 | va (VaSet
|
---|
3465 | font "Arial,8,1"
|
---|
3466 | )
|
---|
3467 | xt "168150,108000,168950,109000"
|
---|
3468 | st "9"
|
---|
3469 | blo "168150,108800"
|
---|
3470 | tm "HdlTextNumberMgr"
|
---|
3471 | )
|
---|
3472 | ]
|
---|
3473 | )
|
---|
3474 | viewicon (ZoomableIcon
|
---|
3475 | uid 10315,0
|
---|
3476 | sl 0
|
---|
3477 | va (VaSet
|
---|
3478 | vasetType 1
|
---|
3479 | fg "49152,49152,49152"
|
---|
3480 | )
|
---|
3481 | xt "165250,138250,166750,139750"
|
---|
3482 | iconName "TextFile.png"
|
---|
3483 | iconMaskName "TextFile.msk"
|
---|
3484 | ftype 21
|
---|
3485 | )
|
---|
3486 | viewiconposition 0
|
---|
3487 | )
|
---|
3488 | *106 (PortIoOut
|
---|
3489 | uid 11104,0
|
---|
3490 | shape (CompositeShape
|
---|
3491 | uid 11105,0
|
---|
3492 | va (VaSet
|
---|
3493 | vasetType 1
|
---|
3494 | fg "0,0,32768"
|
---|
3495 | )
|
---|
3496 | optionalChildren [
|
---|
3497 | (Pentagon
|
---|
3498 | uid 11106,0
|
---|
3499 | sl 0
|
---|
3500 | ro 270
|
---|
3501 | xt "176500,129625,178000,130375"
|
---|
3502 | )
|
---|
3503 | (Line
|
---|
3504 | uid 11107,0
|
---|
3505 | sl 0
|
---|
3506 | ro 270
|
---|
3507 | xt "176000,130000,176500,130000"
|
---|
3508 | pts [
|
---|
3509 | "176000,130000"
|
---|
3510 | "176500,130000"
|
---|
3511 | ]
|
---|
3512 | )
|
---|
3513 | ]
|
---|
3514 | )
|
---|
3515 | stc 0
|
---|
3516 | sf 1
|
---|
3517 | tg (WTG
|
---|
3518 | uid 11108,0
|
---|
3519 | ps "PortIoTextPlaceStrategy"
|
---|
3520 | stg "STSignalDisplayStrategy"
|
---|
3521 | f (Text
|
---|
3522 | uid 11109,0
|
---|
3523 | va (VaSet
|
---|
3524 | )
|
---|
3525 | xt "179000,129500,185000,130500"
|
---|
3526 | st "RS485_C_RE"
|
---|
3527 | blo "179000,130300"
|
---|
3528 | tm "WireNameMgr"
|
---|
3529 | )
|
---|
3530 | )
|
---|
3531 | )
|
---|
3532 | *107 (Net
|
---|
3533 | uid 11116,0
|
---|
3534 | decl (Decl
|
---|
3535 | n "RS485_C_DO"
|
---|
3536 | t "std_logic"
|
---|
3537 | o 35
|
---|
3538 | suid 198,0
|
---|
3539 | )
|
---|
3540 | declText (MLText
|
---|
3541 | uid 11117,0
|
---|
3542 | va (VaSet
|
---|
3543 | font "Courier New,8,0"
|
---|
3544 | )
|
---|
3545 | xt "39000,31000,57000,31800"
|
---|
3546 | st "RS485_C_DO : std_logic
|
---|
3547 | "
|
---|
3548 | )
|
---|
3549 | )
|
---|
3550 | *108 (PortIoIn
|
---|
3551 | uid 11508,0
|
---|
3552 | shape (CompositeShape
|
---|
3553 | uid 11509,0
|
---|
3554 | va (VaSet
|
---|
3555 | vasetType 1
|
---|
3556 | fg "0,0,32768"
|
---|
3557 | )
|
---|
3558 | optionalChildren [
|
---|
3559 | (Pentagon
|
---|
3560 | uid 11510,0
|
---|
3561 | sl 0
|
---|
3562 | ro 90
|
---|
3563 | xt "85500,149625,87000,150375"
|
---|
3564 | )
|
---|
3565 | (Line
|
---|
3566 | uid 11511,0
|
---|
3567 | sl 0
|
---|
3568 | ro 90
|
---|
3569 | xt "85000,150000,85500,150000"
|
---|
3570 | pts [
|
---|
3571 | "85500,150000"
|
---|
3572 | "85000,150000"
|
---|
3573 | ]
|
---|
3574 | )
|
---|
3575 | ]
|
---|
3576 | )
|
---|
3577 | stc 0
|
---|
3578 | sf 1
|
---|
3579 | tg (WTG
|
---|
3580 | uid 11512,0
|
---|
3581 | ps "PortIoTextPlaceStrategy"
|
---|
3582 | stg "STSignalDisplayStrategy"
|
---|
3583 | f (Text
|
---|
3584 | uid 11513,0
|
---|
3585 | va (VaSet
|
---|
3586 | )
|
---|
3587 | xt "88000,149500,94000,150500"
|
---|
3588 | st "RS485_E_DI"
|
---|
3589 | blo "88000,150300"
|
---|
3590 | tm "WireNameMgr"
|
---|
3591 | )
|
---|
3592 | )
|
---|
3593 | )
|
---|
3594 | *109 (Net
|
---|
3595 | uid 11520,0
|
---|
3596 | decl (Decl
|
---|
3597 | n "RS485_E_DI"
|
---|
3598 | t "std_logic"
|
---|
3599 | o 14
|
---|
3600 | suid 200,0
|
---|
3601 | )
|
---|
3602 | declText (MLText
|
---|
3603 | uid 11521,0
|
---|
3604 | va (VaSet
|
---|
3605 | font "Courier New,8,0"
|
---|
3606 | )
|
---|
3607 | xt "39000,14200,57000,15000"
|
---|
3608 | st "RS485_E_DI : std_logic
|
---|
3609 | "
|
---|
3610 | )
|
---|
3611 | )
|
---|
3612 | *110 (Net
|
---|
3613 | uid 11534,0
|
---|
3614 | decl (Decl
|
---|
3615 | n "RS485_E_DO"
|
---|
3616 | t "std_logic"
|
---|
3617 | o 38
|
---|
3618 | suid 201,0
|
---|
3619 | )
|
---|
3620 | declText (MLText
|
---|
3621 | uid 11535,0
|
---|
3622 | va (VaSet
|
---|
3623 | font "Courier New,8,0"
|
---|
3624 | )
|
---|
3625 | xt "39000,33400,57000,34200"
|
---|
3626 | st "RS485_E_DO : std_logic
|
---|
3627 | "
|
---|
3628 | )
|
---|
3629 | )
|
---|
3630 | *111 (PortIoOut
|
---|
3631 | uid 12326,0
|
---|
3632 | shape (CompositeShape
|
---|
3633 | uid 12327,0
|
---|
3634 | va (VaSet
|
---|
3635 | vasetType 1
|
---|
3636 | fg "0,0,32768"
|
---|
3637 | )
|
---|
3638 | optionalChildren [
|
---|
3639 | (Pentagon
|
---|
3640 | uid 12328,0
|
---|
3641 | sl 0
|
---|
3642 | ro 270
|
---|
3643 | xt "87500,139625,89000,140375"
|
---|
3644 | )
|
---|
3645 | (Line
|
---|
3646 | uid 12329,0
|
---|
3647 | sl 0
|
---|
3648 | ro 270
|
---|
3649 | xt "87000,140000,87500,140000"
|
---|
3650 | pts [
|
---|
3651 | "87000,140000"
|
---|
3652 | "87500,140000"
|
---|
3653 | ]
|
---|
3654 | )
|
---|
3655 | ]
|
---|
3656 | )
|
---|
3657 | stc 0
|
---|
3658 | sf 1
|
---|
3659 | tg (WTG
|
---|
3660 | uid 12330,0
|
---|
3661 | ps "PortIoTextPlaceStrategy"
|
---|
3662 | stg "STSignalDisplayStrategy"
|
---|
3663 | f (Text
|
---|
3664 | uid 12331,0
|
---|
3665 | va (VaSet
|
---|
3666 | )
|
---|
3667 | xt "89000,139500,91500,140500"
|
---|
3668 | st "SRIN"
|
---|
3669 | blo "89000,140300"
|
---|
3670 | tm "WireNameMgr"
|
---|
3671 | )
|
---|
3672 | )
|
---|
3673 | )
|
---|
3674 | *112 (Net
|
---|
3675 | uid 12334,0
|
---|
3676 | decl (Decl
|
---|
3677 | n "SRIN"
|
---|
3678 | t "std_logic"
|
---|
3679 | o 41
|
---|
3680 | suid 203,0
|
---|
3681 | i "'0'"
|
---|
3682 | )
|
---|
3683 | declText (MLText
|
---|
3684 | uid 12335,0
|
---|
3685 | va (VaSet
|
---|
3686 | font "Courier New,8,0"
|
---|
3687 | )
|
---|
3688 | xt "39000,35800,71500,36600"
|
---|
3689 | st "SRIN : std_logic := '0'
|
---|
3690 | "
|
---|
3691 | )
|
---|
3692 | )
|
---|
3693 | *113 (PortIoOut
|
---|
3694 | uid 12539,0
|
---|
3695 | shape (CompositeShape
|
---|
3696 | uid 12540,0
|
---|
3697 | va (VaSet
|
---|
3698 | vasetType 1
|
---|
3699 | fg "0,0,32768"
|
---|
3700 | )
|
---|
3701 | optionalChildren [
|
---|
3702 | (Pentagon
|
---|
3703 | uid 12541,0
|
---|
3704 | sl 0
|
---|
3705 | ro 270
|
---|
3706 | xt "87500,134625,89000,135375"
|
---|
3707 | )
|
---|
3708 | (Line
|
---|
3709 | uid 12542,0
|
---|
3710 | sl 0
|
---|
3711 | ro 270
|
---|
3712 | xt "87000,135000,87500,135000"
|
---|
3713 | pts [
|
---|
3714 | "87000,135000"
|
---|
3715 | "87500,135000"
|
---|
3716 | ]
|
---|
3717 | )
|
---|
3718 | ]
|
---|
3719 | )
|
---|
3720 | stc 0
|
---|
3721 | sf 1
|
---|
3722 | tg (WTG
|
---|
3723 | uid 12543,0
|
---|
3724 | ps "PortIoTextPlaceStrategy"
|
---|
3725 | stg "STSignalDisplayStrategy"
|
---|
3726 | f (Text
|
---|
3727 | uid 12544,0
|
---|
3728 | va (VaSet
|
---|
3729 | )
|
---|
3730 | xt "90000,134500,95200,135500"
|
---|
3731 | st "AMBER_LED"
|
---|
3732 | blo "90000,135300"
|
---|
3733 | tm "WireNameMgr"
|
---|
3734 | )
|
---|
3735 | )
|
---|
3736 | )
|
---|
3737 | *114 (PortIoOut
|
---|
3738 | uid 12553,0
|
---|
3739 | shape (CompositeShape
|
---|
3740 | uid 12554,0
|
---|
3741 | va (VaSet
|
---|
3742 | vasetType 1
|
---|
3743 | fg "0,0,32768"
|
---|
3744 | )
|
---|
3745 | optionalChildren [
|
---|
3746 | (Pentagon
|
---|
3747 | uid 12555,0
|
---|
3748 | sl 0
|
---|
3749 | ro 270
|
---|
3750 | xt "87500,133625,89000,134375"
|
---|
3751 | )
|
---|
3752 | (Line
|
---|
3753 | uid 12556,0
|
---|
3754 | sl 0
|
---|
3755 | ro 270
|
---|
3756 | xt "87000,134000,87500,134000"
|
---|
3757 | pts [
|
---|
3758 | "87000,134000"
|
---|
3759 | "87500,134000"
|
---|
3760 | ]
|
---|
3761 | )
|
---|
3762 | ]
|
---|
3763 | )
|
---|
3764 | stc 0
|
---|
3765 | sf 1
|
---|
3766 | tg (WTG
|
---|
3767 | uid 12557,0
|
---|
3768 | ps "PortIoTextPlaceStrategy"
|
---|
3769 | stg "STSignalDisplayStrategy"
|
---|
3770 | f (Text
|
---|
3771 | uid 12558,0
|
---|
3772 | va (VaSet
|
---|
3773 | )
|
---|
3774 | xt "90000,133500,95000,134500"
|
---|
3775 | st "GREEN_LED"
|
---|
3776 | blo "90000,134300"
|
---|
3777 | tm "WireNameMgr"
|
---|
3778 | )
|
---|
3779 | )
|
---|
3780 | )
|
---|
3781 | *115 (PortIoOut
|
---|
3782 | uid 12567,0
|
---|
3783 | shape (CompositeShape
|
---|
3784 | uid 12568,0
|
---|
3785 | va (VaSet
|
---|
3786 | vasetType 1
|
---|
3787 | fg "0,0,32768"
|
---|
3788 | )
|
---|
3789 | optionalChildren [
|
---|
3790 | (Pentagon
|
---|
3791 | uid 12569,0
|
---|
3792 | sl 0
|
---|
3793 | ro 270
|
---|
3794 | xt "87500,135625,89000,136375"
|
---|
3795 | )
|
---|
3796 | (Line
|
---|
3797 | uid 12570,0
|
---|
3798 | sl 0
|
---|
3799 | ro 270
|
---|
3800 | xt "87000,136000,87500,136000"
|
---|
3801 | pts [
|
---|
3802 | "87000,136000"
|
---|
3803 | "87500,136000"
|
---|
3804 | ]
|
---|
3805 | )
|
---|
3806 | ]
|
---|
3807 | )
|
---|
3808 | stc 0
|
---|
3809 | sf 1
|
---|
3810 | tg (WTG
|
---|
3811 | uid 12571,0
|
---|
3812 | ps "PortIoTextPlaceStrategy"
|
---|
3813 | stg "STSignalDisplayStrategy"
|
---|
3814 | f (Text
|
---|
3815 | uid 12572,0
|
---|
3816 | va (VaSet
|
---|
3817 | )
|
---|
3818 | xt "90000,135500,94000,136500"
|
---|
3819 | st "RED_LED"
|
---|
3820 | blo "90000,136300"
|
---|
3821 | tm "WireNameMgr"
|
---|
3822 | )
|
---|
3823 | )
|
---|
3824 | )
|
---|
3825 | *116 (Net
|
---|
3826 | uid 12762,0
|
---|
3827 | decl (Decl
|
---|
3828 | n "AMBER_LED"
|
---|
3829 | t "std_logic"
|
---|
3830 | o 20
|
---|
3831 | suid 207,0
|
---|
3832 | )
|
---|
3833 | declText (MLText
|
---|
3834 | uid 12763,0
|
---|
3835 | va (VaSet
|
---|
3836 | font "Courier New,8,0"
|
---|
3837 | )
|
---|
3838 | xt "39000,19000,57000,19800"
|
---|
3839 | st "AMBER_LED : std_logic
|
---|
3840 | "
|
---|
3841 | )
|
---|
3842 | )
|
---|
3843 | *117 (Net
|
---|
3844 | uid 12764,0
|
---|
3845 | decl (Decl
|
---|
3846 | n "GREEN_LED"
|
---|
3847 | t "std_logic"
|
---|
3848 | o 30
|
---|
3849 | suid 208,0
|
---|
3850 | )
|
---|
3851 | declText (MLText
|
---|
3852 | uid 12765,0
|
---|
3853 | va (VaSet
|
---|
3854 | font "Courier New,8,0"
|
---|
3855 | )
|
---|
3856 | xt "39000,27000,57000,27800"
|
---|
3857 | st "GREEN_LED : std_logic
|
---|
3858 | "
|
---|
3859 | )
|
---|
3860 | )
|
---|
3861 | *118 (Net
|
---|
3862 | uid 12766,0
|
---|
3863 | decl (Decl
|
---|
3864 | n "RED_LED"
|
---|
3865 | t "std_logic"
|
---|
3866 | o 33
|
---|
3867 | suid 209,0
|
---|
3868 | )
|
---|
3869 | declText (MLText
|
---|
3870 | uid 12767,0
|
---|
3871 | va (VaSet
|
---|
3872 | font "Courier New,8,0"
|
---|
3873 | )
|
---|
3874 | xt "39000,29400,57000,30200"
|
---|
3875 | st "RED_LED : std_logic
|
---|
3876 | "
|
---|
3877 | )
|
---|
3878 | )
|
---|
3879 | *119 (PortIoIn
|
---|
3880 | uid 13516,0
|
---|
3881 | shape (CompositeShape
|
---|
3882 | uid 13517,0
|
---|
3883 | va (VaSet
|
---|
3884 | vasetType 1
|
---|
3885 | fg "0,0,32768"
|
---|
3886 | )
|
---|
3887 | optionalChildren [
|
---|
3888 | (Pentagon
|
---|
3889 | uid 13518,0
|
---|
3890 | sl 0
|
---|
3891 | ro 270
|
---|
3892 | xt "20000,80625,21500,81375"
|
---|
3893 | )
|
---|
3894 | (Line
|
---|
3895 | uid 13519,0
|
---|
3896 | sl 0
|
---|
3897 | ro 270
|
---|
3898 | xt "21500,81000,22000,81000"
|
---|
3899 | pts [
|
---|
3900 | "21500,81000"
|
---|
3901 | "22000,81000"
|
---|
3902 | ]
|
---|
3903 | )
|
---|
3904 | ]
|
---|
3905 | )
|
---|
3906 | stc 0
|
---|
3907 | sf 1
|
---|
3908 | tg (WTG
|
---|
3909 | uid 13520,0
|
---|
3910 | ps "PortIoTextPlaceStrategy"
|
---|
3911 | stg "STSignalDisplayStrategy"
|
---|
3912 | f (Text
|
---|
3913 | uid 13521,0
|
---|
3914 | va (VaSet
|
---|
3915 | )
|
---|
3916 | xt "16700,80500,19000,81500"
|
---|
3917 | st "LINE"
|
---|
3918 | ju 2
|
---|
3919 | blo "19000,81300"
|
---|
3920 | tm "WireNameMgr"
|
---|
3921 | )
|
---|
3922 | )
|
---|
3923 | )
|
---|
3924 | *120 (Net
|
---|
3925 | uid 13528,0
|
---|
3926 | decl (Decl
|
---|
3927 | n "LINE"
|
---|
3928 | t "std_logic_vector"
|
---|
3929 | b "( 5 DOWNTO 0 )"
|
---|
3930 | o 12
|
---|
3931 | suid 210,0
|
---|
3932 | )
|
---|
3933 | declText (MLText
|
---|
3934 | uid 13529,0
|
---|
3935 | va (VaSet
|
---|
3936 | font "Courier New,8,0"
|
---|
3937 | )
|
---|
3938 | xt "39000,12600,68000,13400"
|
---|
3939 | st "LINE : std_logic_vector( 5 DOWNTO 0 )
|
---|
3940 | "
|
---|
3941 | )
|
---|
3942 | )
|
---|
3943 | *121 (PortIoIn
|
---|
3944 | uid 13628,0
|
---|
3945 | shape (CompositeShape
|
---|
3946 | uid 13629,0
|
---|
3947 | va (VaSet
|
---|
3948 | vasetType 1
|
---|
3949 | fg "0,0,32768"
|
---|
3950 | )
|
---|
3951 | optionalChildren [
|
---|
3952 | (Pentagon
|
---|
3953 | uid 13630,0
|
---|
3954 | sl 0
|
---|
3955 | ro 270
|
---|
3956 | xt "47000,132625,48500,133375"
|
---|
3957 | )
|
---|
3958 | (Line
|
---|
3959 | uid 13631,0
|
---|
3960 | sl 0
|
---|
3961 | ro 270
|
---|
3962 | xt "48500,133000,49000,133000"
|
---|
3963 | pts [
|
---|
3964 | "48500,133000"
|
---|
3965 | "49000,133000"
|
---|
3966 | ]
|
---|
3967 | )
|
---|
3968 | ]
|
---|
3969 | )
|
---|
3970 | stc 0
|
---|
3971 | sf 1
|
---|
3972 | tg (WTG
|
---|
3973 | uid 13632,0
|
---|
3974 | ps "PortIoTextPlaceStrategy"
|
---|
3975 | stg "STSignalDisplayStrategy"
|
---|
3976 | f (Text
|
---|
3977 | uid 13633,0
|
---|
3978 | va (VaSet
|
---|
3979 | )
|
---|
3980 | xt "42700,132500,46000,133500"
|
---|
3981 | st "REFCLK"
|
---|
3982 | ju 2
|
---|
3983 | blo "46000,133300"
|
---|
3984 | tm "WireNameMgr"
|
---|
3985 | )
|
---|
3986 | )
|
---|
3987 | )
|
---|
3988 | *122 (Net
|
---|
3989 | uid 13640,0
|
---|
3990 | decl (Decl
|
---|
3991 | n "REFCLK"
|
---|
3992 | t "std_logic"
|
---|
3993 | o 13
|
---|
3994 | suid 211,0
|
---|
3995 | )
|
---|
3996 | declText (MLText
|
---|
3997 | uid 13641,0
|
---|
3998 | va (VaSet
|
---|
3999 | font "Courier New,8,0"
|
---|
4000 | )
|
---|
4001 | xt "39000,13400,57000,14200"
|
---|
4002 | st "REFCLK : std_logic
|
---|
4003 | "
|
---|
4004 | )
|
---|
4005 | )
|
---|
4006 | *123 (PortIoIn
|
---|
4007 | uid 14322,0
|
---|
4008 | shape (CompositeShape
|
---|
4009 | uid 14323,0
|
---|
4010 | va (VaSet
|
---|
4011 | vasetType 1
|
---|
4012 | fg "0,0,32768"
|
---|
4013 | )
|
---|
4014 | optionalChildren [
|
---|
4015 | (Pentagon
|
---|
4016 | uid 14324,0
|
---|
4017 | sl 0
|
---|
4018 | ro 270
|
---|
4019 | xt "47000,131625,48500,132375"
|
---|
4020 | )
|
---|
4021 | (Line
|
---|
4022 | uid 14325,0
|
---|
4023 | sl 0
|
---|
4024 | ro 270
|
---|
4025 | xt "48500,132000,49000,132000"
|
---|
4026 | pts [
|
---|
4027 | "48500,132000"
|
---|
4028 | "49000,132000"
|
---|
4029 | ]
|
---|
4030 | )
|
---|
4031 | ]
|
---|
4032 | )
|
---|
4033 | stc 0
|
---|
4034 | sf 1
|
---|
4035 | tg (WTG
|
---|
4036 | uid 14326,0
|
---|
4037 | ps "PortIoTextPlaceStrategy"
|
---|
4038 | stg "STSignalDisplayStrategy"
|
---|
4039 | f (Text
|
---|
4040 | uid 14327,0
|
---|
4041 | va (VaSet
|
---|
4042 | )
|
---|
4043 | xt "42900,131500,46000,132500"
|
---|
4044 | st "D_T_in"
|
---|
4045 | ju 2
|
---|
4046 | blo "46000,132300"
|
---|
4047 | tm "WireNameMgr"
|
---|
4048 | )
|
---|
4049 | )
|
---|
4050 | )
|
---|
4051 | *124 (Net
|
---|
4052 | uid 14334,0
|
---|
4053 | decl (Decl
|
---|
4054 | n "D_T_in"
|
---|
4055 | t "std_logic_vector"
|
---|
4056 | b "(1 DOWNTO 0)"
|
---|
4057 | o 11
|
---|
4058 | suid 213,0
|
---|
4059 | )
|
---|
4060 | declText (MLText
|
---|
4061 | uid 14335,0
|
---|
4062 | va (VaSet
|
---|
4063 | font "Courier New,8,0"
|
---|
4064 | )
|
---|
4065 | xt "39000,11800,67000,12600"
|
---|
4066 | st "D_T_in : std_logic_vector(1 DOWNTO 0)
|
---|
4067 | "
|
---|
4068 | )
|
---|
4069 | )
|
---|
4070 | *125 (Net
|
---|
4071 | uid 15173,0
|
---|
4072 | decl (Decl
|
---|
4073 | n "led"
|
---|
4074 | t "std_logic_vector"
|
---|
4075 | b "(7 DOWNTO 0)"
|
---|
4076 | posAdd 0
|
---|
4077 | o 65
|
---|
4078 | suid 215,0
|
---|
4079 | i "(OTHERS => '0')"
|
---|
4080 | )
|
---|
4081 | declText (MLText
|
---|
4082 | uid 15174,0
|
---|
4083 | va (VaSet
|
---|
4084 | font "Courier New,8,0"
|
---|
4085 | )
|
---|
4086 | xt "39000,57600,81000,58400"
|
---|
4087 | st "SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
|
---|
4088 | "
|
---|
4089 | )
|
---|
4090 | )
|
---|
4091 | *126 (PortIoOut
|
---|
4092 | uid 15557,0
|
---|
4093 | shape (CompositeShape
|
---|
4094 | uid 15558,0
|
---|
4095 | va (VaSet
|
---|
4096 | vasetType 1
|
---|
4097 | fg "0,0,32768"
|
---|
4098 | )
|
---|
4099 | optionalChildren [
|
---|
4100 | (Pentagon
|
---|
4101 | uid 15559,0
|
---|
4102 | sl 0
|
---|
4103 | ro 270
|
---|
4104 | xt "85500,148625,87000,149375"
|
---|
4105 | )
|
---|
4106 | (Line
|
---|
4107 | uid 15560,0
|
---|
4108 | sl 0
|
---|
4109 | ro 270
|
---|
4110 | xt "85000,149000,85500,149000"
|
---|
4111 | pts [
|
---|
4112 | "85000,149000"
|
---|
4113 | "85500,149000"
|
---|
4114 | ]
|
---|
4115 | )
|
---|
4116 | ]
|
---|
4117 | )
|
---|
4118 | stc 0
|
---|
4119 | sf 1
|
---|
4120 | tg (WTG
|
---|
4121 | uid 15561,0
|
---|
4122 | ps "PortIoTextPlaceStrategy"
|
---|
4123 | stg "STSignalDisplayStrategy"
|
---|
4124 | f (Text
|
---|
4125 | uid 15562,0
|
---|
4126 | va (VaSet
|
---|
4127 | )
|
---|
4128 | xt "88000,148500,94200,149500"
|
---|
4129 | st "RS485_E_DO"
|
---|
4130 | blo "88000,149300"
|
---|
4131 | tm "WireNameMgr"
|
---|
4132 | )
|
---|
4133 | )
|
---|
4134 | )
|
---|
4135 | *127 (PortIoIn
|
---|
4136 | uid 15706,0
|
---|
4137 | shape (CompositeShape
|
---|
4138 | uid 15707,0
|
---|
4139 | va (VaSet
|
---|
4140 | vasetType 1
|
---|
4141 | fg "0,0,32768"
|
---|
4142 | )
|
---|
4143 | optionalChildren [
|
---|
4144 | (Pentagon
|
---|
4145 | uid 15708,0
|
---|
4146 | sl 0
|
---|
4147 | ro 270
|
---|
4148 | xt "47000,136625,48500,137375"
|
---|
4149 | )
|
---|
4150 | (Line
|
---|
4151 | uid 15709,0
|
---|
4152 | sl 0
|
---|
4153 | ro 270
|
---|
4154 | xt "48500,137000,49000,137000"
|
---|
4155 | pts [
|
---|
4156 | "48500,137000"
|
---|
4157 | "49000,137000"
|
---|
4158 | ]
|
---|
4159 | )
|
---|
4160 | ]
|
---|
4161 | )
|
---|
4162 | stc 0
|
---|
4163 | sf 1
|
---|
4164 | tg (WTG
|
---|
4165 | uid 15710,0
|
---|
4166 | ps "PortIoTextPlaceStrategy"
|
---|
4167 | stg "STSignalDisplayStrategy"
|
---|
4168 | f (Text
|
---|
4169 | uid 15711,0
|
---|
4170 | va (VaSet
|
---|
4171 | )
|
---|
4172 | xt "41900,136500,46000,137500"
|
---|
4173 | st "D_PLLLCK"
|
---|
4174 | ju 2
|
---|
4175 | blo "46000,137300"
|
---|
4176 | tm "WireNameMgr"
|
---|
4177 | )
|
---|
4178 | )
|
---|
4179 | )
|
---|
4180 | *128 (Net
|
---|
4181 | uid 15718,0
|
---|
4182 | decl (Decl
|
---|
4183 | n "D_PLLLCK"
|
---|
4184 | t "std_logic_vector"
|
---|
4185 | b "(3 DOWNTO 0)"
|
---|
4186 | o 10
|
---|
4187 | suid 216,0
|
---|
4188 | )
|
---|
4189 | declText (MLText
|
---|
4190 | uid 15719,0
|
---|
4191 | va (VaSet
|
---|
4192 | font "Courier New,8,0"
|
---|
4193 | )
|
---|
4194 | xt "39000,11000,67000,11800"
|
---|
4195 | st "D_PLLLCK : std_logic_vector(3 DOWNTO 0)
|
---|
4196 | "
|
---|
4197 | )
|
---|
4198 | )
|
---|
4199 | *129 (PortIoOut
|
---|
4200 | uid 15845,0
|
---|
4201 | shape (CompositeShape
|
---|
4202 | uid 15846,0
|
---|
4203 | va (VaSet
|
---|
4204 | vasetType 1
|
---|
4205 | fg "0,0,32768"
|
---|
4206 | )
|
---|
4207 | optionalChildren [
|
---|
4208 | (Pentagon
|
---|
4209 | uid 15847,0
|
---|
4210 | sl 0
|
---|
4211 | ro 270
|
---|
4212 | xt "95500,87625,97000,88375"
|
---|
4213 | )
|
---|
4214 | (Line
|
---|
4215 | uid 15848,0
|
---|
4216 | sl 0
|
---|
4217 | ro 270
|
---|
4218 | xt "95000,88000,95500,88000"
|
---|
4219 | pts [
|
---|
4220 | "95000,88000"
|
---|
4221 | "95500,88000"
|
---|
4222 | ]
|
---|
4223 | )
|
---|
4224 | ]
|
---|
4225 | )
|
---|
4226 | stc 0
|
---|
4227 | sf 1
|
---|
4228 | tg (WTG
|
---|
4229 | uid 15849,0
|
---|
4230 | ps "PortIoTextPlaceStrategy"
|
---|
4231 | stg "STSignalDisplayStrategy"
|
---|
4232 | f (Text
|
---|
4233 | uid 15850,0
|
---|
4234 | va (VaSet
|
---|
4235 | )
|
---|
4236 | xt "98000,87500,100000,88500"
|
---|
4237 | st "TCS"
|
---|
4238 | blo "98000,88300"
|
---|
4239 | tm "WireNameMgr"
|
---|
4240 | )
|
---|
4241 | )
|
---|
4242 | )
|
---|
4243 | *130 (Net
|
---|
4244 | uid 15857,0
|
---|
4245 | decl (Decl
|
---|
4246 | n "TCS"
|
---|
4247 | t "std_logic_vector"
|
---|
4248 | b "(3 DOWNTO 0)"
|
---|
4249 | o 43
|
---|
4250 | suid 217,0
|
---|
4251 | )
|
---|
4252 | declText (MLText
|
---|
4253 | uid 15858,0
|
---|
4254 | va (VaSet
|
---|
4255 | font "Courier New,8,0"
|
---|
4256 | )
|
---|
4257 | xt "39000,37400,67000,38200"
|
---|
4258 | st "TCS : std_logic_vector(3 DOWNTO 0)
|
---|
4259 | "
|
---|
4260 | )
|
---|
4261 | )
|
---|
4262 | *131 (PortIoOut
|
---|
4263 | uid 16057,0
|
---|
4264 | shape (CompositeShape
|
---|
4265 | uid 16058,0
|
---|
4266 | va (VaSet
|
---|
4267 | vasetType 1
|
---|
4268 | fg "0,0,32768"
|
---|
4269 | )
|
---|
4270 | optionalChildren [
|
---|
4271 | (Pentagon
|
---|
4272 | uid 16059,0
|
---|
4273 | sl 0
|
---|
4274 | ro 90
|
---|
4275 | xt "19000,112625,20500,113375"
|
---|
4276 | )
|
---|
4277 | (Line
|
---|
4278 | uid 16060,0
|
---|
4279 | sl 0
|
---|
4280 | ro 90
|
---|
4281 | xt "20500,113000,21000,113000"
|
---|
4282 | pts [
|
---|
4283 | "21000,113000"
|
---|
4284 | "20500,113000"
|
---|
4285 | ]
|
---|
4286 | )
|
---|
4287 | ]
|
---|
4288 | )
|
---|
4289 | stc 0
|
---|
4290 | sf 1
|
---|
4291 | tg (WTG
|
---|
4292 | uid 16061,0
|
---|
4293 | ps "PortIoTextPlaceStrategy"
|
---|
4294 | stg "STSignalDisplayStrategy"
|
---|
4295 | f (Text
|
---|
4296 | uid 16062,0
|
---|
4297 | va (VaSet
|
---|
4298 | )
|
---|
4299 | xt "14500,112500,18000,113500"
|
---|
4300 | st "DSRCLK"
|
---|
4301 | ju 2
|
---|
4302 | blo "18000,113300"
|
---|
4303 | tm "WireNameMgr"
|
---|
4304 | )
|
---|
4305 | )
|
---|
4306 | )
|
---|
4307 | *132 (Net
|
---|
4308 | uid 16069,0
|
---|
4309 | decl (Decl
|
---|
4310 | n "DSRCLK"
|
---|
4311 | t "std_logic_vector"
|
---|
4312 | b "(3 DOWNTO 0)"
|
---|
4313 | o 24
|
---|
4314 | suid 222,0
|
---|
4315 | i "(others => '0')"
|
---|
4316 | )
|
---|
4317 | declText (MLText
|
---|
4318 | uid 16070,0
|
---|
4319 | va (VaSet
|
---|
4320 | font "Courier New,8,0"
|
---|
4321 | )
|
---|
4322 | xt "39000,22200,77500,23000"
|
---|
4323 | st "DSRCLK : std_logic_vector(3 DOWNTO 0) := (others => '0')
|
---|
4324 | "
|
---|
4325 | )
|
---|
4326 | )
|
---|
4327 | *133 (Net
|
---|
4328 | uid 16245,0
|
---|
4329 | decl (Decl
|
---|
4330 | n "SRCLK"
|
---|
4331 | t "std_logic"
|
---|
4332 | o 56
|
---|
4333 | suid 225,0
|
---|
4334 | i "'0'"
|
---|
4335 | )
|
---|
4336 | declText (MLText
|
---|
4337 | uid 16246,0
|
---|
4338 | va (VaSet
|
---|
4339 | font "Courier New,8,0"
|
---|
4340 | )
|
---|
4341 | xt "39000,49600,75000,50400"
|
---|
4342 | st "SIGNAL SRCLK : std_logic := '0'
|
---|
4343 | "
|
---|
4344 | )
|
---|
4345 | )
|
---|
4346 | *134 (HdlText
|
---|
4347 | uid 16336,0
|
---|
4348 | optionalChildren [
|
---|
4349 | *135 (EmbeddedText
|
---|
4350 | uid 16342,0
|
---|
4351 | commentText (CommentText
|
---|
4352 | uid 16343,0
|
---|
4353 | ps "CenterOffsetStrategy"
|
---|
4354 | shape (Rectangle
|
---|
4355 | uid 16344,0
|
---|
4356 | va (VaSet
|
---|
4357 | vasetType 1
|
---|
4358 | fg "65535,65535,65535"
|
---|
4359 | lineColor "0,0,32768"
|
---|
4360 | lineWidth 2
|
---|
4361 | )
|
---|
4362 | xt "23000,116000,42000,118000"
|
---|
4363 | )
|
---|
4364 | oxt "0,0,18000,5000"
|
---|
4365 | text (MLText
|
---|
4366 | uid 16345,0
|
---|
4367 | va (VaSet
|
---|
4368 | )
|
---|
4369 | xt "23200,116200,40600,117200"
|
---|
4370 | st "
|
---|
4371 | DSRCLK <= ( SRCLK, SRCLK,SRCLK,SRCLK);
|
---|
4372 | "
|
---|
4373 | tm "HdlTextMgr"
|
---|
4374 | wrapOption 3
|
---|
4375 | visibleHeight 2000
|
---|
4376 | visibleWidth 19000
|
---|
4377 | )
|
---|
4378 | )
|
---|
4379 | )
|
---|
4380 | ]
|
---|
4381 | shape (Rectangle
|
---|
4382 | uid 16337,0
|
---|
4383 | va (VaSet
|
---|
4384 | vasetType 1
|
---|
4385 | fg "65535,65535,37120"
|
---|
4386 | lineColor "0,0,32768"
|
---|
4387 | lineWidth 2
|
---|
4388 | )
|
---|
4389 | xt "30000,112000,34000,116000"
|
---|
4390 | )
|
---|
4391 | oxt "0,0,8000,10000"
|
---|
4392 | ttg (MlTextGroup
|
---|
4393 | uid 16338,0
|
---|
4394 | ps "CenterOffsetStrategy"
|
---|
4395 | stg "VerticalLayoutStrategy"
|
---|
4396 | textVec [
|
---|
4397 | *136 (Text
|
---|
4398 | uid 16339,0
|
---|
4399 | va (VaSet
|
---|
4400 | font "Arial,8,1"
|
---|
4401 | )
|
---|
4402 | xt "30150,112000,33350,113000"
|
---|
4403 | st "SRCLK"
|
---|
4404 | blo "30150,112800"
|
---|
4405 | tm "HdlTextNameMgr"
|
---|
4406 | )
|
---|
4407 | *137 (Text
|
---|
4408 | uid 16340,0
|
---|
4409 | va (VaSet
|
---|
4410 | font "Arial,8,1"
|
---|
4411 | )
|
---|
4412 | xt "30150,113000,30950,114000"
|
---|
4413 | st "1"
|
---|
4414 | blo "30150,113800"
|
---|
4415 | tm "HdlTextNumberMgr"
|
---|
4416 | )
|
---|
4417 | ]
|
---|
4418 | )
|
---|
4419 | viewicon (ZoomableIcon
|
---|
4420 | uid 16341,0
|
---|
4421 | sl 0
|
---|
4422 | va (VaSet
|
---|
4423 | vasetType 1
|
---|
4424 | fg "49152,49152,49152"
|
---|
4425 | )
|
---|
4426 | xt "30250,114250,31750,115750"
|
---|
4427 | iconName "TextFile.png"
|
---|
4428 | iconMaskName "TextFile.msk"
|
---|
4429 | ftype 21
|
---|
4430 | )
|
---|
4431 | viewiconposition 0
|
---|
4432 | )
|
---|
4433 | *138 (Net
|
---|
4434 | uid 16536,0
|
---|
4435 | decl (Decl
|
---|
4436 | n "alarm_refclk_too_high"
|
---|
4437 | t "std_logic"
|
---|
4438 | o 58
|
---|
4439 | suid 226,0
|
---|
4440 | i "'0'"
|
---|
4441 | )
|
---|
4442 | declText (MLText
|
---|
4443 | uid 16537,0
|
---|
4444 | va (VaSet
|
---|
4445 | font "Courier New,8,0"
|
---|
4446 | )
|
---|
4447 | xt "39000,51200,75000,52000"
|
---|
4448 | st "SIGNAL alarm_refclk_too_high : std_logic := '0'
|
---|
4449 | "
|
---|
4450 | )
|
---|
4451 | )
|
---|
4452 | *139 (Net
|
---|
4453 | uid 16544,0
|
---|
4454 | decl (Decl
|
---|
4455 | n "alarm_refclk_too_low"
|
---|
4456 | t "std_logic"
|
---|
4457 | o 59
|
---|
4458 | suid 227,0
|
---|
4459 | i "'0'"
|
---|
4460 | )
|
---|
4461 | declText (MLText
|
---|
4462 | uid 16545,0
|
---|
4463 | va (VaSet
|
---|
4464 | font "Courier New,8,0"
|
---|
4465 | )
|
---|
4466 | xt "39000,52000,75000,52800"
|
---|
4467 | st "SIGNAL alarm_refclk_too_low : std_logic := '0'
|
---|
4468 | "
|
---|
4469 | )
|
---|
4470 | )
|
---|
4471 | *140 (Net
|
---|
4472 | uid 16574,0
|
---|
4473 | decl (Decl
|
---|
4474 | n "counter_result"
|
---|
4475 | t "std_logic_vector"
|
---|
4476 | b "(11 downto 0)"
|
---|
4477 | o 61
|
---|
4478 | suid 230,0
|
---|
4479 | i "(others => '0')"
|
---|
4480 | )
|
---|
4481 | declText (MLText
|
---|
4482 | uid 16575,0
|
---|
4483 | va (VaSet
|
---|
4484 | font "Courier New,8,0"
|
---|
4485 | )
|
---|
4486 | xt "39000,53600,81000,54400"
|
---|
4487 | st "SIGNAL counter_result : std_logic_vector(11 downto 0) := (others => '0')
|
---|
4488 | "
|
---|
4489 | )
|
---|
4490 | )
|
---|
4491 | *141 (SaComponent
|
---|
4492 | uid 17195,0
|
---|
4493 | optionalChildren [
|
---|
4494 | *142 (CptPort
|
---|
4495 | uid 17027,0
|
---|
4496 | ps "OnEdgeStrategy"
|
---|
4497 | shape (Triangle
|
---|
4498 | uid 17028,0
|
---|
4499 | ro 90
|
---|
4500 | va (VaSet
|
---|
4501 | vasetType 1
|
---|
4502 | fg "0,65535,0"
|
---|
4503 | )
|
---|
4504 | xt "80000,70625,80750,71375"
|
---|
4505 | )
|
---|
4506 | tg (CPTG
|
---|
4507 | uid 17029,0
|
---|
4508 | ps "CptPortTextPlaceStrategy"
|
---|
4509 | stg "RightVerticalLayoutStrategy"
|
---|
4510 | f (Text
|
---|
4511 | uid 17030,0
|
---|
4512 | va (VaSet
|
---|
4513 | )
|
---|
4514 | xt "74800,70500,79000,71500"
|
---|
4515 | st "wiz_reset"
|
---|
4516 | ju 2
|
---|
4517 | blo "79000,71300"
|
---|
4518 | )
|
---|
4519 | )
|
---|
4520 | thePort (LogicalPort
|
---|
4521 | m 1
|
---|
4522 | decl (Decl
|
---|
4523 | n "wiz_reset"
|
---|
4524 | t "std_logic"
|
---|
4525 | o 50
|
---|
4526 | suid 2,0
|
---|
4527 | i "'1'"
|
---|
4528 | )
|
---|
4529 | )
|
---|
4530 | )
|
---|
4531 | *143 (CptPort
|
---|
4532 | uid 17031,0
|
---|
4533 | ps "OnEdgeStrategy"
|
---|
4534 | shape (Triangle
|
---|
4535 | uid 17032,0
|
---|
4536 | ro 90
|
---|
4537 | va (VaSet
|
---|
4538 | vasetType 1
|
---|
4539 | fg "0,65535,0"
|
---|
4540 | )
|
---|
4541 | xt "80000,119625,80750,120375"
|
---|
4542 | )
|
---|
4543 | tg (CPTG
|
---|
4544 | uid 17033,0
|
---|
4545 | ps "CptPortTextPlaceStrategy"
|
---|
4546 | stg "RightVerticalLayoutStrategy"
|
---|
4547 | f (Text
|
---|
4548 | uid 17034,0
|
---|
4549 | va (VaSet
|
---|
4550 | )
|
---|
4551 | xt "74600,119500,79000,120500"
|
---|
4552 | st "led : (7:0)"
|
---|
4553 | ju 2
|
---|
4554 | blo "79000,120300"
|
---|
4555 | )
|
---|
4556 | )
|
---|
4557 | thePort (LogicalPort
|
---|
4558 | m 1
|
---|
4559 | decl (Decl
|
---|
4560 | n "led"
|
---|
4561 | t "std_logic_vector"
|
---|
4562 | b "(7 DOWNTO 0)"
|
---|
4563 | posAdd 0
|
---|
4564 | o 38
|
---|
4565 | suid 7,0
|
---|
4566 | i "(OTHERS => '0')"
|
---|
4567 | )
|
---|
4568 | )
|
---|
4569 | )
|
---|
4570 | *144 (CptPort
|
---|
4571 | uid 17035,0
|
---|
4572 | ps "OnEdgeStrategy"
|
---|
4573 | shape (Triangle
|
---|
4574 | uid 17036,0
|
---|
4575 | ro 90
|
---|
4576 | va (VaSet
|
---|
4577 | vasetType 1
|
---|
4578 | fg "0,65535,0"
|
---|
4579 | )
|
---|
4580 | xt "51250,77625,52000,78375"
|
---|
4581 | )
|
---|
4582 | tg (CPTG
|
---|
4583 | uid 17037,0
|
---|
4584 | ps "CptPortTextPlaceStrategy"
|
---|
4585 | stg "VerticalLayoutStrategy"
|
---|
4586 | f (Text
|
---|
4587 | uid 17038,0
|
---|
4588 | va (VaSet
|
---|
4589 | )
|
---|
4590 | xt "53000,77500,56000,78500"
|
---|
4591 | st "trigger"
|
---|
4592 | blo "53000,78300"
|
---|
4593 | )
|
---|
4594 | )
|
---|
4595 | thePort (LogicalPort
|
---|
4596 | decl (Decl
|
---|
4597 | n "trigger"
|
---|
4598 | t "std_logic"
|
---|
4599 | preAdd 0
|
---|
4600 | posAdd 0
|
---|
4601 | o 14
|
---|
4602 | suid 18,0
|
---|
4603 | )
|
---|
4604 | )
|
---|
4605 | )
|
---|
4606 | *145 (CptPort
|
---|
4607 | uid 17039,0
|
---|
4608 | ps "OnEdgeStrategy"
|
---|
4609 | shape (Triangle
|
---|
4610 | uid 17040,0
|
---|
4611 | ro 270
|
---|
4612 | va (VaSet
|
---|
4613 | vasetType 1
|
---|
4614 | fg "0,65535,0"
|
---|
4615 | )
|
---|
4616 | xt "51250,89625,52000,90375"
|
---|
4617 | )
|
---|
4618 | tg (CPTG
|
---|
4619 | uid 17041,0
|
---|
4620 | ps "CptPortTextPlaceStrategy"
|
---|
4621 | stg "VerticalLayoutStrategy"
|
---|
4622 | f (Text
|
---|
4623 | uid 17042,0
|
---|
4624 | va (VaSet
|
---|
4625 | )
|
---|
4626 | xt "53000,89500,56500,90500"
|
---|
4627 | st "adc_oeb"
|
---|
4628 | blo "53000,90300"
|
---|
4629 | )
|
---|
4630 | )
|
---|
4631 | thePort (LogicalPort
|
---|
4632 | m 1
|
---|
4633 | decl (Decl
|
---|
4634 | n "adc_oeb"
|
---|
4635 | t "std_logic"
|
---|
4636 | o 26
|
---|
4637 | suid 21,0
|
---|
4638 | i "'1'"
|
---|
4639 | )
|
---|
4640 | )
|
---|
4641 | )
|
---|
4642 | *146 (CptPort
|
---|
4643 | uid 17043,0
|
---|
4644 | ps "OnEdgeStrategy"
|
---|
4645 | shape (Triangle
|
---|
4646 | uid 17044,0
|
---|
4647 | ro 90
|
---|
4648 | va (VaSet
|
---|
4649 | vasetType 1
|
---|
4650 | fg "0,65535,0"
|
---|
4651 | )
|
---|
4652 | xt "51250,80625,52000,81375"
|
---|
4653 | )
|
---|
4654 | tg (CPTG
|
---|
4655 | uid 17045,0
|
---|
4656 | ps "CptPortTextPlaceStrategy"
|
---|
4657 | stg "VerticalLayoutStrategy"
|
---|
4658 | f (Text
|
---|
4659 | uid 17046,0
|
---|
4660 | va (VaSet
|
---|
4661 | )
|
---|
4662 | xt "53000,80500,59700,81500"
|
---|
4663 | st "board_id : (3:0)"
|
---|
4664 | blo "53000,81300"
|
---|
4665 | )
|
---|
4666 | )
|
---|
4667 | thePort (LogicalPort
|
---|
4668 | decl (Decl
|
---|
4669 | n "board_id"
|
---|
4670 | t "std_logic_vector"
|
---|
4671 | b "(3 DOWNTO 0)"
|
---|
4672 | o 10
|
---|
4673 | suid 24,0
|
---|
4674 | )
|
---|
4675 | )
|
---|
4676 | )
|
---|
4677 | *147 (CptPort
|
---|
4678 | uid 17047,0
|
---|
4679 | ps "OnEdgeStrategy"
|
---|
4680 | shape (Triangle
|
---|
4681 | uid 17048,0
|
---|
4682 | ro 90
|
---|
4683 | va (VaSet
|
---|
4684 | vasetType 1
|
---|
4685 | fg "0,65535,0"
|
---|
4686 | )
|
---|
4687 | xt "51250,81625,52000,82375"
|
---|
4688 | )
|
---|
4689 | tg (CPTG
|
---|
4690 | uid 17049,0
|
---|
4691 | ps "CptPortTextPlaceStrategy"
|
---|
4692 | stg "VerticalLayoutStrategy"
|
---|
4693 | f (Text
|
---|
4694 | uid 17050,0
|
---|
4695 | va (VaSet
|
---|
4696 | )
|
---|
4697 | xt "53000,81500,59400,82500"
|
---|
4698 | st "crate_id : (1:0)"
|
---|
4699 | blo "53000,82300"
|
---|
4700 | )
|
---|
4701 | )
|
---|
4702 | thePort (LogicalPort
|
---|
4703 | decl (Decl
|
---|
4704 | n "crate_id"
|
---|
4705 | t "std_logic_vector"
|
---|
4706 | b "(1 DOWNTO 0)"
|
---|
4707 | o 11
|
---|
4708 | suid 25,0
|
---|
4709 | )
|
---|
4710 | )
|
---|
4711 | )
|
---|
4712 | *148 (CptPort
|
---|
4713 | uid 17051,0
|
---|
4714 | ps "OnEdgeStrategy"
|
---|
4715 | shape (Triangle
|
---|
4716 | uid 17052,0
|
---|
4717 | ro 90
|
---|
4718 | va (VaSet
|
---|
4719 | vasetType 1
|
---|
4720 | fg "0,65535,0"
|
---|
4721 | )
|
---|
4722 | xt "80000,67625,80750,68375"
|
---|
4723 | )
|
---|
4724 | tg (CPTG
|
---|
4725 | uid 17053,0
|
---|
4726 | ps "CptPortTextPlaceStrategy"
|
---|
4727 | stg "RightVerticalLayoutStrategy"
|
---|
4728 | f (Text
|
---|
4729 | uid 17054,0
|
---|
4730 | va (VaSet
|
---|
4731 | )
|
---|
4732 | xt "72100,67500,79000,68500"
|
---|
4733 | st "wiz_addr : (9:0)"
|
---|
4734 | ju 2
|
---|
4735 | blo "79000,68300"
|
---|
4736 | )
|
---|
4737 | )
|
---|
4738 | thePort (LogicalPort
|
---|
4739 | m 1
|
---|
4740 | decl (Decl
|
---|
4741 | n "wiz_addr"
|
---|
4742 | t "std_logic_vector"
|
---|
4743 | b "(9 DOWNTO 0)"
|
---|
4744 | o 47
|
---|
4745 | suid 26,0
|
---|
4746 | )
|
---|
4747 | )
|
---|
4748 | )
|
---|
4749 | *149 (CptPort
|
---|
4750 | uid 17055,0
|
---|
4751 | ps "OnEdgeStrategy"
|
---|
4752 | shape (Diamond
|
---|
4753 | uid 17056,0
|
---|
4754 | ro 90
|
---|
4755 | va (VaSet
|
---|
4756 | vasetType 1
|
---|
4757 | fg "0,65535,0"
|
---|
4758 | )
|
---|
4759 | xt "80000,68625,80750,69375"
|
---|
4760 | )
|
---|
4761 | tg (CPTG
|
---|
4762 | uid 17057,0
|
---|
4763 | ps "CptPortTextPlaceStrategy"
|
---|
4764 | stg "RightVerticalLayoutStrategy"
|
---|
4765 | f (Text
|
---|
4766 | uid 17058,0
|
---|
4767 | va (VaSet
|
---|
4768 | )
|
---|
4769 | xt "71800,68500,79000,69500"
|
---|
4770 | st "wiz_data : (15:0)"
|
---|
4771 | ju 2
|
---|
4772 | blo "79000,69300"
|
---|
4773 | )
|
---|
4774 | )
|
---|
4775 | thePort (LogicalPort
|
---|
4776 | m 2
|
---|
4777 | decl (Decl
|
---|
4778 | n "wiz_data"
|
---|
4779 | t "std_logic_vector"
|
---|
4780 | b "(15 DOWNTO 0)"
|
---|
4781 | o 53
|
---|
4782 | suid 27,0
|
---|
4783 | )
|
---|
4784 | )
|
---|
4785 | )
|
---|
4786 | *150 (CptPort
|
---|
4787 | uid 17059,0
|
---|
4788 | ps "OnEdgeStrategy"
|
---|
4789 | shape (Triangle
|
---|
4790 | uid 17060,0
|
---|
4791 | ro 90
|
---|
4792 | va (VaSet
|
---|
4793 | vasetType 1
|
---|
4794 | fg "0,65535,0"
|
---|
4795 | )
|
---|
4796 | xt "80000,74625,80750,75375"
|
---|
4797 | )
|
---|
4798 | tg (CPTG
|
---|
4799 | uid 17061,0
|
---|
4800 | ps "CptPortTextPlaceStrategy"
|
---|
4801 | stg "RightVerticalLayoutStrategy"
|
---|
4802 | f (Text
|
---|
4803 | uid 17062,0
|
---|
4804 | va (VaSet
|
---|
4805 | )
|
---|
4806 | xt "76000,74500,79000,75500"
|
---|
4807 | st "wiz_cs"
|
---|
4808 | ju 2
|
---|
4809 | blo "79000,75300"
|
---|
4810 | )
|
---|
4811 | )
|
---|
4812 | thePort (LogicalPort
|
---|
4813 | m 1
|
---|
4814 | decl (Decl
|
---|
4815 | n "wiz_cs"
|
---|
4816 | t "std_logic"
|
---|
4817 | o 48
|
---|
4818 | suid 28,0
|
---|
4819 | i "'1'"
|
---|
4820 | )
|
---|
4821 | )
|
---|
4822 | )
|
---|
4823 | *151 (CptPort
|
---|
4824 | uid 17063,0
|
---|
4825 | ps "OnEdgeStrategy"
|
---|
4826 | shape (Triangle
|
---|
4827 | uid 17064,0
|
---|
4828 | ro 90
|
---|
4829 | va (VaSet
|
---|
4830 | vasetType 1
|
---|
4831 | fg "0,65535,0"
|
---|
4832 | )
|
---|
4833 | xt "80000,72625,80750,73375"
|
---|
4834 | )
|
---|
4835 | tg (CPTG
|
---|
4836 | uid 17065,0
|
---|
4837 | ps "CptPortTextPlaceStrategy"
|
---|
4838 | stg "RightVerticalLayoutStrategy"
|
---|
4839 | f (Text
|
---|
4840 | uid 17066,0
|
---|
4841 | va (VaSet
|
---|
4842 | )
|
---|
4843 | xt "75800,72500,79000,73500"
|
---|
4844 | st "wiz_wr"
|
---|
4845 | ju 2
|
---|
4846 | blo "79000,73300"
|
---|
4847 | )
|
---|
4848 | )
|
---|
4849 | thePort (LogicalPort
|
---|
4850 | m 1
|
---|
4851 | decl (Decl
|
---|
4852 | n "wiz_wr"
|
---|
4853 | t "std_logic"
|
---|
4854 | o 51
|
---|
4855 | suid 29,0
|
---|
4856 | i "'1'"
|
---|
4857 | )
|
---|
4858 | )
|
---|
4859 | )
|
---|
4860 | *152 (CptPort
|
---|
4861 | uid 17067,0
|
---|
4862 | ps "OnEdgeStrategy"
|
---|
4863 | shape (Triangle
|
---|
4864 | uid 17068,0
|
---|
4865 | ro 90
|
---|
4866 | va (VaSet
|
---|
4867 | vasetType 1
|
---|
4868 | fg "0,65535,0"
|
---|
4869 | )
|
---|
4870 | xt "80000,71625,80750,72375"
|
---|
4871 | )
|
---|
4872 | tg (CPTG
|
---|
4873 | uid 17069,0
|
---|
4874 | ps "CptPortTextPlaceStrategy"
|
---|
4875 | stg "RightVerticalLayoutStrategy"
|
---|
4876 | f (Text
|
---|
4877 | uid 17070,0
|
---|
4878 | va (VaSet
|
---|
4879 | )
|
---|
4880 | xt "75900,71500,79000,72500"
|
---|
4881 | st "wiz_rd"
|
---|
4882 | ju 2
|
---|
4883 | blo "79000,72300"
|
---|
4884 | )
|
---|
4885 | )
|
---|
4886 | thePort (LogicalPort
|
---|
4887 | m 1
|
---|
4888 | decl (Decl
|
---|
4889 | n "wiz_rd"
|
---|
4890 | t "std_logic"
|
---|
4891 | o 49
|
---|
4892 | suid 30,0
|
---|
4893 | i "'1'"
|
---|
4894 | )
|
---|
4895 | )
|
---|
4896 | )
|
---|
4897 | *153 (CptPort
|
---|
4898 | uid 17071,0
|
---|
4899 | ps "OnEdgeStrategy"
|
---|
4900 | shape (Triangle
|
---|
4901 | uid 17072,0
|
---|
4902 | ro 270
|
---|
4903 | va (VaSet
|
---|
4904 | vasetType 1
|
---|
4905 | fg "0,65535,0"
|
---|
4906 | )
|
---|
4907 | xt "80000,73625,80750,74375"
|
---|
4908 | )
|
---|
4909 | tg (CPTG
|
---|
4910 | uid 17073,0
|
---|
4911 | ps "CptPortTextPlaceStrategy"
|
---|
4912 | stg "RightVerticalLayoutStrategy"
|
---|
4913 | f (Text
|
---|
4914 | uid 17074,0
|
---|
4915 | va (VaSet
|
---|
4916 | )
|
---|
4917 | xt "75800,73500,79000,74500"
|
---|
4918 | st "wiz_int"
|
---|
4919 | ju 2
|
---|
4920 | blo "79000,74300"
|
---|
4921 | )
|
---|
4922 | )
|
---|
4923 | thePort (LogicalPort
|
---|
4924 | decl (Decl
|
---|
4925 | n "wiz_int"
|
---|
4926 | t "std_logic"
|
---|
4927 | o 15
|
---|
4928 | suid 31,0
|
---|
4929 | )
|
---|
4930 | )
|
---|
4931 | )
|
---|
4932 | *154 (CptPort
|
---|
4933 | uid 17075,0
|
---|
4934 | ps "OnEdgeStrategy"
|
---|
4935 | shape (Triangle
|
---|
4936 | uid 17076,0
|
---|
4937 | ro 270
|
---|
4938 | va (VaSet
|
---|
4939 | vasetType 1
|
---|
4940 | fg "0,65535,0"
|
---|
4941 | )
|
---|
4942 | xt "51250,73625,52000,74375"
|
---|
4943 | )
|
---|
4944 | tg (CPTG
|
---|
4945 | uid 17077,0
|
---|
4946 | ps "CptPortTextPlaceStrategy"
|
---|
4947 | stg "VerticalLayoutStrategy"
|
---|
4948 | f (Text
|
---|
4949 | uid 17078,0
|
---|
4950 | va (VaSet
|
---|
4951 | )
|
---|
4952 | xt "53000,73500,57800,74500"
|
---|
4953 | st "CLK_25_PS"
|
---|
4954 | blo "53000,74300"
|
---|
4955 | )
|
---|
4956 | )
|
---|
4957 | thePort (LogicalPort
|
---|
4958 | m 1
|
---|
4959 | decl (Decl
|
---|
4960 | n "CLK_25_PS"
|
---|
4961 | t "std_logic"
|
---|
4962 | o 17
|
---|
4963 | suid 35,0
|
---|
4964 | )
|
---|
4965 | )
|
---|
4966 | )
|
---|
4967 | *155 (CptPort
|
---|
4968 | uid 17079,0
|
---|
4969 | ps "OnEdgeStrategy"
|
---|
4970 | shape (Triangle
|
---|
4971 | uid 17080,0
|
---|
4972 | ro 90
|
---|
4973 | va (VaSet
|
---|
4974 | vasetType 1
|
---|
4975 | fg "0,65535,0"
|
---|
4976 | )
|
---|
4977 | xt "80000,115625,80750,116375"
|
---|
4978 | )
|
---|
4979 | tg (CPTG
|
---|
4980 | uid 17081,0
|
---|
4981 | ps "CptPortTextPlaceStrategy"
|
---|
4982 | stg "RightVerticalLayoutStrategy"
|
---|
4983 | f (Text
|
---|
4984 | uid 17082,0
|
---|
4985 | va (VaSet
|
---|
4986 | )
|
---|
4987 | xt "75700,115500,79000,116500"
|
---|
4988 | st "CLK_50"
|
---|
4989 | ju 2
|
---|
4990 | blo "79000,116300"
|
---|
4991 | )
|
---|
4992 | )
|
---|
4993 | thePort (LogicalPort
|
---|
4994 | m 1
|
---|
4995 | decl (Decl
|
---|
4996 | n "CLK_50"
|
---|
4997 | t "std_logic"
|
---|
4998 | preAdd 0
|
---|
4999 | posAdd 0
|
---|
5000 | o 18
|
---|
5001 | suid 37,0
|
---|
5002 | )
|
---|
5003 | )
|
---|
5004 | )
|
---|
5005 | *156 (CptPort
|
---|
5006 | uid 17083,0
|
---|
5007 | ps "OnEdgeStrategy"
|
---|
5008 | shape (Triangle
|
---|
5009 | uid 17084,0
|
---|
5010 | ro 90
|
---|
5011 | va (VaSet
|
---|
5012 | vasetType 1
|
---|
5013 | fg "0,65535,0"
|
---|
5014 | )
|
---|
5015 | xt "51250,67625,52000,68375"
|
---|
5016 | )
|
---|
5017 | tg (CPTG
|
---|
5018 | uid 17085,0
|
---|
5019 | ps "CptPortTextPlaceStrategy"
|
---|
5020 | stg "VerticalLayoutStrategy"
|
---|
5021 | f (Text
|
---|
5022 | uid 17086,0
|
---|
5023 | va (VaSet
|
---|
5024 | )
|
---|
5025 | xt "53000,67500,54900,68500"
|
---|
5026 | st "CLK"
|
---|
5027 | blo "53000,68300"
|
---|
5028 | )
|
---|
5029 | )
|
---|
5030 | thePort (LogicalPort
|
---|
5031 | decl (Decl
|
---|
5032 | n "CLK"
|
---|
5033 | t "std_logic"
|
---|
5034 | o 1
|
---|
5035 | suid 38,0
|
---|
5036 | )
|
---|
5037 | )
|
---|
5038 | )
|
---|
5039 | *157 (CptPort
|
---|
5040 | uid 17087,0
|
---|
5041 | ps "OnEdgeStrategy"
|
---|
5042 | shape (Triangle
|
---|
5043 | uid 17088,0
|
---|
5044 | ro 90
|
---|
5045 | va (VaSet
|
---|
5046 | vasetType 1
|
---|
5047 | fg "0,65535,0"
|
---|
5048 | )
|
---|
5049 | xt "51250,88625,52000,89375"
|
---|
5050 | )
|
---|
5051 | tg (CPTG
|
---|
5052 | uid 17089,0
|
---|
5053 | ps "CptPortTextPlaceStrategy"
|
---|
5054 | stg "VerticalLayoutStrategy"
|
---|
5055 | f (Text
|
---|
5056 | uid 17090,0
|
---|
5057 | va (VaSet
|
---|
5058 | )
|
---|
5059 | xt "53000,88500,62300,89500"
|
---|
5060 | st "adc_otr_array : (3:0)"
|
---|
5061 | blo "53000,89300"
|
---|
5062 | )
|
---|
5063 | )
|
---|
5064 | thePort (LogicalPort
|
---|
5065 | decl (Decl
|
---|
5066 | n "adc_otr_array"
|
---|
5067 | t "std_logic_vector"
|
---|
5068 | b "(3 DOWNTO 0)"
|
---|
5069 | o 9
|
---|
5070 | suid 40,0
|
---|
5071 | )
|
---|
5072 | )
|
---|
5073 | )
|
---|
5074 | *158 (CptPort
|
---|
5075 | uid 17091,0
|
---|
5076 | ps "OnEdgeStrategy"
|
---|
5077 | shape (Triangle
|
---|
5078 | uid 17092,0
|
---|
5079 | ro 90
|
---|
5080 | va (VaSet
|
---|
5081 | vasetType 1
|
---|
5082 | fg "0,65535,0"
|
---|
5083 | )
|
---|
5084 | xt "51250,94625,52000,95375"
|
---|
5085 | )
|
---|
5086 | tg (CPTG
|
---|
5087 | uid 17093,0
|
---|
5088 | ps "CptPortTextPlaceStrategy"
|
---|
5089 | stg "VerticalLayoutStrategy"
|
---|
5090 | f (Text
|
---|
5091 | uid 17094,0
|
---|
5092 | va (VaSet
|
---|
5093 | )
|
---|
5094 | xt "53000,94500,59900,95500"
|
---|
5095 | st "adc_data_array"
|
---|
5096 | blo "53000,95300"
|
---|
5097 | )
|
---|
5098 | )
|
---|
5099 | thePort (LogicalPort
|
---|
5100 | decl (Decl
|
---|
5101 | n "adc_data_array"
|
---|
5102 | t "adc_data_array_type"
|
---|
5103 | o 8
|
---|
5104 | suid 41,0
|
---|
5105 | )
|
---|
5106 | )
|
---|
5107 | )
|
---|
5108 | *159 (CptPort
|
---|
5109 | uid 17095,0
|
---|
5110 | ps "OnEdgeStrategy"
|
---|
5111 | shape (Triangle
|
---|
5112 | uid 17096,0
|
---|
5113 | ro 270
|
---|
5114 | va (VaSet
|
---|
5115 | vasetType 1
|
---|
5116 | fg "0,65535,0"
|
---|
5117 | )
|
---|
5118 | xt "51250,108625,52000,109375"
|
---|
5119 | )
|
---|
5120 | tg (CPTG
|
---|
5121 | uid 17097,0
|
---|
5122 | ps "CptPortTextPlaceStrategy"
|
---|
5123 | stg "VerticalLayoutStrategy"
|
---|
5124 | f (Text
|
---|
5125 | uid 17098,0
|
---|
5126 | va (VaSet
|
---|
5127 | )
|
---|
5128 | xt "53000,108500,62500,109500"
|
---|
5129 | st "drs_channel_id : (3:0)"
|
---|
5130 | blo "53000,109300"
|
---|
5131 | )
|
---|
5132 | )
|
---|
5133 | thePort (LogicalPort
|
---|
5134 | m 1
|
---|
5135 | decl (Decl
|
---|
5136 | n "drs_channel_id"
|
---|
5137 | t "std_logic_vector"
|
---|
5138 | b "(3 downto 0)"
|
---|
5139 | o 35
|
---|
5140 | suid 48,0
|
---|
5141 | i "(others => '0')"
|
---|
5142 | )
|
---|
5143 | )
|
---|
5144 | )
|
---|
5145 | *160 (CptPort
|
---|
5146 | uid 17099,0
|
---|
5147 | ps "OnEdgeStrategy"
|
---|
5148 | shape (Triangle
|
---|
5149 | uid 17100,0
|
---|
5150 | ro 270
|
---|
5151 | va (VaSet
|
---|
5152 | vasetType 1
|
---|
5153 | fg "0,65535,0"
|
---|
5154 | )
|
---|
5155 | xt "51250,109625,52000,110375"
|
---|
5156 | )
|
---|
5157 | tg (CPTG
|
---|
5158 | uid 17101,0
|
---|
5159 | ps "CptPortTextPlaceStrategy"
|
---|
5160 | stg "VerticalLayoutStrategy"
|
---|
5161 | f (Text
|
---|
5162 | uid 17102,0
|
---|
5163 | va (VaSet
|
---|
5164 | )
|
---|
5165 | xt "53000,109500,58200,110500"
|
---|
5166 | st "drs_dwrite"
|
---|
5167 | blo "53000,110300"
|
---|
5168 | )
|
---|
5169 | )
|
---|
5170 | thePort (LogicalPort
|
---|
5171 | m 1
|
---|
5172 | decl (Decl
|
---|
5173 | n "drs_dwrite"
|
---|
5174 | t "std_logic"
|
---|
5175 | o 36
|
---|
5176 | suid 49,0
|
---|
5177 | i "'1'"
|
---|
5178 | )
|
---|
5179 | )
|
---|
5180 | )
|
---|
5181 | *161 (CptPort
|
---|
5182 | uid 17103,0
|
---|
5183 | ps "OnEdgeStrategy"
|
---|
5184 | shape (Triangle
|
---|
5185 | uid 17104,0
|
---|
5186 | ro 90
|
---|
5187 | va (VaSet
|
---|
5188 | vasetType 1
|
---|
5189 | fg "0,65535,0"
|
---|
5190 | )
|
---|
5191 | xt "51250,104625,52000,105375"
|
---|
5192 | )
|
---|
5193 | tg (CPTG
|
---|
5194 | uid 17105,0
|
---|
5195 | ps "CptPortTextPlaceStrategy"
|
---|
5196 | stg "VerticalLayoutStrategy"
|
---|
5197 | f (Text
|
---|
5198 | uid 17106,0
|
---|
5199 | va (VaSet
|
---|
5200 | )
|
---|
5201 | xt "53000,104500,58800,105500"
|
---|
5202 | st "SROUT_in_0"
|
---|
5203 | blo "53000,105300"
|
---|
5204 | )
|
---|
5205 | )
|
---|
5206 | thePort (LogicalPort
|
---|
5207 | decl (Decl
|
---|
5208 | n "SROUT_in_0"
|
---|
5209 | t "std_logic"
|
---|
5210 | o 4
|
---|
5211 | suid 52,0
|
---|
5212 | )
|
---|
5213 | )
|
---|
5214 | )
|
---|
5215 | *162 (CptPort
|
---|
5216 | uid 17107,0
|
---|
5217 | ps "OnEdgeStrategy"
|
---|
5218 | shape (Triangle
|
---|
5219 | uid 17108,0
|
---|
5220 | ro 90
|
---|
5221 | va (VaSet
|
---|
5222 | vasetType 1
|
---|
5223 | fg "0,65535,0"
|
---|
5224 | )
|
---|
5225 | xt "51250,105625,52000,106375"
|
---|
5226 | )
|
---|
5227 | tg (CPTG
|
---|
5228 | uid 17109,0
|
---|
5229 | ps "CptPortTextPlaceStrategy"
|
---|
5230 | stg "VerticalLayoutStrategy"
|
---|
5231 | f (Text
|
---|
5232 | uid 17110,0
|
---|
5233 | va (VaSet
|
---|
5234 | )
|
---|
5235 | xt "53000,105500,58700,106500"
|
---|
5236 | st "SROUT_in_1"
|
---|
5237 | blo "53000,106300"
|
---|
5238 | )
|
---|
5239 | )
|
---|
5240 | thePort (LogicalPort
|
---|
5241 | decl (Decl
|
---|
5242 | n "SROUT_in_1"
|
---|
5243 | t "std_logic"
|
---|
5244 | o 5
|
---|
5245 | suid 53,0
|
---|
5246 | )
|
---|
5247 | )
|
---|
5248 | )
|
---|
5249 | *163 (CptPort
|
---|
5250 | uid 17111,0
|
---|
5251 | ps "OnEdgeStrategy"
|
---|
5252 | shape (Triangle
|
---|
5253 | uid 17112,0
|
---|
5254 | ro 90
|
---|
5255 | va (VaSet
|
---|
5256 | vasetType 1
|
---|
5257 | fg "0,65535,0"
|
---|
5258 | )
|
---|
5259 | xt "51250,106625,52000,107375"
|
---|
5260 | )
|
---|
5261 | tg (CPTG
|
---|
5262 | uid 17113,0
|
---|
5263 | ps "CptPortTextPlaceStrategy"
|
---|
5264 | stg "VerticalLayoutStrategy"
|
---|
5265 | f (Text
|
---|
5266 | uid 17114,0
|
---|
5267 | va (VaSet
|
---|
5268 | )
|
---|
5269 | xt "53000,106500,58800,107500"
|
---|
5270 | st "SROUT_in_2"
|
---|
5271 | blo "53000,107300"
|
---|
5272 | )
|
---|
5273 | )
|
---|
5274 | thePort (LogicalPort
|
---|
5275 | decl (Decl
|
---|
5276 | n "SROUT_in_2"
|
---|
5277 | t "std_logic"
|
---|
5278 | o 6
|
---|
5279 | suid 54,0
|
---|
5280 | )
|
---|
5281 | )
|
---|
5282 | )
|
---|
5283 | *164 (CptPort
|
---|
5284 | uid 17115,0
|
---|
5285 | ps "OnEdgeStrategy"
|
---|
5286 | shape (Triangle
|
---|
5287 | uid 17116,0
|
---|
5288 | ro 90
|
---|
5289 | va (VaSet
|
---|
5290 | vasetType 1
|
---|
5291 | fg "0,65535,0"
|
---|
5292 | )
|
---|
5293 | xt "51250,107625,52000,108375"
|
---|
5294 | )
|
---|
5295 | tg (CPTG
|
---|
5296 | uid 17117,0
|
---|
5297 | ps "CptPortTextPlaceStrategy"
|
---|
5298 | stg "VerticalLayoutStrategy"
|
---|
5299 | f (Text
|
---|
5300 | uid 17118,0
|
---|
5301 | va (VaSet
|
---|
5302 | )
|
---|
5303 | xt "53000,107500,58800,108500"
|
---|
5304 | st "SROUT_in_3"
|
---|
5305 | blo "53000,108300"
|
---|
5306 | )
|
---|
5307 | )
|
---|
5308 | thePort (LogicalPort
|
---|
5309 | decl (Decl
|
---|
5310 | n "SROUT_in_3"
|
---|
5311 | t "std_logic"
|
---|
5312 | o 7
|
---|
5313 | suid 55,0
|
---|
5314 | )
|
---|
5315 | )
|
---|
5316 | )
|
---|
5317 | *165 (CptPort
|
---|
5318 | uid 17119,0
|
---|
5319 | ps "OnEdgeStrategy"
|
---|
5320 | shape (Triangle
|
---|
5321 | uid 17120,0
|
---|
5322 | ro 270
|
---|
5323 | va (VaSet
|
---|
5324 | vasetType 1
|
---|
5325 | fg "0,65535,0"
|
---|
5326 | )
|
---|
5327 | xt "51250,110625,52000,111375"
|
---|
5328 | )
|
---|
5329 | tg (CPTG
|
---|
5330 | uid 17121,0
|
---|
5331 | ps "CptPortTextPlaceStrategy"
|
---|
5332 | stg "VerticalLayoutStrategy"
|
---|
5333 | f (Text
|
---|
5334 | uid 17122,0
|
---|
5335 | va (VaSet
|
---|
5336 | )
|
---|
5337 | xt "53000,110500,57200,111500"
|
---|
5338 | st "RSRLOAD"
|
---|
5339 | blo "53000,111300"
|
---|
5340 | )
|
---|
5341 | )
|
---|
5342 | thePort (LogicalPort
|
---|
5343 | m 1
|
---|
5344 | decl (Decl
|
---|
5345 | n "RSRLOAD"
|
---|
5346 | t "std_logic"
|
---|
5347 | o 23
|
---|
5348 | suid 56,0
|
---|
5349 | i "'0'"
|
---|
5350 | )
|
---|
5351 | )
|
---|
5352 | )
|
---|
5353 | *166 (CptPort
|
---|
5354 | uid 17123,0
|
---|
5355 | ps "OnEdgeStrategy"
|
---|
5356 | shape (Triangle
|
---|
5357 | uid 17124,0
|
---|
5358 | ro 270
|
---|
5359 | va (VaSet
|
---|
5360 | vasetType 1
|
---|
5361 | fg "0,65535,0"
|
---|
5362 | )
|
---|
5363 | xt "51250,112625,52000,113375"
|
---|
5364 | )
|
---|
5365 | tg (CPTG
|
---|
5366 | uid 17125,0
|
---|
5367 | ps "CptPortTextPlaceStrategy"
|
---|
5368 | stg "VerticalLayoutStrategy"
|
---|
5369 | f (Text
|
---|
5370 | uid 17126,0
|
---|
5371 | va (VaSet
|
---|
5372 | )
|
---|
5373 | xt "53000,112500,55900,113500"
|
---|
5374 | st "SRCLK"
|
---|
5375 | blo "53000,113300"
|
---|
5376 | )
|
---|
5377 | )
|
---|
5378 | thePort (LogicalPort
|
---|
5379 | m 1
|
---|
5380 | decl (Decl
|
---|
5381 | n "SRCLK"
|
---|
5382 | t "std_logic"
|
---|
5383 | o 24
|
---|
5384 | suid 57,0
|
---|
5385 | i "'0'"
|
---|
5386 | )
|
---|
5387 | )
|
---|
5388 | )
|
---|
5389 | *167 (CptPort
|
---|
5390 | uid 17127,0
|
---|
5391 | ps "OnEdgeStrategy"
|
---|
5392 | shape (Triangle
|
---|
5393 | uid 17128,0
|
---|
5394 | ro 90
|
---|
5395 | va (VaSet
|
---|
5396 | vasetType 1
|
---|
5397 | fg "0,65535,0"
|
---|
5398 | )
|
---|
5399 | xt "80000,97625,80750,98375"
|
---|
5400 | )
|
---|
5401 | tg (CPTG
|
---|
5402 | uid 17129,0
|
---|
5403 | ps "CptPortTextPlaceStrategy"
|
---|
5404 | stg "RightVerticalLayoutStrategy"
|
---|
5405 | f (Text
|
---|
5406 | uid 17130,0
|
---|
5407 | va (VaSet
|
---|
5408 | )
|
---|
5409 | xt "77100,97500,79000,98500"
|
---|
5410 | st "sclk"
|
---|
5411 | ju 2
|
---|
5412 | blo "79000,98300"
|
---|
5413 | )
|
---|
5414 | )
|
---|
5415 | thePort (LogicalPort
|
---|
5416 | m 1
|
---|
5417 | decl (Decl
|
---|
5418 | n "sclk"
|
---|
5419 | t "std_logic"
|
---|
5420 | o 42
|
---|
5421 | suid 62,0
|
---|
5422 | )
|
---|
5423 | )
|
---|
5424 | )
|
---|
5425 | *168 (CptPort
|
---|
5426 | uid 17131,0
|
---|
5427 | ps "OnEdgeStrategy"
|
---|
5428 | shape (Diamond
|
---|
5429 | uid 17132,0
|
---|
5430 | ro 90
|
---|
5431 | va (VaSet
|
---|
5432 | vasetType 1
|
---|
5433 | fg "0,65535,0"
|
---|
5434 | )
|
---|
5435 | xt "80000,98625,80750,99375"
|
---|
5436 | )
|
---|
5437 | tg (CPTG
|
---|
5438 | uid 17133,0
|
---|
5439 | ps "CptPortTextPlaceStrategy"
|
---|
5440 | stg "RightVerticalLayoutStrategy"
|
---|
5441 | f (Text
|
---|
5442 | uid 17134,0
|
---|
5443 | va (VaSet
|
---|
5444 | )
|
---|
5445 | xt "77600,98500,79000,99500"
|
---|
5446 | st "sio"
|
---|
5447 | ju 2
|
---|
5448 | blo "79000,99300"
|
---|
5449 | )
|
---|
5450 | )
|
---|
5451 | thePort (LogicalPort
|
---|
5452 | m 2
|
---|
5453 | decl (Decl
|
---|
5454 | n "sio"
|
---|
5455 | t "std_logic"
|
---|
5456 | preAdd 0
|
---|
5457 | posAdd 0
|
---|
5458 | o 52
|
---|
5459 | suid 63,0
|
---|
5460 | )
|
---|
5461 | )
|
---|
5462 | )
|
---|
5463 | *169 (CptPort
|
---|
5464 | uid 17135,0
|
---|
5465 | ps "OnEdgeStrategy"
|
---|
5466 | shape (Triangle
|
---|
5467 | uid 17136,0
|
---|
5468 | ro 90
|
---|
5469 | va (VaSet
|
---|
5470 | vasetType 1
|
---|
5471 | fg "0,65535,0"
|
---|
5472 | )
|
---|
5473 | xt "80000,86625,80750,87375"
|
---|
5474 | )
|
---|
5475 | tg (CPTG
|
---|
5476 | uid 17137,0
|
---|
5477 | ps "CptPortTextPlaceStrategy"
|
---|
5478 | stg "RightVerticalLayoutStrategy"
|
---|
5479 | f (Text
|
---|
5480 | uid 17138,0
|
---|
5481 | va (VaSet
|
---|
5482 | )
|
---|
5483 | xt "76000,86500,79000,87500"
|
---|
5484 | st "dac_cs"
|
---|
5485 | ju 2
|
---|
5486 | blo "79000,87300"
|
---|
5487 | )
|
---|
5488 | )
|
---|
5489 | thePort (LogicalPort
|
---|
5490 | m 1
|
---|
5491 | decl (Decl
|
---|
5492 | n "dac_cs"
|
---|
5493 | t "std_logic"
|
---|
5494 | o 31
|
---|
5495 | suid 64,0
|
---|
5496 | )
|
---|
5497 | )
|
---|
5498 | )
|
---|
5499 | *170 (CptPort
|
---|
5500 | uid 17139,0
|
---|
5501 | ps "OnEdgeStrategy"
|
---|
5502 | shape (Triangle
|
---|
5503 | uid 17140,0
|
---|
5504 | ro 90
|
---|
5505 | va (VaSet
|
---|
5506 | vasetType 1
|
---|
5507 | fg "0,65535,0"
|
---|
5508 | )
|
---|
5509 | xt "80000,88625,80750,89375"
|
---|
5510 | )
|
---|
5511 | tg (CPTG
|
---|
5512 | uid 17141,0
|
---|
5513 | ps "CptPortTextPlaceStrategy"
|
---|
5514 | stg "RightVerticalLayoutStrategy"
|
---|
5515 | f (Text
|
---|
5516 | uid 17142,0
|
---|
5517 | va (VaSet
|
---|
5518 | )
|
---|
5519 | xt "72000,88500,79000,89500"
|
---|
5520 | st "sensor_cs : (3:0)"
|
---|
5521 | ju 2
|
---|
5522 | blo "79000,89300"
|
---|
5523 | )
|
---|
5524 | )
|
---|
5525 | thePort (LogicalPort
|
---|
5526 | m 1
|
---|
5527 | decl (Decl
|
---|
5528 | n "sensor_cs"
|
---|
5529 | t "std_logic_vector"
|
---|
5530 | b "(3 DOWNTO 0)"
|
---|
5531 | o 43
|
---|
5532 | suid 65,0
|
---|
5533 | )
|
---|
5534 | )
|
---|
5535 | )
|
---|
5536 | *171 (CptPort
|
---|
5537 | uid 17143,0
|
---|
5538 | ps "OnEdgeStrategy"
|
---|
5539 | shape (Triangle
|
---|
5540 | uid 17144,0
|
---|
5541 | ro 90
|
---|
5542 | va (VaSet
|
---|
5543 | vasetType 1
|
---|
5544 | fg "0,65535,0"
|
---|
5545 | )
|
---|
5546 | xt "80000,99625,80750,100375"
|
---|
5547 | )
|
---|
5548 | tg (CPTG
|
---|
5549 | uid 17145,0
|
---|
5550 | ps "CptPortTextPlaceStrategy"
|
---|
5551 | stg "RightVerticalLayoutStrategy"
|
---|
5552 | f (Text
|
---|
5553 | uid 17146,0
|
---|
5554 | va (VaSet
|
---|
5555 | )
|
---|
5556 | xt "77000,99500,79000,100500"
|
---|
5557 | st "mosi"
|
---|
5558 | ju 2
|
---|
5559 | blo "79000,100300"
|
---|
5560 | )
|
---|
5561 | )
|
---|
5562 | thePort (LogicalPort
|
---|
5563 | m 1
|
---|
5564 | decl (Decl
|
---|
5565 | n "mosi"
|
---|
5566 | t "std_logic"
|
---|
5567 | o 40
|
---|
5568 | suid 66,0
|
---|
5569 | i "'0'"
|
---|
5570 | )
|
---|
5571 | )
|
---|
5572 | )
|
---|
5573 | *172 (CptPort
|
---|
5574 | uid 17147,0
|
---|
5575 | ps "OnEdgeStrategy"
|
---|
5576 | shape (Triangle
|
---|
5577 | uid 17148,0
|
---|
5578 | ro 90
|
---|
5579 | va (VaSet
|
---|
5580 | vasetType 1
|
---|
5581 | fg "0,65535,0"
|
---|
5582 | )
|
---|
5583 | xt "80000,120625,80750,121375"
|
---|
5584 | )
|
---|
5585 | tg (CPTG
|
---|
5586 | uid 17149,0
|
---|
5587 | ps "CptPortTextPlaceStrategy"
|
---|
5588 | stg "RightVerticalLayoutStrategy"
|
---|
5589 | f (Text
|
---|
5590 | uid 17150,0
|
---|
5591 | va (VaSet
|
---|
5592 | )
|
---|
5593 | xt "75800,120500,79000,121500"
|
---|
5594 | st "denable"
|
---|
5595 | ju 2
|
---|
5596 | blo "79000,121300"
|
---|
5597 | )
|
---|
5598 | )
|
---|
5599 | thePort (LogicalPort
|
---|
5600 | m 1
|
---|
5601 | decl (Decl
|
---|
5602 | n "denable"
|
---|
5603 | t "std_logic"
|
---|
5604 | eolc "-- default domino wave off"
|
---|
5605 | posAdd 0
|
---|
5606 | o 34
|
---|
5607 | suid 67,0
|
---|
5608 | i "'0'"
|
---|
5609 | )
|
---|
5610 | )
|
---|
5611 | )
|
---|
5612 | *173 (CptPort
|
---|
5613 | uid 17151,0
|
---|
5614 | ps "OnEdgeStrategy"
|
---|
5615 | shape (Triangle
|
---|
5616 | uid 17152,0
|
---|
5617 | ro 90
|
---|
5618 | va (VaSet
|
---|
5619 | vasetType 1
|
---|
5620 | fg "0,65535,0"
|
---|
5621 | )
|
---|
5622 | xt "80000,139625,80750,140375"
|
---|
5623 | )
|
---|
5624 | tg (CPTG
|
---|
5625 | uid 17153,0
|
---|
5626 | ps "CptPortTextPlaceStrategy"
|
---|
5627 | stg "RightVerticalLayoutStrategy"
|
---|
5628 | f (Text
|
---|
5629 | uid 17154,0
|
---|
5630 | va (VaSet
|
---|
5631 | )
|
---|
5632 | xt "74800,139500,79000,140500"
|
---|
5633 | st "SRIN_out"
|
---|
5634 | ju 2
|
---|
5635 | blo "79000,140300"
|
---|
5636 | )
|
---|
5637 | )
|
---|
5638 | thePort (LogicalPort
|
---|
5639 | m 1
|
---|
5640 | decl (Decl
|
---|
5641 | n "SRIN_out"
|
---|
5642 | t "std_logic"
|
---|
5643 | o 25
|
---|
5644 | suid 85,0
|
---|
5645 | i "'0'"
|
---|
5646 | )
|
---|
5647 | )
|
---|
5648 | )
|
---|
5649 | *174 (CptPort
|
---|
5650 | uid 17155,0
|
---|
5651 | ps "OnEdgeStrategy"
|
---|
5652 | shape (Triangle
|
---|
5653 | uid 17156,0
|
---|
5654 | ro 90
|
---|
5655 | va (VaSet
|
---|
5656 | vasetType 1
|
---|
5657 | fg "0,65535,0"
|
---|
5658 | )
|
---|
5659 | xt "80000,133625,80750,134375"
|
---|
5660 | )
|
---|
5661 | tg (CPTG
|
---|
5662 | uid 17157,0
|
---|
5663 | ps "CptPortTextPlaceStrategy"
|
---|
5664 | stg "RightVerticalLayoutStrategy"
|
---|
5665 | f (Text
|
---|
5666 | uid 17158,0
|
---|
5667 | va (VaSet
|
---|
5668 | )
|
---|
5669 | xt "76600,133500,79000,134500"
|
---|
5670 | st "green"
|
---|
5671 | ju 2
|
---|
5672 | blo "79000,134300"
|
---|
5673 | )
|
---|
5674 | )
|
---|
5675 | thePort (LogicalPort
|
---|
5676 | m 1
|
---|
5677 | decl (Decl
|
---|
5678 | n "green"
|
---|
5679 | t "std_logic"
|
---|
5680 | o 37
|
---|
5681 | suid 86,0
|
---|
5682 | )
|
---|
5683 | )
|
---|
5684 | )
|
---|
5685 | *175 (CptPort
|
---|
5686 | uid 17159,0
|
---|
5687 | ps "OnEdgeStrategy"
|
---|
5688 | shape (Triangle
|
---|
5689 | uid 17160,0
|
---|
5690 | ro 90
|
---|
5691 | va (VaSet
|
---|
5692 | vasetType 1
|
---|
5693 | fg "0,65535,0"
|
---|
5694 | )
|
---|
5695 | xt "80000,134625,80750,135375"
|
---|
5696 | )
|
---|
5697 | tg (CPTG
|
---|
5698 | uid 17161,0
|
---|
5699 | ps "CptPortTextPlaceStrategy"
|
---|
5700 | stg "RightVerticalLayoutStrategy"
|
---|
5701 | f (Text
|
---|
5702 | uid 17162,0
|
---|
5703 | va (VaSet
|
---|
5704 | )
|
---|
5705 | xt "76300,134500,79000,135500"
|
---|
5706 | st "amber"
|
---|
5707 | ju 2
|
---|
5708 | blo "79000,135300"
|
---|
5709 | )
|
---|
5710 | )
|
---|
5711 | thePort (LogicalPort
|
---|
5712 | m 1
|
---|
5713 | decl (Decl
|
---|
5714 | n "amber"
|
---|
5715 | t "std_logic"
|
---|
5716 | o 29
|
---|
5717 | suid 87,0
|
---|
5718 | )
|
---|
5719 | )
|
---|
5720 | )
|
---|
5721 | *176 (CptPort
|
---|
5722 | uid 17163,0
|
---|
5723 | ps "OnEdgeStrategy"
|
---|
5724 | shape (Triangle
|
---|
5725 | uid 17164,0
|
---|
5726 | ro 90
|
---|
5727 | va (VaSet
|
---|
5728 | vasetType 1
|
---|
5729 | fg "0,65535,0"
|
---|
5730 | )
|
---|
5731 | xt "80000,135625,80750,136375"
|
---|
5732 | )
|
---|
5733 | tg (CPTG
|
---|
5734 | uid 17165,0
|
---|
5735 | ps "CptPortTextPlaceStrategy"
|
---|
5736 | stg "RightVerticalLayoutStrategy"
|
---|
5737 | f (Text
|
---|
5738 | uid 17166,0
|
---|
5739 | va (VaSet
|
---|
5740 | )
|
---|
5741 | xt "77300,135500,79000,136500"
|
---|
5742 | st "red"
|
---|
5743 | ju 2
|
---|
5744 | blo "79000,136300"
|
---|
5745 | )
|
---|
5746 | )
|
---|
5747 | thePort (LogicalPort
|
---|
5748 | m 1
|
---|
5749 | decl (Decl
|
---|
5750 | n "red"
|
---|
5751 | t "std_logic"
|
---|
5752 | o 41
|
---|
5753 | suid 88,0
|
---|
5754 | )
|
---|
5755 | )
|
---|
5756 | )
|
---|
5757 | *177 (CptPort
|
---|
5758 | uid 17167,0
|
---|
5759 | ps "OnEdgeStrategy"
|
---|
5760 | shape (Triangle
|
---|
5761 | uid 17168,0
|
---|
5762 | ro 90
|
---|
5763 | va (VaSet
|
---|
5764 | vasetType 1
|
---|
5765 | fg "0,65535,0"
|
---|
5766 | )
|
---|
5767 | xt "51250,131625,52000,132375"
|
---|
5768 | )
|
---|
5769 | tg (CPTG
|
---|
5770 | uid 17169,0
|
---|
5771 | ps "CptPortTextPlaceStrategy"
|
---|
5772 | stg "VerticalLayoutStrategy"
|
---|
5773 | f (Text
|
---|
5774 | uid 17170,0
|
---|
5775 | va (VaSet
|
---|
5776 | )
|
---|
5777 | xt "53000,131500,58500,132500"
|
---|
5778 | st "D_T_in : (1:0)"
|
---|
5779 | blo "53000,132300"
|
---|
5780 | )
|
---|
5781 | )
|
---|
5782 | thePort (LogicalPort
|
---|
5783 | decl (Decl
|
---|
5784 | n "D_T_in"
|
---|
5785 | t "std_logic_vector"
|
---|
5786 | b "(1 DOWNTO 0)"
|
---|
5787 | o 2
|
---|
5788 | suid 91,0
|
---|
5789 | )
|
---|
5790 | )
|
---|
5791 | )
|
---|
5792 | *178 (CptPort
|
---|
5793 | uid 17171,0
|
---|
5794 | ps "OnEdgeStrategy"
|
---|
5795 | shape (Triangle
|
---|
5796 | uid 17172,0
|
---|
5797 | ro 90
|
---|
5798 | va (VaSet
|
---|
5799 | vasetType 1
|
---|
5800 | fg "0,65535,0"
|
---|
5801 | )
|
---|
5802 | xt "51250,132625,52000,133375"
|
---|
5803 | )
|
---|
5804 | tg (CPTG
|
---|
5805 | uid 17173,0
|
---|
5806 | ps "CptPortTextPlaceStrategy"
|
---|
5807 | stg "VerticalLayoutStrategy"
|
---|
5808 | f (Text
|
---|
5809 | uid 17174,0
|
---|
5810 | va (VaSet
|
---|
5811 | )
|
---|
5812 | xt "53000,132500,59100,133500"
|
---|
5813 | st "drs_refclk_in"
|
---|
5814 | blo "53000,133300"
|
---|
5815 | )
|
---|
5816 | )
|
---|
5817 | thePort (LogicalPort
|
---|
5818 | decl (Decl
|
---|
5819 | n "drs_refclk_in"
|
---|
5820 | t "std_logic"
|
---|
5821 | eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
|
---|
5822 | o 12
|
---|
5823 | suid 92,0
|
---|
5824 | )
|
---|
5825 | )
|
---|
5826 | )
|
---|
5827 | *179 (CptPort
|
---|
5828 | uid 17175,0
|
---|
5829 | ps "OnEdgeStrategy"
|
---|
5830 | shape (Triangle
|
---|
5831 | uid 17176,0
|
---|
5832 | ro 90
|
---|
5833 | va (VaSet
|
---|
5834 | vasetType 1
|
---|
5835 | fg "0,65535,0"
|
---|
5836 | )
|
---|
5837 | xt "51250,136625,52000,137375"
|
---|
5838 | )
|
---|
5839 | tg (CPTG
|
---|
5840 | uid 17177,0
|
---|
5841 | ps "CptPortTextPlaceStrategy"
|
---|
5842 | stg "VerticalLayoutStrategy"
|
---|
5843 | f (Text
|
---|
5844 | uid 17178,0
|
---|
5845 | va (VaSet
|
---|
5846 | )
|
---|
5847 | xt "53000,136500,59700,137500"
|
---|
5848 | st "plllock_in : (3:0)"
|
---|
5849 | blo "53000,137300"
|
---|
5850 | )
|
---|
5851 | )
|
---|
5852 | thePort (LogicalPort
|
---|
5853 | decl (Decl
|
---|
5854 | n "plllock_in"
|
---|
5855 | t "std_logic_vector"
|
---|
5856 | b "(3 DOWNTO 0)"
|
---|
5857 | eolc "-- high level, if dominowave is running and DRS PLL locked"
|
---|
5858 | o 13
|
---|
5859 | suid 93,0
|
---|
5860 | )
|
---|
5861 | )
|
---|
5862 | )
|
---|
5863 | *180 (CptPort
|
---|
5864 | uid 17179,0
|
---|
5865 | ps "OnEdgeStrategy"
|
---|
5866 | shape (Triangle
|
---|
5867 | uid 17180,0
|
---|
5868 | ro 90
|
---|
5869 | va (VaSet
|
---|
5870 | vasetType 1
|
---|
5871 | fg "0,65535,0"
|
---|
5872 | )
|
---|
5873 | xt "80000,131625,80750,132375"
|
---|
5874 | )
|
---|
5875 | tg (CPTG
|
---|
5876 | uid 17181,0
|
---|
5877 | ps "CptPortTextPlaceStrategy"
|
---|
5878 | stg "RightVerticalLayoutStrategy"
|
---|
5879 | f (Text
|
---|
5880 | uid 17182,0
|
---|
5881 | va (VaSet
|
---|
5882 | )
|
---|
5883 | xt "69400,131500,79000,132500"
|
---|
5884 | st "counter_result : (11:0)"
|
---|
5885 | ju 2
|
---|
5886 | blo "79000,132300"
|
---|
5887 | )
|
---|
5888 | )
|
---|
5889 | thePort (LogicalPort
|
---|
5890 | m 1
|
---|
5891 | decl (Decl
|
---|
5892 | n "counter_result"
|
---|
5893 | t "std_logic_vector"
|
---|
5894 | b "(11 DOWNTO 0)"
|
---|
5895 | o 30
|
---|
5896 | suid 94,0
|
---|
5897 | )
|
---|
5898 | )
|
---|
5899 | )
|
---|
5900 | *181 (CptPort
|
---|
5901 | uid 17183,0
|
---|
5902 | ps "OnEdgeStrategy"
|
---|
5903 | shape (Triangle
|
---|
5904 | uid 17184,0
|
---|
5905 | ro 90
|
---|
5906 | va (VaSet
|
---|
5907 | vasetType 1
|
---|
5908 | fg "0,65535,0"
|
---|
5909 | )
|
---|
5910 | xt "80000,129625,80750,130375"
|
---|
5911 | )
|
---|
5912 | tg (CPTG
|
---|
5913 | uid 17185,0
|
---|
5914 | ps "CptPortTextPlaceStrategy"
|
---|
5915 | stg "RightVerticalLayoutStrategy"
|
---|
5916 | f (Text
|
---|
5917 | uid 17186,0
|
---|
5918 | va (VaSet
|
---|
5919 | )
|
---|
5920 | xt "69000,129500,79000,130500"
|
---|
5921 | st "alarm_refclk_too_high"
|
---|
5922 | ju 2
|
---|
5923 | blo "79000,130300"
|
---|
5924 | )
|
---|
5925 | )
|
---|
5926 | thePort (LogicalPort
|
---|
5927 | m 1
|
---|
5928 | decl (Decl
|
---|
5929 | n "alarm_refclk_too_high"
|
---|
5930 | t "std_logic"
|
---|
5931 | o 27
|
---|
5932 | suid 95,0
|
---|
5933 | )
|
---|
5934 | )
|
---|
5935 | )
|
---|
5936 | *182 (CptPort
|
---|
5937 | uid 17187,0
|
---|
5938 | ps "OnEdgeStrategy"
|
---|
5939 | shape (Triangle
|
---|
5940 | uid 17188,0
|
---|
5941 | ro 90
|
---|
5942 | va (VaSet
|
---|
5943 | vasetType 1
|
---|
5944 | fg "0,65535,0"
|
---|
5945 | )
|
---|
5946 | xt "80000,130625,80750,131375"
|
---|
5947 | )
|
---|
5948 | tg (CPTG
|
---|
5949 | uid 17189,0
|
---|
5950 | ps "CptPortTextPlaceStrategy"
|
---|
5951 | stg "RightVerticalLayoutStrategy"
|
---|
5952 | f (Text
|
---|
5953 | uid 17190,0
|
---|
5954 | va (VaSet
|
---|
5955 | )
|
---|
5956 | xt "69400,130500,79000,131500"
|
---|
5957 | st "alarm_refclk_too_low"
|
---|
5958 | ju 2
|
---|
5959 | blo "79000,131300"
|
---|
5960 | )
|
---|
5961 | )
|
---|
5962 | thePort (LogicalPort
|
---|
5963 | m 1
|
---|
5964 | decl (Decl
|
---|
5965 | n "alarm_refclk_too_low"
|
---|
5966 | t "std_logic"
|
---|
5967 | posAdd 0
|
---|
5968 | o 28
|
---|
5969 | suid 96,0
|
---|
5970 | )
|
---|
5971 | )
|
---|
5972 | )
|
---|
5973 | *183 (CptPort
|
---|
5974 | uid 17191,0
|
---|
5975 | ps "OnEdgeStrategy"
|
---|
5976 | shape (Triangle
|
---|
5977 | uid 17192,0
|
---|
5978 | ro 270
|
---|
5979 | va (VaSet
|
---|
5980 | vasetType 1
|
---|
5981 | fg "0,65535,0"
|
---|
5982 | )
|
---|
5983 | xt "51250,70625,52000,71375"
|
---|
5984 | )
|
---|
5985 | tg (CPTG
|
---|
5986 | uid 17193,0
|
---|
5987 | ps "CptPortTextPlaceStrategy"
|
---|
5988 | stg "VerticalLayoutStrategy"
|
---|
5989 | f (Text
|
---|
5990 | uid 17194,0
|
---|
5991 | va (VaSet
|
---|
5992 | )
|
---|
5993 | xt "53000,70500,57000,71500"
|
---|
5994 | st "ADC_CLK"
|
---|
5995 | blo "53000,71300"
|
---|
5996 | )
|
---|
5997 | )
|
---|
5998 | thePort (LogicalPort
|
---|
5999 | lang 2
|
---|
6000 | m 1
|
---|
6001 | decl (Decl
|
---|
6002 | n "ADC_CLK"
|
---|
6003 | t "std_logic"
|
---|
6004 | o 16
|
---|
6005 | suid 97,0
|
---|
6006 | )
|
---|
6007 | )
|
---|
6008 | )
|
---|
6009 | *184 (CptPort
|
---|
6010 | uid 17620,0
|
---|
6011 | ps "OnEdgeStrategy"
|
---|
6012 | shape (Triangle
|
---|
6013 | uid 17621,0
|
---|
6014 | ro 90
|
---|
6015 | va (VaSet
|
---|
6016 | vasetType 1
|
---|
6017 | fg "0,65535,0"
|
---|
6018 | )
|
---|
6019 | xt "80000,143625,80750,144375"
|
---|
6020 | )
|
---|
6021 | tg (CPTG
|
---|
6022 | uid 17622,0
|
---|
6023 | ps "CptPortTextPlaceStrategy"
|
---|
6024 | stg "RightVerticalLayoutStrategy"
|
---|
6025 | f (Text
|
---|
6026 | uid 17623,0
|
---|
6027 | va (VaSet
|
---|
6028 | )
|
---|
6029 | xt "73400,143500,79000,144500"
|
---|
6030 | st "trigger_veto"
|
---|
6031 | ju 2
|
---|
6032 | blo "79000,144300"
|
---|
6033 | )
|
---|
6034 | )
|
---|
6035 | thePort (LogicalPort
|
---|
6036 | m 1
|
---|
6037 | decl (Decl
|
---|
6038 | n "trigger_veto"
|
---|
6039 | t "std_logic"
|
---|
6040 | o 45
|
---|
6041 | suid 98,0
|
---|
6042 | i "'1'"
|
---|
6043 | )
|
---|
6044 | )
|
---|
6045 | )
|
---|
6046 | *185 (CptPort
|
---|
6047 | uid 17711,0
|
---|
6048 | ps "OnEdgeStrategy"
|
---|
6049 | shape (Triangle
|
---|
6050 | uid 17712,0
|
---|
6051 | ro 270
|
---|
6052 | va (VaSet
|
---|
6053 | vasetType 1
|
---|
6054 | fg "0,65535,0"
|
---|
6055 | )
|
---|
6056 | xt "80000,149625,80750,150375"
|
---|
6057 | )
|
---|
6058 | tg (CPTG
|
---|
6059 | uid 17713,0
|
---|
6060 | ps "CptPortTextPlaceStrategy"
|
---|
6061 | stg "RightVerticalLayoutStrategy"
|
---|
6062 | f (Text
|
---|
6063 | uid 17714,0
|
---|
6064 | va (VaSet
|
---|
6065 | )
|
---|
6066 | xt "70900,149500,79000,150500"
|
---|
6067 | st "FTM_RS485_rx_d"
|
---|
6068 | ju 2
|
---|
6069 | blo "79000,150300"
|
---|
6070 | )
|
---|
6071 | )
|
---|
6072 | thePort (LogicalPort
|
---|
6073 | decl (Decl
|
---|
6074 | n "FTM_RS485_rx_d"
|
---|
6075 | t "std_logic"
|
---|
6076 | o 3
|
---|
6077 | suid 99,0
|
---|
6078 | )
|
---|
6079 | )
|
---|
6080 | )
|
---|
6081 | *186 (CptPort
|
---|
6082 | uid 17715,0
|
---|
6083 | ps "OnEdgeStrategy"
|
---|
6084 | shape (Triangle
|
---|
6085 | uid 17716,0
|
---|
6086 | ro 90
|
---|
6087 | va (VaSet
|
---|
6088 | vasetType 1
|
---|
6089 | fg "0,65535,0"
|
---|
6090 | )
|
---|
6091 | xt "80000,147625,80750,148375"
|
---|
6092 | )
|
---|
6093 | tg (CPTG
|
---|
6094 | uid 17717,0
|
---|
6095 | ps "CptPortTextPlaceStrategy"
|
---|
6096 | stg "RightVerticalLayoutStrategy"
|
---|
6097 | f (Text
|
---|
6098 | uid 17718,0
|
---|
6099 | va (VaSet
|
---|
6100 | )
|
---|
6101 | xt "70600,147500,79000,148500"
|
---|
6102 | st "FTM_RS485_rx_en"
|
---|
6103 | ju 2
|
---|
6104 | blo "79000,148300"
|
---|
6105 | )
|
---|
6106 | )
|
---|
6107 | thePort (LogicalPort
|
---|
6108 | m 1
|
---|
6109 | decl (Decl
|
---|
6110 | n "FTM_RS485_rx_en"
|
---|
6111 | t "std_logic"
|
---|
6112 | o 20
|
---|
6113 | suid 101,0
|
---|
6114 | )
|
---|
6115 | )
|
---|
6116 | )
|
---|
6117 | *187 (CptPort
|
---|
6118 | uid 17719,0
|
---|
6119 | ps "OnEdgeStrategy"
|
---|
6120 | shape (Triangle
|
---|
6121 | uid 17720,0
|
---|
6122 | ro 90
|
---|
6123 | va (VaSet
|
---|
6124 | vasetType 1
|
---|
6125 | fg "0,65535,0"
|
---|
6126 | )
|
---|
6127 | xt "80000,148625,80750,149375"
|
---|
6128 | )
|
---|
6129 | tg (CPTG
|
---|
6130 | uid 17721,0
|
---|
6131 | ps "CptPortTextPlaceStrategy"
|
---|
6132 | stg "RightVerticalLayoutStrategy"
|
---|
6133 | f (Text
|
---|
6134 | uid 17722,0
|
---|
6135 | va (VaSet
|
---|
6136 | )
|
---|
6137 | xt "70900,148500,79000,149500"
|
---|
6138 | st "FTM_RS485_tx_d"
|
---|
6139 | ju 2
|
---|
6140 | blo "79000,149300"
|
---|
6141 | )
|
---|
6142 | )
|
---|
6143 | thePort (LogicalPort
|
---|
6144 | m 1
|
---|
6145 | decl (Decl
|
---|
6146 | n "FTM_RS485_tx_d"
|
---|
6147 | t "std_logic"
|
---|
6148 | o 21
|
---|
6149 | suid 100,0
|
---|
6150 | )
|
---|
6151 | )
|
---|
6152 | )
|
---|
6153 | *188 (CptPort
|
---|
6154 | uid 17723,0
|
---|
6155 | ps "OnEdgeStrategy"
|
---|
6156 | shape (Triangle
|
---|
6157 | uid 17724,0
|
---|
6158 | ro 90
|
---|
6159 | va (VaSet
|
---|
6160 | vasetType 1
|
---|
6161 | fg "0,65535,0"
|
---|
6162 | )
|
---|
6163 | xt "80000,146625,80750,147375"
|
---|
6164 | )
|
---|
6165 | tg (CPTG
|
---|
6166 | uid 17725,0
|
---|
6167 | ps "CptPortTextPlaceStrategy"
|
---|
6168 | stg "RightVerticalLayoutStrategy"
|
---|
6169 | f (Text
|
---|
6170 | uid 17726,0
|
---|
6171 | va (VaSet
|
---|
6172 | )
|
---|
6173 | xt "70600,146500,79000,147500"
|
---|
6174 | st "FTM_RS485_tx_en"
|
---|
6175 | ju 2
|
---|
6176 | blo "79000,147300"
|
---|
6177 | )
|
---|
6178 | )
|
---|
6179 | thePort (LogicalPort
|
---|
6180 | m 1
|
---|
6181 | decl (Decl
|
---|
6182 | n "FTM_RS485_tx_en"
|
---|
6183 | t "std_logic"
|
---|
6184 | o 22
|
---|
6185 | suid 102,0
|
---|
6186 | )
|
---|
6187 | )
|
---|
6188 | )
|
---|
6189 | *189 (CptPort
|
---|
6190 | uid 17842,0
|
---|
6191 | ps "OnEdgeStrategy"
|
---|
6192 | shape (Triangle
|
---|
6193 | uid 17843,0
|
---|
6194 | ro 90
|
---|
6195 | va (VaSet
|
---|
6196 | vasetType 1
|
---|
6197 | fg "0,65535,0"
|
---|
6198 | )
|
---|
6199 | xt "80000,105625,80750,106375"
|
---|
6200 | )
|
---|
6201 | tg (CPTG
|
---|
6202 | uid 17844,0
|
---|
6203 | ps "CptPortTextPlaceStrategy"
|
---|
6204 | stg "RightVerticalLayoutStrategy"
|
---|
6205 | f (Text
|
---|
6206 | uid 17845,0
|
---|
6207 | va (VaSet
|
---|
6208 | )
|
---|
6209 | xt "70600,105500,79000,106500"
|
---|
6210 | st "w5300_state : (7:0)"
|
---|
6211 | ju 2
|
---|
6212 | blo "79000,106300"
|
---|
6213 | )
|
---|
6214 | )
|
---|
6215 | thePort (LogicalPort
|
---|
6216 | m 1
|
---|
6217 | decl (Decl
|
---|
6218 | n "w5300_state"
|
---|
6219 | t "std_logic_vector"
|
---|
6220 | b "(7 DOWNTO 0)"
|
---|
6221 | eolc "-- state is encoded here ... useful for debugging."
|
---|
6222 | posAdd 0
|
---|
6223 | o 46
|
---|
6224 | suid 103,0
|
---|
6225 | )
|
---|
6226 | )
|
---|
6227 | )
|
---|
6228 | *190 (CptPort
|
---|
6229 | uid 18058,0
|
---|
6230 | ps "OnEdgeStrategy"
|
---|
6231 | shape (Triangle
|
---|
6232 | uid 18059,0
|
---|
6233 | ro 90
|
---|
6234 | va (VaSet
|
---|
6235 | vasetType 1
|
---|
6236 | fg "0,65535,0"
|
---|
6237 | )
|
---|
6238 | xt "80000,106625,80750,107375"
|
---|
6239 | )
|
---|
6240 | tg (CPTG
|
---|
6241 | uid 18060,0
|
---|
6242 | ps "CptPortTextPlaceStrategy"
|
---|
6243 | stg "RightVerticalLayoutStrategy"
|
---|
6244 | f (Text
|
---|
6245 | uid 18061,0
|
---|
6246 | va (VaSet
|
---|
6247 | )
|
---|
6248 | xt "68600,106500,79000,107500"
|
---|
6249 | st "debug_data_ram_empty"
|
---|
6250 | ju 2
|
---|
6251 | blo "79000,107300"
|
---|
6252 | )
|
---|
6253 | )
|
---|
6254 | thePort (LogicalPort
|
---|
6255 | m 1
|
---|
6256 | decl (Decl
|
---|
6257 | n "debug_data_ram_empty"
|
---|
6258 | t "std_logic"
|
---|
6259 | o 32
|
---|
6260 | suid 104,0
|
---|
6261 | )
|
---|
6262 | )
|
---|
6263 | )
|
---|
6264 | *191 (CptPort
|
---|
6265 | uid 18062,0
|
---|
6266 | ps "OnEdgeStrategy"
|
---|
6267 | shape (Triangle
|
---|
6268 | uid 18063,0
|
---|
6269 | ro 90
|
---|
6270 | va (VaSet
|
---|
6271 | vasetType 1
|
---|
6272 | fg "0,65535,0"
|
---|
6273 | )
|
---|
6274 | xt "80000,107625,80750,108375"
|
---|
6275 | )
|
---|
6276 | tg (CPTG
|
---|
6277 | uid 18064,0
|
---|
6278 | ps "CptPortTextPlaceStrategy"
|
---|
6279 | stg "RightVerticalLayoutStrategy"
|
---|
6280 | f (Text
|
---|
6281 | uid 18065,0
|
---|
6282 | va (VaSet
|
---|
6283 | )
|
---|
6284 | xt "71500,107500,79000,108500"
|
---|
6285 | st "debug_data_valid"
|
---|
6286 | ju 2
|
---|
6287 | blo "79000,108300"
|
---|
6288 | )
|
---|
6289 | )
|
---|
6290 | thePort (LogicalPort
|
---|
6291 | m 1
|
---|
6292 | decl (Decl
|
---|
6293 | n "debug_data_valid"
|
---|
6294 | t "std_logic"
|
---|
6295 | o 33
|
---|
6296 | suid 105,0
|
---|
6297 | )
|
---|
6298 | )
|
---|
6299 | )
|
---|
6300 | *192 (CptPort
|
---|
6301 | uid 18187,0
|
---|
6302 | ps "OnEdgeStrategy"
|
---|
6303 | shape (Triangle
|
---|
6304 | uid 18188,0
|
---|
6305 | ro 90
|
---|
6306 | va (VaSet
|
---|
6307 | vasetType 1
|
---|
6308 | fg "0,65535,0"
|
---|
6309 | )
|
---|
6310 | xt "80000,104625,80750,105375"
|
---|
6311 | )
|
---|
6312 | tg (CPTG
|
---|
6313 | uid 18189,0
|
---|
6314 | ps "CptPortTextPlaceStrategy"
|
---|
6315 | stg "RightVerticalLayoutStrategy"
|
---|
6316 | f (Text
|
---|
6317 | uid 18190,0
|
---|
6318 | va (VaSet
|
---|
6319 | )
|
---|
6320 | xt "67600,104500,79000,105500"
|
---|
6321 | st "mem_manager_state : (3:0)"
|
---|
6322 | ju 2
|
---|
6323 | blo "79000,105300"
|
---|
6324 | )
|
---|
6325 | )
|
---|
6326 | thePort (LogicalPort
|
---|
6327 | lang 2
|
---|
6328 | m 1
|
---|
6329 | decl (Decl
|
---|
6330 | n "mem_manager_state"
|
---|
6331 | t "std_logic_vector"
|
---|
6332 | b "(3 DOWNTO 0)"
|
---|
6333 | eolc "-- state is encoded here ... useful for debugging."
|
---|
6334 | posAdd 0
|
---|
6335 | o 39
|
---|
6336 | suid 106,0
|
---|
6337 | )
|
---|
6338 | )
|
---|
6339 | )
|
---|
6340 | *193 (CptPort
|
---|
6341 | uid 18322,0
|
---|
6342 | ps "OnEdgeStrategy"
|
---|
6343 | shape (Triangle
|
---|
6344 | uid 18323,0
|
---|
6345 | ro 90
|
---|
6346 | va (VaSet
|
---|
6347 | vasetType 1
|
---|
6348 | fg "0,65535,0"
|
---|
6349 | )
|
---|
6350 | xt "80000,108625,80750,109375"
|
---|
6351 | )
|
---|
6352 | tg (CPTG
|
---|
6353 | uid 18324,0
|
---|
6354 | ps "CptPortTextPlaceStrategy"
|
---|
6355 | stg "RightVerticalLayoutStrategy"
|
---|
6356 | f (Text
|
---|
6357 | uid 18325,0
|
---|
6358 | va (VaSet
|
---|
6359 | )
|
---|
6360 | xt "72100,108500,79000,109500"
|
---|
6361 | st "DG_state : (7:0)"
|
---|
6362 | ju 2
|
---|
6363 | blo "79000,109300"
|
---|
6364 | )
|
---|
6365 | )
|
---|
6366 | thePort (LogicalPort
|
---|
6367 | m 1
|
---|
6368 | decl (Decl
|
---|
6369 | n "DG_state"
|
---|
6370 | t "std_logic_vector"
|
---|
6371 | b "(7 downto 0)"
|
---|
6372 | prec "-- for debugging"
|
---|
6373 | preAdd 0
|
---|
6374 | o 19
|
---|
6375 | suid 108,0
|
---|
6376 | )
|
---|
6377 | )
|
---|
6378 | )
|
---|
6379 | *194 (CptPort
|
---|
6380 | uid 18471,0
|
---|
6381 | ps "OnEdgeStrategy"
|
---|
6382 | shape (Triangle
|
---|
6383 | uid 18472,0
|
---|
6384 | ro 90
|
---|
6385 | va (VaSet
|
---|
6386 | vasetType 1
|
---|
6387 | fg "0,65535,0"
|
---|
6388 | )
|
---|
6389 | xt "80000,150625,80750,151375"
|
---|
6390 | )
|
---|
6391 | tg (CPTG
|
---|
6392 | uid 18473,0
|
---|
6393 | ps "CptPortTextPlaceStrategy"
|
---|
6394 | stg "RightVerticalLayoutStrategy"
|
---|
6395 | f (Text
|
---|
6396 | uid 18474,0
|
---|
6397 | va (VaSet
|
---|
6398 | )
|
---|
6399 | xt "67100,150500,79000,151500"
|
---|
6400 | st "socket_tx_free_out : (16:0)"
|
---|
6401 | ju 2
|
---|
6402 | blo "79000,151300"
|
---|
6403 | )
|
---|
6404 | )
|
---|
6405 | thePort (LogicalPort
|
---|
6406 | m 1
|
---|
6407 | decl (Decl
|
---|
6408 | n "socket_tx_free_out"
|
---|
6409 | t "std_logic_vector"
|
---|
6410 | b "(16 DOWNTO 0)"
|
---|
6411 | eolc "-- 17bit value .. that's true"
|
---|
6412 | posAdd 0
|
---|
6413 | o 44
|
---|
6414 | suid 109,0
|
---|
6415 | )
|
---|
6416 | )
|
---|
6417 | )
|
---|
6418 | ]
|
---|
6419 | shape (Rectangle
|
---|
6420 | uid 17196,0
|
---|
6421 | va (VaSet
|
---|
6422 | vasetType 1
|
---|
6423 | fg "0,65535,0"
|
---|
6424 | lineColor "0,32896,0"
|
---|
6425 | lineWidth 2
|
---|
6426 | )
|
---|
6427 | xt "52000,66000,80000,153000"
|
---|
6428 | )
|
---|
6429 | oxt "15000,-8000,43000,70000"
|
---|
6430 | ttg (MlTextGroup
|
---|
6431 | uid 17197,0
|
---|
6432 | ps "CenterOffsetStrategy"
|
---|
6433 | stg "VerticalLayoutStrategy"
|
---|
6434 | textVec [
|
---|
6435 | *195 (Text
|
---|
6436 | uid 17198,0
|
---|
6437 | va (VaSet
|
---|
6438 | font "Arial,8,1"
|
---|
6439 | )
|
---|
6440 | xt "55200,141000,61400,142000"
|
---|
6441 | st "FACT_FAD_lib"
|
---|
6442 | blo "55200,141800"
|
---|
6443 | tm "BdLibraryNameMgr"
|
---|
6444 | )
|
---|
6445 | *196 (Text
|
---|
6446 | uid 17199,0
|
---|
6447 | va (VaSet
|
---|
6448 | font "Arial,8,1"
|
---|
6449 | )
|
---|
6450 | xt "55200,142000,59400,143000"
|
---|
6451 | st "FAD_main"
|
---|
6452 | blo "55200,142800"
|
---|
6453 | tm "CptNameMgr"
|
---|
6454 | )
|
---|
6455 | *197 (Text
|
---|
6456 | uid 17200,0
|
---|
6457 | va (VaSet
|
---|
6458 | font "Arial,8,1"
|
---|
6459 | )
|
---|
6460 | xt "55200,143000,61000,144000"
|
---|
6461 | st "I_board_main"
|
---|
6462 | blo "55200,143800"
|
---|
6463 | tm "InstanceNameMgr"
|
---|
6464 | )
|
---|
6465 | ]
|
---|
6466 | )
|
---|
6467 | ga (GenericAssociation
|
---|
6468 | uid 17201,0
|
---|
6469 | ps "EdgeToEdgeStrategy"
|
---|
6470 | matrix (Matrix
|
---|
6471 | uid 17202,0
|
---|
6472 | text (MLText
|
---|
6473 | uid 17203,0
|
---|
6474 | va (VaSet
|
---|
6475 | font "Courier New,8,0"
|
---|
6476 | )
|
---|
6477 | xt "52000,65200,81500,66000"
|
---|
6478 | st "RAMADDRWIDTH64b = LOG2_OF_RAM_SIZE_64B ( integer ) "
|
---|
6479 | )
|
---|
6480 | header ""
|
---|
6481 | )
|
---|
6482 | elements [
|
---|
6483 | (GiElement
|
---|
6484 | name "RAMADDRWIDTH64b"
|
---|
6485 | type "integer"
|
---|
6486 | value "LOG2_OF_RAM_SIZE_64B"
|
---|
6487 | )
|
---|
6488 | ]
|
---|
6489 | )
|
---|
6490 | viewicon (ZoomableIcon
|
---|
6491 | uid 17204,0
|
---|
6492 | sl 0
|
---|
6493 | va (VaSet
|
---|
6494 | vasetType 1
|
---|
6495 | fg "49152,49152,49152"
|
---|
6496 | )
|
---|
6497 | xt "52250,151250,53750,152750"
|
---|
6498 | iconName "BlockDiagram.png"
|
---|
6499 | iconMaskName "BlockDiagram.msk"
|
---|
6500 | ftype 1
|
---|
6501 | )
|
---|
6502 | viewiconposition 0
|
---|
6503 | portVis (PortSigDisplay
|
---|
6504 | )
|
---|
6505 | archFileType "UNKNOWN"
|
---|
6506 | )
|
---|
6507 | *198 (Net
|
---|
6508 | uid 17294,0
|
---|
6509 | lang 2
|
---|
6510 | decl (Decl
|
---|
6511 | n "ADC_CLK"
|
---|
6512 | t "std_logic"
|
---|
6513 | o 53
|
---|
6514 | suid 231,0
|
---|
6515 | )
|
---|
6516 | declText (MLText
|
---|
6517 | uid 17295,0
|
---|
6518 | va (VaSet
|
---|
6519 | font "Courier New,8,0"
|
---|
6520 | )
|
---|
6521 | xt "39000,46400,61000,47200"
|
---|
6522 | st "SIGNAL ADC_CLK : std_logic
|
---|
6523 | "
|
---|
6524 | )
|
---|
6525 | )
|
---|
6526 | *199 (PortIoOut
|
---|
6527 | uid 17401,0
|
---|
6528 | shape (CompositeShape
|
---|
6529 | uid 17402,0
|
---|
6530 | va (VaSet
|
---|
6531 | vasetType 1
|
---|
6532 | fg "0,0,32768"
|
---|
6533 | )
|
---|
6534 | optionalChildren [
|
---|
6535 | (Pentagon
|
---|
6536 | uid 17403,0
|
---|
6537 | sl 0
|
---|
6538 | ro 270
|
---|
6539 | xt "112500,143625,114000,144375"
|
---|
6540 | )
|
---|
6541 | (Line
|
---|
6542 | uid 17404,0
|
---|
6543 | sl 0
|
---|
6544 | ro 270
|
---|
6545 | xt "112000,144000,112500,144000"
|
---|
6546 | pts [
|
---|
6547 | "112000,144000"
|
---|
6548 | "112500,144000"
|
---|
6549 | ]
|
---|
6550 | )
|
---|
6551 | ]
|
---|
6552 | )
|
---|
6553 | stc 0
|
---|
6554 | sf 1
|
---|
6555 | tg (WTG
|
---|
6556 | uid 17405,0
|
---|
6557 | ps "PortIoTextPlaceStrategy"
|
---|
6558 | stg "STSignalDisplayStrategy"
|
---|
6559 | f (Text
|
---|
6560 | uid 17406,0
|
---|
6561 | va (VaSet
|
---|
6562 | )
|
---|
6563 | xt "115000,143500,117900,144500"
|
---|
6564 | st "TRG_V"
|
---|
6565 | blo "115000,144300"
|
---|
6566 | tm "WireNameMgr"
|
---|
6567 | )
|
---|
6568 | )
|
---|
6569 | )
|
---|
6570 | *200 (Net
|
---|
6571 | uid 17413,0
|
---|
6572 | lang 2
|
---|
6573 | decl (Decl
|
---|
6574 | n "TRG_V"
|
---|
6575 | t "std_logic"
|
---|
6576 | o 44
|
---|
6577 | suid 232,0
|
---|
6578 | i "'0'"
|
---|
6579 | )
|
---|
6580 | declText (MLText
|
---|
6581 | uid 17414,0
|
---|
6582 | va (VaSet
|
---|
6583 | font "Courier New,8,0"
|
---|
6584 | )
|
---|
6585 | xt "39000,38200,71500,39000"
|
---|
6586 | st "TRG_V : std_logic := '0'
|
---|
6587 | "
|
---|
6588 | )
|
---|
6589 | )
|
---|
6590 | *201 (Net
|
---|
6591 | uid 17846,0
|
---|
6592 | decl (Decl
|
---|
6593 | n "w5300_state"
|
---|
6594 | t "std_logic_vector"
|
---|
6595 | b "(7 DOWNTO 0)"
|
---|
6596 | eolc "-- state is encoded here ... useful for debugging."
|
---|
6597 | posAdd 0
|
---|
6598 | o 68
|
---|
6599 | suid 233,0
|
---|
6600 | )
|
---|
6601 | declText (MLText
|
---|
6602 | uid 17847,0
|
---|
6603 | va (VaSet
|
---|
6604 | font "Courier New,8,0"
|
---|
6605 | )
|
---|
6606 | xt "39000,63200,96000,64000"
|
---|
6607 | st "SIGNAL w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
|
---|
6608 | "
|
---|
6609 | )
|
---|
6610 | )
|
---|
6611 | *202 (Net
|
---|
6612 | uid 18066,0
|
---|
6613 | decl (Decl
|
---|
6614 | n "debug_data_ram_empty"
|
---|
6615 | t "std_logic"
|
---|
6616 | o 63
|
---|
6617 | suid 234,0
|
---|
6618 | )
|
---|
6619 | declText (MLText
|
---|
6620 | uid 18067,0
|
---|
6621 | va (VaSet
|
---|
6622 | font "Courier New,8,0"
|
---|
6623 | )
|
---|
6624 | xt "39000,56000,61000,56800"
|
---|
6625 | st "SIGNAL debug_data_ram_empty : std_logic
|
---|
6626 | "
|
---|
6627 | )
|
---|
6628 | )
|
---|
6629 | *203 (Net
|
---|
6630 | uid 18074,0
|
---|
6631 | decl (Decl
|
---|
6632 | n "debug_data_valid"
|
---|
6633 | t "std_logic"
|
---|
6634 | o 64
|
---|
6635 | suid 235,0
|
---|
6636 | )
|
---|
6637 | declText (MLText
|
---|
6638 | uid 18075,0
|
---|
6639 | va (VaSet
|
---|
6640 | font "Courier New,8,0"
|
---|
6641 | )
|
---|
6642 | xt "39000,56800,61000,57600"
|
---|
6643 | st "SIGNAL debug_data_valid : std_logic
|
---|
6644 | "
|
---|
6645 | )
|
---|
6646 | )
|
---|
6647 | *204 (Net
|
---|
6648 | uid 18205,0
|
---|
6649 | lang 2
|
---|
6650 | decl (Decl
|
---|
6651 | n "mem_manager_state"
|
---|
6652 | t "std_logic_vector"
|
---|
6653 | b "(3 DOWNTO 0)"
|
---|
6654 | eolc "-- state is encoded here ... useful for debugging."
|
---|
6655 | posAdd 0
|
---|
6656 | o 66
|
---|
6657 | suid 237,0
|
---|
6658 | )
|
---|
6659 | declText (MLText
|
---|
6660 | uid 18206,0
|
---|
6661 | va (VaSet
|
---|
6662 | font "Courier New,8,0"
|
---|
6663 | )
|
---|
6664 | xt "39000,58400,96000,59200"
|
---|
6665 | st "SIGNAL mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
|
---|
6666 | "
|
---|
6667 | )
|
---|
6668 | )
|
---|
6669 | *205 (Net
|
---|
6670 | uid 18326,0
|
---|
6671 | decl (Decl
|
---|
6672 | n "DG_state"
|
---|
6673 | t "std_logic_vector"
|
---|
6674 | b "(7 downto 0)"
|
---|
6675 | prec "-- for debugging"
|
---|
6676 | preAdd 0
|
---|
6677 | o 55
|
---|
6678 | suid 238,0
|
---|
6679 | )
|
---|
6680 | declText (MLText
|
---|
6681 | uid 18327,0
|
---|
6682 | va (VaSet
|
---|
6683 | font "Courier New,8,0"
|
---|
6684 | )
|
---|
6685 | xt "39000,48000,70500,49600"
|
---|
6686 | st "-- for debugging
|
---|
6687 | SIGNAL DG_state : std_logic_vector(7 downto 0)
|
---|
6688 | "
|
---|
6689 | )
|
---|
6690 | )
|
---|
6691 | *206 (Net
|
---|
6692 | uid 18475,0
|
---|
6693 | decl (Decl
|
---|
6694 | n "socket_tx_free_out"
|
---|
6695 | t "std_logic_vector"
|
---|
6696 | b "(16 DOWNTO 0)"
|
---|
6697 | eolc "-- 17bit value .. that's true"
|
---|
6698 | posAdd 0
|
---|
6699 | o 67
|
---|
6700 | suid 239,0
|
---|
6701 | )
|
---|
6702 | declText (MLText
|
---|
6703 | uid 18476,0
|
---|
6704 | va (VaSet
|
---|
6705 | font "Courier New,8,0"
|
---|
6706 | )
|
---|
6707 | xt "39000,61600,86000,62400"
|
---|
6708 | st "SIGNAL socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
|
---|
6709 | "
|
---|
6710 | )
|
---|
6711 | )
|
---|
6712 | *207 (PortIoOut
|
---|
6713 | uid 18802,0
|
---|
6714 | shape (CompositeShape
|
---|
6715 | uid 18803,0
|
---|
6716 | va (VaSet
|
---|
6717 | vasetType 1
|
---|
6718 | fg "0,0,32768"
|
---|
6719 | )
|
---|
6720 | optionalChildren [
|
---|
6721 | (Pentagon
|
---|
6722 | uid 18804,0
|
---|
6723 | sl 0
|
---|
6724 | ro 270
|
---|
6725 | xt "176500,118625,178000,119375"
|
---|
6726 | )
|
---|
6727 | (Line
|
---|
6728 | uid 18805,0
|
---|
6729 | sl 0
|
---|
6730 | ro 270
|
---|
6731 | xt "176000,119000,176500,119000"
|
---|
6732 | pts [
|
---|
6733 | "176000,119000"
|
---|
6734 | "176500,119000"
|
---|
6735 | ]
|
---|
6736 | )
|
---|
6737 | ]
|
---|
6738 | )
|
---|
6739 | stc 0
|
---|
6740 | sf 1
|
---|
6741 | tg (WTG
|
---|
6742 | uid 18806,0
|
---|
6743 | ps "PortIoTextPlaceStrategy"
|
---|
6744 | stg "STSignalDisplayStrategy"
|
---|
6745 | f (Text
|
---|
6746 | uid 18807,0
|
---|
6747 | va (VaSet
|
---|
6748 | )
|
---|
6749 | xt "179000,118500,181300,119500"
|
---|
6750 | st "W_T"
|
---|
6751 | blo "179000,119300"
|
---|
6752 | tm "WireNameMgr"
|
---|
6753 | )
|
---|
6754 | )
|
---|
6755 | )
|
---|
6756 | *208 (Net
|
---|
6757 | uid 18814,0
|
---|
6758 | decl (Decl
|
---|
6759 | n "W_T"
|
---|
6760 | t "std_logic_vector"
|
---|
6761 | b "( 3 DOWNTO 0 )"
|
---|
6762 | o 49
|
---|
6763 | suid 240,0
|
---|
6764 | i "(others => '0')"
|
---|
6765 | )
|
---|
6766 | declText (MLText
|
---|
6767 | uid 18815,0
|
---|
6768 | va (VaSet
|
---|
6769 | font "Courier New,8,0"
|
---|
6770 | )
|
---|
6771 | xt "39000,42200,77500,43000"
|
---|
6772 | st "W_T : std_logic_vector( 3 DOWNTO 0 ) := (others => '0')
|
---|
6773 | "
|
---|
6774 | )
|
---|
6775 | )
|
---|
6776 | *209 (MWC
|
---|
6777 | uid 19427,0
|
---|
6778 | optionalChildren [
|
---|
6779 | *210 (CptPort
|
---|
6780 | uid 19407,0
|
---|
6781 | optionalChildren [
|
---|
6782 | *211 (Line
|
---|
6783 | uid 19411,0
|
---|
6784 | layer 5
|
---|
6785 | sl 0
|
---|
6786 | va (VaSet
|
---|
6787 | vasetType 3
|
---|
6788 | )
|
---|
6789 | xt "82000,85000,82000,85000"
|
---|
6790 | pts [
|
---|
6791 | "82000,85000"
|
---|
6792 | "82000,85000"
|
---|
6793 | ]
|
---|
6794 | )
|
---|
6795 | ]
|
---|
6796 | ps "OnEdgeStrategy"
|
---|
6797 | shape (Triangle
|
---|
6798 | uid 19408,0
|
---|
6799 | ro 90
|
---|
6800 | va (VaSet
|
---|
6801 | vasetType 1
|
---|
6802 | isHidden 1
|
---|
6803 | fg "0,65535,65535"
|
---|
6804 | )
|
---|
6805 | xt "81250,84625,82000,85375"
|
---|
6806 | )
|
---|
6807 | tg (CPTG
|
---|
6808 | uid 19409,0
|
---|
6809 | ps "CptPortTextPlaceStrategy"
|
---|
6810 | stg "VerticalLayoutStrategy"
|
---|
6811 | f (Text
|
---|
6812 | uid 19410,0
|
---|
6813 | sl 0
|
---|
6814 | va (VaSet
|
---|
6815 | isHidden 1
|
---|
6816 | font "arial,8,0"
|
---|
6817 | )
|
---|
6818 | xt "475200,46500,476000,47500"
|
---|
6819 | st "s"
|
---|
6820 | blo "475200,47300"
|
---|
6821 | )
|
---|
6822 | s (Text
|
---|
6823 | uid 19436,0
|
---|
6824 | sl 0
|
---|
6825 | va (VaSet
|
---|
6826 | font "arial,8,0"
|
---|
6827 | )
|
---|
6828 | xt "475200,47500,475200,47500"
|
---|
6829 | blo "475200,47500"
|
---|
6830 | )
|
---|
6831 | )
|
---|
6832 | thePort (LogicalPort
|
---|
6833 | decl (Decl
|
---|
6834 | n "s"
|
---|
6835 | t "std_logic"
|
---|
6836 | o 69
|
---|
6837 | suid 1,0
|
---|
6838 | )
|
---|
6839 | )
|
---|
6840 | )
|
---|
6841 | *212 (CptPort
|
---|
6842 | uid 19412,0
|
---|
6843 | optionalChildren [
|
---|
6844 | *213 (Line
|
---|
6845 | uid 19416,0
|
---|
6846 | layer 5
|
---|
6847 | sl 0
|
---|
6848 | va (VaSet
|
---|
6849 | vasetType 3
|
---|
6850 | )
|
---|
6851 | xt "85000,85000,85000,85000"
|
---|
6852 | pts [
|
---|
6853 | "85000,85000"
|
---|
6854 | "85000,85000"
|
---|
6855 | ]
|
---|
6856 | )
|
---|
6857 | ]
|
---|
6858 | ps "OnEdgeStrategy"
|
---|
6859 | shape (Triangle
|
---|
6860 | uid 19413,0
|
---|
6861 | ro 90
|
---|
6862 | va (VaSet
|
---|
6863 | vasetType 1
|
---|
6864 | isHidden 1
|
---|
6865 | fg "0,65535,65535"
|
---|
6866 | )
|
---|
6867 | xt "85000,84625,85750,85375"
|
---|
6868 | )
|
---|
6869 | tg (CPTG
|
---|
6870 | uid 19414,0
|
---|
6871 | ps "CptPortTextPlaceStrategy"
|
---|
6872 | stg "RightVerticalLayoutStrategy"
|
---|
6873 | f (Text
|
---|
6874 | uid 19415,0
|
---|
6875 | sl 0
|
---|
6876 | va (VaSet
|
---|
6877 | isHidden 1
|
---|
6878 | font "arial,8,0"
|
---|
6879 | )
|
---|
6880 | xt "473000,44500,473600,45500"
|
---|
6881 | st "t"
|
---|
6882 | ju 2
|
---|
6883 | blo "473600,45300"
|
---|
6884 | )
|
---|
6885 | s (Text
|
---|
6886 | uid 19437,0
|
---|
6887 | sl 0
|
---|
6888 | va (VaSet
|
---|
6889 | font "arial,8,0"
|
---|
6890 | )
|
---|
6891 | xt "473600,45500,473600,45500"
|
---|
6892 | ju 2
|
---|
6893 | blo "473600,45500"
|
---|
6894 | )
|
---|
6895 | )
|
---|
6896 | thePort (LogicalPort
|
---|
6897 | m 1
|
---|
6898 | decl (Decl
|
---|
6899 | n "t"
|
---|
6900 | t "std_logic"
|
---|
6901 | o 22
|
---|
6902 | suid 2,0
|
---|
6903 | )
|
---|
6904 | )
|
---|
6905 | )
|
---|
6906 | *214 (CommentGraphic
|
---|
6907 | uid 19417,0
|
---|
6908 | shape (PolyLine2D
|
---|
6909 | pts [
|
---|
6910 | "82000,85000"
|
---|
6911 | "83000,84000"
|
---|
6912 | ]
|
---|
6913 | uid 19418,0
|
---|
6914 | layer 8
|
---|
6915 | sl 0
|
---|
6916 | va (VaSet
|
---|
6917 | vasetType 1
|
---|
6918 | transparent 1
|
---|
6919 | fg "49152,49152,49152"
|
---|
6920 | lineColor "26368,26368,26368"
|
---|
6921 | lineWidth 2
|
---|
6922 | )
|
---|
6923 | xt "82000,84000,83000,85000"
|
---|
6924 | )
|
---|
6925 | oxt "6000,6000,7000,7000"
|
---|
6926 | )
|
---|
6927 | *215 (CommentGraphic
|
---|
6928 | uid 19419,0
|
---|
6929 | shape (PolyLine2D
|
---|
6930 | pts [
|
---|
6931 | "82000,85000"
|
---|
6932 | "83000,86000"
|
---|
6933 | ]
|
---|
6934 | uid 19420,0
|
---|
6935 | layer 8
|
---|
6936 | sl 0
|
---|
6937 | va (VaSet
|
---|
6938 | vasetType 1
|
---|
6939 | transparent 1
|
---|
6940 | fg "49152,49152,49152"
|
---|
6941 | lineColor "26368,26368,26368"
|
---|
6942 | lineWidth 2
|
---|
6943 | )
|
---|
6944 | xt "82000,85000,83000,86000"
|
---|
6945 | )
|
---|
6946 | oxt "6000,7000,7000,8000"
|
---|
6947 | )
|
---|
6948 | *216 (CommentGraphic
|
---|
6949 | uid 19421,0
|
---|
6950 | shape (PolyLine2D
|
---|
6951 | pts [
|
---|
6952 | "82988,85329"
|
---|
6953 | "83988,85329"
|
---|
6954 | ]
|
---|
6955 | uid 19422,0
|
---|
6956 | layer 8
|
---|
6957 | sl 0
|
---|
6958 | va (VaSet
|
---|
6959 | vasetType 1
|
---|
6960 | transparent 1
|
---|
6961 | fg "49152,49152,49152"
|
---|
6962 | lineColor "26368,26368,26368"
|
---|
6963 | lineWidth 2
|
---|
6964 | )
|
---|
6965 | xt "82988,85329,83988,85329"
|
---|
6966 | )
|
---|
6967 | oxt "6988,7329,7988,7329"
|
---|
6968 | )
|
---|
6969 | *217 (CommentGraphic
|
---|
6970 | uid 19423,0
|
---|
6971 | shape (PolyLine2D
|
---|
6972 | pts [
|
---|
6973 | "84000,85000"
|
---|
6974 | "85000,85000"
|
---|
6975 | ]
|
---|
6976 | uid 19424,0
|
---|
6977 | layer 0
|
---|
6978 | sl 0
|
---|
6979 | va (VaSet
|
---|
6980 | vasetType 1
|
---|
6981 | transparent 1
|
---|
6982 | fg "49152,49152,49152"
|
---|
6983 | )
|
---|
6984 | xt "84000,85000,85000,85000"
|
---|
6985 | )
|
---|
6986 | oxt "8000,7000,9000,7000"
|
---|
6987 | )
|
---|
6988 | *218 (CommentGraphic
|
---|
6989 | uid 19425,0
|
---|
6990 | shape (PolyLine2D
|
---|
6991 | pts [
|
---|
6992 | "82976,84730"
|
---|
6993 | "83976,84730"
|
---|
6994 | ]
|
---|
6995 | uid 19426,0
|
---|
6996 | layer 8
|
---|
6997 | sl 0
|
---|
6998 | va (VaSet
|
---|
6999 | vasetType 1
|
---|
7000 | transparent 1
|
---|
7001 | fg "49152,49152,49152"
|
---|
7002 | lineColor "26368,26368,26368"
|
---|
7003 | lineWidth 2
|
---|
7004 | )
|
---|
7005 | xt "82976,84730,83976,84730"
|
---|
7006 | )
|
---|
7007 | oxt "6976,6730,7976,6730"
|
---|
7008 | )
|
---|
7009 | ]
|
---|
7010 | shape (Rectangle
|
---|
7011 | uid 19428,0
|
---|
7012 | va (VaSet
|
---|
7013 | vasetType 1
|
---|
7014 | transparent 1
|
---|
7015 | fg "0,65535,0"
|
---|
7016 | lineColor "65535,65535,65535"
|
---|
7017 | lineWidth -1
|
---|
7018 | )
|
---|
7019 | xt "82000,84000,85000,86000"
|
---|
7020 | fos 1
|
---|
7021 | )
|
---|
7022 | showPorts 0
|
---|
7023 | oxt "6000,6000,9000,8000"
|
---|
7024 | ttg (MlTextGroup
|
---|
7025 | uid 19429,0
|
---|
7026 | ps "CenterOffsetStrategy"
|
---|
7027 | stg "VerticalLayoutStrategy"
|
---|
7028 | textVec [
|
---|
7029 | *219 (Text
|
---|
7030 | uid 19430,0
|
---|
7031 | va (VaSet
|
---|
7032 | isHidden 1
|
---|
7033 | font "arial,8,0"
|
---|
7034 | )
|
---|
7035 | xt "82350,85100,87150,86100"
|
---|
7036 | st "moduleware"
|
---|
7037 | blo "82350,85900"
|
---|
7038 | )
|
---|
7039 | *220 (Text
|
---|
7040 | uid 19431,0
|
---|
7041 | va (VaSet
|
---|
7042 | font "arial,8,0"
|
---|
7043 | )
|
---|
7044 | xt "82350,86100,87050,87100"
|
---|
7045 | st "assignment"
|
---|
7046 | blo "82350,86900"
|
---|
7047 | )
|
---|
7048 | *221 (Text
|
---|
7049 | uid 19432,0
|
---|
7050 | va (VaSet
|
---|
7051 | font "arial,8,0"
|
---|
7052 | )
|
---|
7053 | xt "82350,87100,83350,88100"
|
---|
7054 | st "I0"
|
---|
7055 | blo "82350,87900"
|
---|
7056 | tm "InstanceNameMgr"
|
---|
7057 | )
|
---|
7058 | ]
|
---|
7059 | )
|
---|
7060 | ga (GenericAssociation
|
---|
7061 | uid 19433,0
|
---|
7062 | ps "EdgeToEdgeStrategy"
|
---|
7063 | matrix (Matrix
|
---|
7064 | uid 19434,0
|
---|
7065 | text (MLText
|
---|
7066 | uid 19435,0
|
---|
7067 | va (VaSet
|
---|
7068 | font "arial,8,0"
|
---|
7069 | )
|
---|
7070 | xt "77000,64400,77000,64400"
|
---|
7071 | )
|
---|
7072 | header ""
|
---|
7073 | )
|
---|
7074 | elements [
|
---|
7075 | ]
|
---|
7076 | )
|
---|
7077 | sed 1
|
---|
7078 | awe 1
|
---|
7079 | portVis (PortSigDisplay
|
---|
7080 | disp 1
|
---|
7081 | sN 0
|
---|
7082 | sTC 0
|
---|
7083 | selT 0
|
---|
7084 | )
|
---|
7085 | prms (Property
|
---|
7086 | pclass "params"
|
---|
7087 | pname "params"
|
---|
7088 | ptn "String"
|
---|
7089 | )
|
---|
7090 | visOptions (mwParamsVisibilityOptions
|
---|
7091 | )
|
---|
7092 | )
|
---|
7093 | *222 (MWC
|
---|
7094 | uid 19438,0
|
---|
7095 | optionalChildren [
|
---|
7096 | *223 (CptPort
|
---|
7097 | uid 19447,0
|
---|
7098 | optionalChildren [
|
---|
7099 | *224 (Line
|
---|
7100 | uid 19452,0
|
---|
7101 | layer 5
|
---|
7102 | sl 0
|
---|
7103 | va (VaSet
|
---|
7104 | vasetType 3
|
---|
7105 | )
|
---|
7106 | xt "82000,90000,82000,90000"
|
---|
7107 | pts [
|
---|
7108 | "82000,90000"
|
---|
7109 | "82000,90000"
|
---|
7110 | ]
|
---|
7111 | )
|
---|
7112 | ]
|
---|
7113 | ps "OnEdgeStrategy"
|
---|
7114 | shape (Triangle
|
---|
7115 | uid 19448,0
|
---|
7116 | ro 90
|
---|
7117 | va (VaSet
|
---|
7118 | vasetType 1
|
---|
7119 | isHidden 1
|
---|
7120 | fg "0,65535,65535"
|
---|
7121 | )
|
---|
7122 | xt "81250,89625,82000,90375"
|
---|
7123 | )
|
---|
7124 | tg (CPTG
|
---|
7125 | uid 19449,0
|
---|
7126 | ps "CptPortTextPlaceStrategy"
|
---|
7127 | stg "VerticalLayoutStrategy"
|
---|
7128 | f (Text
|
---|
7129 | uid 19450,0
|
---|
7130 | sl 0
|
---|
7131 | va (VaSet
|
---|
7132 | isHidden 1
|
---|
7133 | font "arial,8,0"
|
---|
7134 | )
|
---|
7135 | xt "475200,51500,476000,52500"
|
---|
7136 | st "s"
|
---|
7137 | blo "475200,52300"
|
---|
7138 | )
|
---|
7139 | s (Text
|
---|
7140 | uid 19451,0
|
---|
7141 | sl 0
|
---|
7142 | va (VaSet
|
---|
7143 | font "arial,8,0"
|
---|
7144 | )
|
---|
7145 | xt "475200,52500,475200,52500"
|
---|
7146 | blo "475200,52500"
|
---|
7147 | )
|
---|
7148 | )
|
---|
7149 | thePort (LogicalPort
|
---|
7150 | decl (Decl
|
---|
7151 | n "s"
|
---|
7152 | t "std_logic_vector"
|
---|
7153 | b "(3 DOWNTO 0)"
|
---|
7154 | o 70
|
---|
7155 | )
|
---|
7156 | )
|
---|
7157 | )
|
---|
7158 | *225 (CptPort
|
---|
7159 | uid 19453,0
|
---|
7160 | optionalChildren [
|
---|
7161 | *226 (Line
|
---|
7162 | uid 19458,0
|
---|
7163 | layer 5
|
---|
7164 | sl 0
|
---|
7165 | va (VaSet
|
---|
7166 | vasetType 3
|
---|
7167 | lineWidth 2
|
---|
7168 | )
|
---|
7169 | xt "85000,90000,85000,90000"
|
---|
7170 | pts [
|
---|
7171 | "85000,90000"
|
---|
7172 | "85000,90000"
|
---|
7173 | ]
|
---|
7174 | )
|
---|
7175 | ]
|
---|
7176 | ps "OnEdgeStrategy"
|
---|
7177 | shape (Triangle
|
---|
7178 | uid 19454,0
|
---|
7179 | ro 90
|
---|
7180 | va (VaSet
|
---|
7181 | vasetType 1
|
---|
7182 | isHidden 1
|
---|
7183 | fg "0,65535,65535"
|
---|
7184 | )
|
---|
7185 | xt "85000,89625,85750,90375"
|
---|
7186 | )
|
---|
7187 | tg (CPTG
|
---|
7188 | uid 19455,0
|
---|
7189 | ps "CptPortTextPlaceStrategy"
|
---|
7190 | stg "RightVerticalLayoutStrategy"
|
---|
7191 | f (Text
|
---|
7192 | uid 19456,0
|
---|
7193 | sl 0
|
---|
7194 | va (VaSet
|
---|
7195 | isHidden 1
|
---|
7196 | font "arial,8,0"
|
---|
7197 | )
|
---|
7198 | xt "473000,49500,473600,50500"
|
---|
7199 | st "t"
|
---|
7200 | ju 2
|
---|
7201 | blo "473600,50300"
|
---|
7202 | )
|
---|
7203 | s (Text
|
---|
7204 | uid 19457,0
|
---|
7205 | sl 0
|
---|
7206 | va (VaSet
|
---|
7207 | font "arial,8,0"
|
---|
7208 | )
|
---|
7209 | xt "473600,50500,473600,50500"
|
---|
7210 | ju 2
|
---|
7211 | blo "473600,50500"
|
---|
7212 | )
|
---|
7213 | )
|
---|
7214 | thePort (LogicalPort
|
---|
7215 | m 1
|
---|
7216 | decl (Decl
|
---|
7217 | n "t"
|
---|
7218 | t "std_logic_vector"
|
---|
7219 | b "(3 DOWNTO 0)"
|
---|
7220 | o 43
|
---|
7221 | )
|
---|
7222 | )
|
---|
7223 | )
|
---|
7224 | *227 (CommentGraphic
|
---|
7225 | uid 19459,0
|
---|
7226 | shape (PolyLine2D
|
---|
7227 | pts [
|
---|
7228 | "82000,90000"
|
---|
7229 | "83000,89000"
|
---|
7230 | ]
|
---|
7231 | uid 19460,0
|
---|
7232 | layer 8
|
---|
7233 | sl 0
|
---|
7234 | va (VaSet
|
---|
7235 | vasetType 1
|
---|
7236 | transparent 1
|
---|
7237 | fg "49152,49152,49152"
|
---|
7238 | lineColor "26368,26368,26368"
|
---|
7239 | lineWidth 2
|
---|
7240 | )
|
---|
7241 | xt "82000,89000,83000,90000"
|
---|
7242 | )
|
---|
7243 | oxt "6000,6000,7000,7000"
|
---|
7244 | )
|
---|
7245 | *228 (CommentGraphic
|
---|
7246 | uid 19461,0
|
---|
7247 | shape (PolyLine2D
|
---|
7248 | pts [
|
---|
7249 | "82000,90000"
|
---|
7250 | "83000,91000"
|
---|
7251 | ]
|
---|
7252 | uid 19462,0
|
---|
7253 | layer 8
|
---|
7254 | sl 0
|
---|
7255 | va (VaSet
|
---|
7256 | vasetType 1
|
---|
7257 | transparent 1
|
---|
7258 | fg "49152,49152,49152"
|
---|
7259 | lineColor "26368,26368,26368"
|
---|
7260 | lineWidth 2
|
---|
7261 | )
|
---|
7262 | xt "82000,90000,83000,91000"
|
---|
7263 | )
|
---|
7264 | oxt "6000,7000,7000,8000"
|
---|
7265 | )
|
---|
7266 | *229 (CommentGraphic
|
---|
7267 | uid 19463,0
|
---|
7268 | shape (PolyLine2D
|
---|
7269 | pts [
|
---|
7270 | "82988,90329"
|
---|
7271 | "83988,90329"
|
---|
7272 | ]
|
---|
7273 | uid 19464,0
|
---|
7274 | layer 8
|
---|
7275 | sl 0
|
---|
7276 | va (VaSet
|
---|
7277 | vasetType 1
|
---|
7278 | transparent 1
|
---|
7279 | fg "49152,49152,49152"
|
---|
7280 | lineColor "26368,26368,26368"
|
---|
7281 | lineWidth 2
|
---|
7282 | )
|
---|
7283 | xt "82988,90329,83988,90329"
|
---|
7284 | )
|
---|
7285 | oxt "6988,7329,7988,7329"
|
---|
7286 | )
|
---|
7287 | *230 (CommentGraphic
|
---|
7288 | uid 19465,0
|
---|
7289 | shape (PolyLine2D
|
---|
7290 | pts [
|
---|
7291 | "84000,90000"
|
---|
7292 | "85000,90000"
|
---|
7293 | ]
|
---|
7294 | uid 19466,0
|
---|
7295 | layer 0
|
---|
7296 | sl 0
|
---|
7297 | va (VaSet
|
---|
7298 | vasetType 1
|
---|
7299 | transparent 1
|
---|
7300 | fg "49152,49152,49152"
|
---|
7301 | )
|
---|
7302 | xt "84000,90000,85000,90000"
|
---|
7303 | )
|
---|
7304 | oxt "8000,7000,9000,7000"
|
---|
7305 | )
|
---|
7306 | *231 (CommentGraphic
|
---|
7307 | uid 19467,0
|
---|
7308 | shape (PolyLine2D
|
---|
7309 | pts [
|
---|
7310 | "82976,89730"
|
---|
7311 | "83976,89730"
|
---|
7312 | ]
|
---|
7313 | uid 19468,0
|
---|
7314 | layer 8
|
---|
7315 | sl 0
|
---|
7316 | va (VaSet
|
---|
7317 | vasetType 1
|
---|
7318 | transparent 1
|
---|
7319 | fg "49152,49152,49152"
|
---|
7320 | lineColor "26368,26368,26368"
|
---|
7321 | lineWidth 2
|
---|
7322 | )
|
---|
7323 | xt "82976,89730,83976,89730"
|
---|
7324 | )
|
---|
7325 | oxt "6976,6730,7976,6730"
|
---|
7326 | )
|
---|
7327 | ]
|
---|
7328 | shape (Rectangle
|
---|
7329 | uid 19439,0
|
---|
7330 | va (VaSet
|
---|
7331 | vasetType 1
|
---|
7332 | transparent 1
|
---|
7333 | fg "0,65535,0"
|
---|
7334 | lineColor "65535,65535,65535"
|
---|
7335 | lineWidth -1
|
---|
7336 | )
|
---|
7337 | xt "82000,89000,85000,91000"
|
---|
7338 | fos 1
|
---|
7339 | )
|
---|
7340 | showPorts 0
|
---|
7341 | oxt "6000,6000,9000,8000"
|
---|
7342 | ttg (MlTextGroup
|
---|
7343 | uid 19440,0
|
---|
7344 | ps "CenterOffsetStrategy"
|
---|
7345 | stg "VerticalLayoutStrategy"
|
---|
7346 | textVec [
|
---|
7347 | *232 (Text
|
---|
7348 | uid 19441,0
|
---|
7349 | va (VaSet
|
---|
7350 | isHidden 1
|
---|
7351 | font "arial,8,0"
|
---|
7352 | )
|
---|
7353 | xt "82350,90100,87150,91100"
|
---|
7354 | st "moduleware"
|
---|
7355 | blo "82350,90900"
|
---|
7356 | )
|
---|
7357 | *233 (Text
|
---|
7358 | uid 19442,0
|
---|
7359 | va (VaSet
|
---|
7360 | font "arial,8,0"
|
---|
7361 | )
|
---|
7362 | xt "82350,91100,87050,92100"
|
---|
7363 | st "assignment"
|
---|
7364 | blo "82350,91900"
|
---|
7365 | )
|
---|
7366 | *234 (Text
|
---|
7367 | uid 19443,0
|
---|
7368 | va (VaSet
|
---|
7369 | font "arial,8,0"
|
---|
7370 | )
|
---|
7371 | xt "82350,92100,83350,93100"
|
---|
7372 | st "I1"
|
---|
7373 | blo "82350,92900"
|
---|
7374 | tm "InstanceNameMgr"
|
---|
7375 | )
|
---|
7376 | ]
|
---|
7377 | )
|
---|
7378 | ga (GenericAssociation
|
---|
7379 | uid 19444,0
|
---|
7380 | ps "EdgeToEdgeStrategy"
|
---|
7381 | matrix (Matrix
|
---|
7382 | uid 19445,0
|
---|
7383 | text (MLText
|
---|
7384 | uid 19446,0
|
---|
7385 | va (VaSet
|
---|
7386 | font "arial,8,0"
|
---|
7387 | )
|
---|
7388 | xt "77000,69400,77000,69400"
|
---|
7389 | )
|
---|
7390 | header ""
|
---|
7391 | )
|
---|
7392 | elements [
|
---|
7393 | ]
|
---|
7394 | )
|
---|
7395 | sed 1
|
---|
7396 | awe 1
|
---|
7397 | portVis (PortSigDisplay
|
---|
7398 | disp 1
|
---|
7399 | sN 0
|
---|
7400 | sTC 0
|
---|
7401 | selT 0
|
---|
7402 | )
|
---|
7403 | prms (Property
|
---|
7404 | pclass "params"
|
---|
7405 | pname "params"
|
---|
7406 | ptn "String"
|
---|
7407 | )
|
---|
7408 | visOptions (mwParamsVisibilityOptions
|
---|
7409 | )
|
---|
7410 | )
|
---|
7411 | *235 (MWC
|
---|
7412 | uid 19469,0
|
---|
7413 | optionalChildren [
|
---|
7414 | *236 (CptPort
|
---|
7415 | uid 19478,0
|
---|
7416 | optionalChildren [
|
---|
7417 | *237 (Line
|
---|
7418 | uid 19483,0
|
---|
7419 | layer 5
|
---|
7420 | sl 0
|
---|
7421 | va (VaSet
|
---|
7422 | vasetType 3
|
---|
7423 | )
|
---|
7424 | xt "83000,95000,83000,95000"
|
---|
7425 | pts [
|
---|
7426 | "83000,95000"
|
---|
7427 | "83000,95000"
|
---|
7428 | ]
|
---|
7429 | )
|
---|
7430 | ]
|
---|
7431 | ps "OnEdgeStrategy"
|
---|
7432 | shape (Triangle
|
---|
7433 | uid 19479,0
|
---|
7434 | ro 90
|
---|
7435 | va (VaSet
|
---|
7436 | vasetType 1
|
---|
7437 | isHidden 1
|
---|
7438 | fg "0,65535,65535"
|
---|
7439 | )
|
---|
7440 | xt "82250,94625,83000,95375"
|
---|
7441 | )
|
---|
7442 | tg (CPTG
|
---|
7443 | uid 19480,0
|
---|
7444 | ps "CptPortTextPlaceStrategy"
|
---|
7445 | stg "VerticalLayoutStrategy"
|
---|
7446 | f (Text
|
---|
7447 | uid 19481,0
|
---|
7448 | sl 0
|
---|
7449 | va (VaSet
|
---|
7450 | isHidden 1
|
---|
7451 | font "arial,8,0"
|
---|
7452 | )
|
---|
7453 | xt "476200,56500,477000,57500"
|
---|
7454 | st "s"
|
---|
7455 | blo "476200,57300"
|
---|
7456 | )
|
---|
7457 | s (Text
|
---|
7458 | uid 19482,0
|
---|
7459 | sl 0
|
---|
7460 | va (VaSet
|
---|
7461 | font "arial,8,0"
|
---|
7462 | )
|
---|
7463 | xt "476200,57500,476200,57500"
|
---|
7464 | blo "476200,57500"
|
---|
7465 | )
|
---|
7466 | )
|
---|
7467 | thePort (LogicalPort
|
---|
7468 | decl (Decl
|
---|
7469 | n "s"
|
---|
7470 | t "std_logic"
|
---|
7471 | o 71
|
---|
7472 | )
|
---|
7473 | )
|
---|
7474 | )
|
---|
7475 | *238 (CptPort
|
---|
7476 | uid 19484,0
|
---|
7477 | optionalChildren [
|
---|
7478 | *239 (Line
|
---|
7479 | uid 19489,0
|
---|
7480 | layer 5
|
---|
7481 | sl 0
|
---|
7482 | va (VaSet
|
---|
7483 | vasetType 3
|
---|
7484 | )
|
---|
7485 | xt "86000,95000,86000,95000"
|
---|
7486 | pts [
|
---|
7487 | "86000,95000"
|
---|
7488 | "86000,95000"
|
---|
7489 | ]
|
---|
7490 | )
|
---|
7491 | ]
|
---|
7492 | ps "OnEdgeStrategy"
|
---|
7493 | shape (Triangle
|
---|
7494 | uid 19485,0
|
---|
7495 | ro 90
|
---|
7496 | va (VaSet
|
---|
7497 | vasetType 1
|
---|
7498 | isHidden 1
|
---|
7499 | fg "0,65535,65535"
|
---|
7500 | )
|
---|
7501 | xt "86000,94625,86750,95375"
|
---|
7502 | )
|
---|
7503 | tg (CPTG
|
---|
7504 | uid 19486,0
|
---|
7505 | ps "CptPortTextPlaceStrategy"
|
---|
7506 | stg "RightVerticalLayoutStrategy"
|
---|
7507 | f (Text
|
---|
7508 | uid 19487,0
|
---|
7509 | sl 0
|
---|
7510 | va (VaSet
|
---|
7511 | isHidden 1
|
---|
7512 | font "arial,8,0"
|
---|
7513 | )
|
---|
7514 | xt "474000,54500,474600,55500"
|
---|
7515 | st "t"
|
---|
7516 | ju 2
|
---|
7517 | blo "474600,55300"
|
---|
7518 | )
|
---|
7519 | s (Text
|
---|
7520 | uid 19488,0
|
---|
7521 | sl 0
|
---|
7522 | va (VaSet
|
---|
7523 | font "arial,8,0"
|
---|
7524 | )
|
---|
7525 | xt "474600,55500,474600,55500"
|
---|
7526 | ju 2
|
---|
7527 | blo "474600,55500"
|
---|
7528 | )
|
---|
7529 | )
|
---|
7530 | thePort (LogicalPort
|
---|
7531 | m 1
|
---|
7532 | decl (Decl
|
---|
7533 | n "t"
|
---|
7534 | t "std_logic"
|
---|
7535 | o 42
|
---|
7536 | )
|
---|
7537 | )
|
---|
7538 | )
|
---|
7539 | *240 (CommentGraphic
|
---|
7540 | uid 19490,0
|
---|
7541 | shape (PolyLine2D
|
---|
7542 | pts [
|
---|
7543 | "83000,95000"
|
---|
7544 | "84000,94000"
|
---|
7545 | ]
|
---|
7546 | uid 19491,0
|
---|
7547 | layer 8
|
---|
7548 | sl 0
|
---|
7549 | va (VaSet
|
---|
7550 | vasetType 1
|
---|
7551 | transparent 1
|
---|
7552 | fg "49152,49152,49152"
|
---|
7553 | lineColor "26368,26368,26368"
|
---|
7554 | lineWidth 2
|
---|
7555 | )
|
---|
7556 | xt "83000,94000,84000,95000"
|
---|
7557 | )
|
---|
7558 | oxt "6000,6000,7000,7000"
|
---|
7559 | )
|
---|
7560 | *241 (CommentGraphic
|
---|
7561 | uid 19492,0
|
---|
7562 | shape (PolyLine2D
|
---|
7563 | pts [
|
---|
7564 | "83000,95000"
|
---|
7565 | "84000,96000"
|
---|
7566 | ]
|
---|
7567 | uid 19493,0
|
---|
7568 | layer 8
|
---|
7569 | sl 0
|
---|
7570 | va (VaSet
|
---|
7571 | vasetType 1
|
---|
7572 | transparent 1
|
---|
7573 | fg "49152,49152,49152"
|
---|
7574 | lineColor "26368,26368,26368"
|
---|
7575 | lineWidth 2
|
---|
7576 | )
|
---|
7577 | xt "83000,95000,84000,96000"
|
---|
7578 | )
|
---|
7579 | oxt "6000,7000,7000,8000"
|
---|
7580 | )
|
---|
7581 | *242 (CommentGraphic
|
---|
7582 | uid 19494,0
|
---|
7583 | shape (PolyLine2D
|
---|
7584 | pts [
|
---|
7585 | "83988,95329"
|
---|
7586 | "84988,95329"
|
---|
7587 | ]
|
---|
7588 | uid 19495,0
|
---|
7589 | layer 8
|
---|
7590 | sl 0
|
---|
7591 | va (VaSet
|
---|
7592 | vasetType 1
|
---|
7593 | transparent 1
|
---|
7594 | fg "49152,49152,49152"
|
---|
7595 | lineColor "26368,26368,26368"
|
---|
7596 | lineWidth 2
|
---|
7597 | )
|
---|
7598 | xt "83988,95329,84988,95329"
|
---|
7599 | )
|
---|
7600 | oxt "6988,7329,7988,7329"
|
---|
7601 | )
|
---|
7602 | *243 (CommentGraphic
|
---|
7603 | uid 19496,0
|
---|
7604 | shape (PolyLine2D
|
---|
7605 | pts [
|
---|
7606 | "85000,95000"
|
---|
7607 | "86000,95000"
|
---|
7608 | ]
|
---|
7609 | uid 19497,0
|
---|
7610 | layer 0
|
---|
7611 | sl 0
|
---|
7612 | va (VaSet
|
---|
7613 | vasetType 1
|
---|
7614 | transparent 1
|
---|
7615 | fg "49152,49152,49152"
|
---|
7616 | )
|
---|
7617 | xt "85000,95000,86000,95000"
|
---|
7618 | )
|
---|
7619 | oxt "8000,7000,9000,7000"
|
---|
7620 | )
|
---|
7621 | *244 (CommentGraphic
|
---|
7622 | uid 19498,0
|
---|
7623 | shape (PolyLine2D
|
---|
7624 | pts [
|
---|
7625 | "83976,94730"
|
---|
7626 | "84976,94730"
|
---|
7627 | ]
|
---|
7628 | uid 19499,0
|
---|
7629 | layer 8
|
---|
7630 | sl 0
|
---|
7631 | va (VaSet
|
---|
7632 | vasetType 1
|
---|
7633 | transparent 1
|
---|
7634 | fg "49152,49152,49152"
|
---|
7635 | lineColor "26368,26368,26368"
|
---|
7636 | lineWidth 2
|
---|
7637 | )
|
---|
7638 | xt "83976,94730,84976,94730"
|
---|
7639 | )
|
---|
7640 | oxt "6976,6730,7976,6730"
|
---|
7641 | )
|
---|
7642 | ]
|
---|
7643 | shape (Rectangle
|
---|
7644 | uid 19470,0
|
---|
7645 | va (VaSet
|
---|
7646 | vasetType 1
|
---|
7647 | transparent 1
|
---|
7648 | fg "0,65535,0"
|
---|
7649 | lineColor "65535,65535,65535"
|
---|
7650 | lineWidth -1
|
---|
7651 | )
|
---|
7652 | xt "83000,94000,86000,96000"
|
---|
7653 | fos 1
|
---|
7654 | )
|
---|
7655 | showPorts 0
|
---|
7656 | oxt "6000,6000,9000,8000"
|
---|
7657 | ttg (MlTextGroup
|
---|
7658 | uid 19471,0
|
---|
7659 | ps "CenterOffsetStrategy"
|
---|
7660 | stg "VerticalLayoutStrategy"
|
---|
7661 | textVec [
|
---|
7662 | *245 (Text
|
---|
7663 | uid 19472,0
|
---|
7664 | va (VaSet
|
---|
7665 | isHidden 1
|
---|
7666 | font "arial,8,0"
|
---|
7667 | )
|
---|
7668 | xt "83350,95100,88150,96100"
|
---|
7669 | st "moduleware"
|
---|
7670 | blo "83350,95900"
|
---|
7671 | )
|
---|
7672 | *246 (Text
|
---|
7673 | uid 19473,0
|
---|
7674 | va (VaSet
|
---|
7675 | font "arial,8,0"
|
---|
7676 | )
|
---|
7677 | xt "83350,96100,88050,97100"
|
---|
7678 | st "assignment"
|
---|
7679 | blo "83350,96900"
|
---|
7680 | )
|
---|
7681 | *247 (Text
|
---|
7682 | uid 19474,0
|
---|
7683 | va (VaSet
|
---|
7684 | font "arial,8,0"
|
---|
7685 | )
|
---|
7686 | xt "83350,97100,84350,98100"
|
---|
7687 | st "I2"
|
---|
7688 | blo "83350,97900"
|
---|
7689 | tm "InstanceNameMgr"
|
---|
7690 | )
|
---|
7691 | ]
|
---|
7692 | )
|
---|
7693 | ga (GenericAssociation
|
---|
7694 | uid 19475,0
|
---|
7695 | ps "EdgeToEdgeStrategy"
|
---|
7696 | matrix (Matrix
|
---|
7697 | uid 19476,0
|
---|
7698 | text (MLText
|
---|
7699 | uid 19477,0
|
---|
7700 | va (VaSet
|
---|
7701 | font "arial,8,0"
|
---|
7702 | )
|
---|
7703 | xt "78000,74400,78000,74400"
|
---|
7704 | )
|
---|
7705 | header ""
|
---|
7706 | )
|
---|
7707 | elements [
|
---|
7708 | ]
|
---|
7709 | )
|
---|
7710 | sed 1
|
---|
7711 | awe 1
|
---|
7712 | portVis (PortSigDisplay
|
---|
7713 | disp 1
|
---|
7714 | sN 0
|
---|
7715 | sTC 0
|
---|
7716 | selT 0
|
---|
7717 | )
|
---|
7718 | prms (Property
|
---|
7719 | pclass "params"
|
---|
7720 | pname "params"
|
---|
7721 | ptn "String"
|
---|
7722 | )
|
---|
7723 | visOptions (mwParamsVisibilityOptions
|
---|
7724 | )
|
---|
7725 | )
|
---|
7726 | *248 (MWC
|
---|
7727 | uid 19500,0
|
---|
7728 | optionalChildren [
|
---|
7729 | *249 (CptPort
|
---|
7730 | uid 19509,0
|
---|
7731 | optionalChildren [
|
---|
7732 | *250 (Line
|
---|
7733 | uid 19514,0
|
---|
7734 | layer 5
|
---|
7735 | sl 0
|
---|
7736 | va (VaSet
|
---|
7737 | vasetType 3
|
---|
7738 | )
|
---|
7739 | xt "83000,102000,83000,102000"
|
---|
7740 | pts [
|
---|
7741 | "83000,102000"
|
---|
7742 | "83000,102000"
|
---|
7743 | ]
|
---|
7744 | )
|
---|
7745 | ]
|
---|
7746 | ps "OnEdgeStrategy"
|
---|
7747 | shape (Triangle
|
---|
7748 | uid 19510,0
|
---|
7749 | ro 90
|
---|
7750 | va (VaSet
|
---|
7751 | vasetType 1
|
---|
7752 | isHidden 1
|
---|
7753 | fg "0,65535,65535"
|
---|
7754 | )
|
---|
7755 | xt "82250,101625,83000,102375"
|
---|
7756 | )
|
---|
7757 | tg (CPTG
|
---|
7758 | uid 19511,0
|
---|
7759 | ps "CptPortTextPlaceStrategy"
|
---|
7760 | stg "VerticalLayoutStrategy"
|
---|
7761 | f (Text
|
---|
7762 | uid 19512,0
|
---|
7763 | sl 0
|
---|
7764 | va (VaSet
|
---|
7765 | isHidden 1
|
---|
7766 | font "arial,8,0"
|
---|
7767 | )
|
---|
7768 | xt "476200,63500,477000,64500"
|
---|
7769 | st "s"
|
---|
7770 | blo "476200,64300"
|
---|
7771 | )
|
---|
7772 | s (Text
|
---|
7773 | uid 19513,0
|
---|
7774 | sl 0
|
---|
7775 | va (VaSet
|
---|
7776 | font "arial,8,0"
|
---|
7777 | )
|
---|
7778 | xt "476200,64500,476200,64500"
|
---|
7779 | blo "476200,64500"
|
---|
7780 | )
|
---|
7781 | )
|
---|
7782 | thePort (LogicalPort
|
---|
7783 | decl (Decl
|
---|
7784 | n "s"
|
---|
7785 | t "std_logic"
|
---|
7786 | o 72
|
---|
7787 | )
|
---|
7788 | )
|
---|
7789 | )
|
---|
7790 | *251 (CptPort
|
---|
7791 | uid 19515,0
|
---|
7792 | optionalChildren [
|
---|
7793 | *252 (Line
|
---|
7794 | uid 19520,0
|
---|
7795 | layer 5
|
---|
7796 | sl 0
|
---|
7797 | va (VaSet
|
---|
7798 | vasetType 3
|
---|
7799 | )
|
---|
7800 | xt "86000,102000,86000,102000"
|
---|
7801 | pts [
|
---|
7802 | "86000,102000"
|
---|
7803 | "86000,102000"
|
---|
7804 | ]
|
---|
7805 | )
|
---|
7806 | ]
|
---|
7807 | ps "OnEdgeStrategy"
|
---|
7808 | shape (Triangle
|
---|
7809 | uid 19516,0
|
---|
7810 | ro 90
|
---|
7811 | va (VaSet
|
---|
7812 | vasetType 1
|
---|
7813 | isHidden 1
|
---|
7814 | fg "0,65535,65535"
|
---|
7815 | )
|
---|
7816 | xt "86000,101625,86750,102375"
|
---|
7817 | )
|
---|
7818 | tg (CPTG
|
---|
7819 | uid 19517,0
|
---|
7820 | ps "CptPortTextPlaceStrategy"
|
---|
7821 | stg "RightVerticalLayoutStrategy"
|
---|
7822 | f (Text
|
---|
7823 | uid 19518,0
|
---|
7824 | sl 0
|
---|
7825 | va (VaSet
|
---|
7826 | isHidden 1
|
---|
7827 | font "arial,8,0"
|
---|
7828 | )
|
---|
7829 | xt "474000,61500,474600,62500"
|
---|
7830 | st "t"
|
---|
7831 | ju 2
|
---|
7832 | blo "474600,62300"
|
---|
7833 | )
|
---|
7834 | s (Text
|
---|
7835 | uid 19519,0
|
---|
7836 | sl 0
|
---|
7837 | va (VaSet
|
---|
7838 | font "arial,8,0"
|
---|
7839 | )
|
---|
7840 | xt "474600,62500,474600,62500"
|
---|
7841 | ju 2
|
---|
7842 | blo "474600,62500"
|
---|
7843 | )
|
---|
7844 | )
|
---|
7845 | thePort (LogicalPort
|
---|
7846 | m 1
|
---|
7847 | decl (Decl
|
---|
7848 | n "t"
|
---|
7849 | t "std_logic"
|
---|
7850 | o 31
|
---|
7851 | i "'0'"
|
---|
7852 | )
|
---|
7853 | )
|
---|
7854 | )
|
---|
7855 | *253 (CommentGraphic
|
---|
7856 | uid 19521,0
|
---|
7857 | shape (PolyLine2D
|
---|
7858 | pts [
|
---|
7859 | "83000,102000"
|
---|
7860 | "84000,101000"
|
---|
7861 | ]
|
---|
7862 | uid 19522,0
|
---|
7863 | layer 8
|
---|
7864 | sl 0
|
---|
7865 | va (VaSet
|
---|
7866 | vasetType 1
|
---|
7867 | transparent 1
|
---|
7868 | fg "49152,49152,49152"
|
---|
7869 | lineColor "26368,26368,26368"
|
---|
7870 | lineWidth 2
|
---|
7871 | )
|
---|
7872 | xt "83000,101000,84000,102000"
|
---|
7873 | )
|
---|
7874 | oxt "6000,6000,7000,7000"
|
---|
7875 | )
|
---|
7876 | *254 (CommentGraphic
|
---|
7877 | uid 19523,0
|
---|
7878 | shape (PolyLine2D
|
---|
7879 | pts [
|
---|
7880 | "83000,102000"
|
---|
7881 | "84000,103000"
|
---|
7882 | ]
|
---|
7883 | uid 19524,0
|
---|
7884 | layer 8
|
---|
7885 | sl 0
|
---|
7886 | va (VaSet
|
---|
7887 | vasetType 1
|
---|
7888 | transparent 1
|
---|
7889 | fg "49152,49152,49152"
|
---|
7890 | lineColor "26368,26368,26368"
|
---|
7891 | lineWidth 2
|
---|
7892 | )
|
---|
7893 | xt "83000,102000,84000,103000"
|
---|
7894 | )
|
---|
7895 | oxt "6000,7000,7000,8000"
|
---|
7896 | )
|
---|
7897 | *255 (CommentGraphic
|
---|
7898 | uid 19525,0
|
---|
7899 | shape (PolyLine2D
|
---|
7900 | pts [
|
---|
7901 | "83988,102329"
|
---|
7902 | "84988,102329"
|
---|
7903 | ]
|
---|
7904 | uid 19526,0
|
---|
7905 | layer 8
|
---|
7906 | sl 0
|
---|
7907 | va (VaSet
|
---|
7908 | vasetType 1
|
---|
7909 | transparent 1
|
---|
7910 | fg "49152,49152,49152"
|
---|
7911 | lineColor "26368,26368,26368"
|
---|
7912 | lineWidth 2
|
---|
7913 | )
|
---|
7914 | xt "83988,102329,84988,102329"
|
---|
7915 | )
|
---|
7916 | oxt "6988,7329,7988,7329"
|
---|
7917 | )
|
---|
7918 | *256 (CommentGraphic
|
---|
7919 | uid 19527,0
|
---|
7920 | shape (PolyLine2D
|
---|
7921 | pts [
|
---|
7922 | "85000,102000"
|
---|
7923 | "86000,102000"
|
---|
7924 | ]
|
---|
7925 | uid 19528,0
|
---|
7926 | layer 0
|
---|
7927 | sl 0
|
---|
7928 | va (VaSet
|
---|
7929 | vasetType 1
|
---|
7930 | transparent 1
|
---|
7931 | fg "49152,49152,49152"
|
---|
7932 | )
|
---|
7933 | xt "85000,102000,86000,102000"
|
---|
7934 | )
|
---|
7935 | oxt "8000,7000,9000,7000"
|
---|
7936 | )
|
---|
7937 | *257 (CommentGraphic
|
---|
7938 | uid 19529,0
|
---|
7939 | shape (PolyLine2D
|
---|
7940 | pts [
|
---|
7941 | "83976,101730"
|
---|
7942 | "84976,101730"
|
---|
7943 | ]
|
---|
7944 | uid 19530,0
|
---|
7945 | layer 8
|
---|
7946 | sl 0
|
---|
7947 | va (VaSet
|
---|
7948 | vasetType 1
|
---|
7949 | transparent 1
|
---|
7950 | fg "49152,49152,49152"
|
---|
7951 | lineColor "26368,26368,26368"
|
---|
7952 | lineWidth 2
|
---|
7953 | )
|
---|
7954 | xt "83976,101730,84976,101730"
|
---|
7955 | )
|
---|
7956 | oxt "6976,6730,7976,6730"
|
---|
7957 | )
|
---|
7958 | ]
|
---|
7959 | shape (Rectangle
|
---|
7960 | uid 19501,0
|
---|
7961 | va (VaSet
|
---|
7962 | vasetType 1
|
---|
7963 | transparent 1
|
---|
7964 | fg "0,65535,0"
|
---|
7965 | lineColor "65535,65535,65535"
|
---|
7966 | lineWidth -1
|
---|
7967 | )
|
---|
7968 | xt "83000,101000,86000,103000"
|
---|
7969 | fos 1
|
---|
7970 | )
|
---|
7971 | showPorts 0
|
---|
7972 | oxt "6000,6000,9000,8000"
|
---|
7973 | ttg (MlTextGroup
|
---|
7974 | uid 19502,0
|
---|
7975 | ps "CenterOffsetStrategy"
|
---|
7976 | stg "VerticalLayoutStrategy"
|
---|
7977 | textVec [
|
---|
7978 | *258 (Text
|
---|
7979 | uid 19503,0
|
---|
7980 | va (VaSet
|
---|
7981 | isHidden 1
|
---|
7982 | font "arial,8,0"
|
---|
7983 | )
|
---|
7984 | xt "83350,102100,88150,103100"
|
---|
7985 | st "moduleware"
|
---|
7986 | blo "83350,102900"
|
---|
7987 | )
|
---|
7988 | *259 (Text
|
---|
7989 | uid 19504,0
|
---|
7990 | va (VaSet
|
---|
7991 | font "arial,8,0"
|
---|
7992 | )
|
---|
7993 | xt "83350,103100,88050,104100"
|
---|
7994 | st "assignment"
|
---|
7995 | blo "83350,103900"
|
---|
7996 | )
|
---|
7997 | *260 (Text
|
---|
7998 | uid 19505,0
|
---|
7999 | va (VaSet
|
---|
8000 | font "arial,8,0"
|
---|
8001 | )
|
---|
8002 | xt "83350,104100,84350,105100"
|
---|
8003 | st "I3"
|
---|
8004 | blo "83350,104900"
|
---|
8005 | tm "InstanceNameMgr"
|
---|
8006 | )
|
---|
8007 | ]
|
---|
8008 | )
|
---|
8009 | ga (GenericAssociation
|
---|
8010 | uid 19506,0
|
---|
8011 | ps "EdgeToEdgeStrategy"
|
---|
8012 | matrix (Matrix
|
---|
8013 | uid 19507,0
|
---|
8014 | text (MLText
|
---|
8015 | uid 19508,0
|
---|
8016 | va (VaSet
|
---|
8017 | font "arial,8,0"
|
---|
8018 | )
|
---|
8019 | xt "78000,81400,78000,81400"
|
---|
8020 | )
|
---|
8021 | header ""
|
---|
8022 | )
|
---|
8023 | elements [
|
---|
8024 | ]
|
---|
8025 | )
|
---|
8026 | sed 1
|
---|
8027 | awe 1
|
---|
8028 | portVis (PortSigDisplay
|
---|
8029 | disp 1
|
---|
8030 | sN 0
|
---|
8031 | sTC 0
|
---|
8032 | selT 0
|
---|
8033 | )
|
---|
8034 | prms (Property
|
---|
8035 | pclass "params"
|
---|
8036 | pname "params"
|
---|
8037 | ptn "String"
|
---|
8038 | )
|
---|
8039 | visOptions (mwParamsVisibilityOptions
|
---|
8040 | )
|
---|
8041 | )
|
---|
8042 | *261 (Net
|
---|
8043 | uid 19531,0
|
---|
8044 | decl (Decl
|
---|
8045 | n "dac_cs1"
|
---|
8046 | t "std_logic"
|
---|
8047 | o 69
|
---|
8048 | suid 241,0
|
---|
8049 | )
|
---|
8050 | declText (MLText
|
---|
8051 | uid 19532,0
|
---|
8052 | va (VaSet
|
---|
8053 | font "Courier New,8,0"
|
---|
8054 | )
|
---|
8055 | xt "39000,55200,61000,56000"
|
---|
8056 | st "SIGNAL dac_cs1 : std_logic
|
---|
8057 | "
|
---|
8058 | )
|
---|
8059 | )
|
---|
8060 | *262 (Net
|
---|
8061 | uid 19537,0
|
---|
8062 | decl (Decl
|
---|
8063 | n "sensor_cs"
|
---|
8064 | t "std_logic_vector"
|
---|
8065 | b "(3 DOWNTO 0)"
|
---|
8066 | o 70
|
---|
8067 | suid 242,0
|
---|
8068 | )
|
---|
8069 | declText (MLText
|
---|
8070 | uid 19538,0
|
---|
8071 | va (VaSet
|
---|
8072 | font "Courier New,8,0"
|
---|
8073 | )
|
---|
8074 | xt "39000,60800,70500,61600"
|
---|
8075 | st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0)
|
---|
8076 | "
|
---|
8077 | )
|
---|
8078 | )
|
---|
8079 | *263 (Net
|
---|
8080 | uid 19543,0
|
---|
8081 | decl (Decl
|
---|
8082 | n "sclk"
|
---|
8083 | t "std_logic"
|
---|
8084 | o 71
|
---|
8085 | suid 243,0
|
---|
8086 | )
|
---|
8087 | declText (MLText
|
---|
8088 | uid 19544,0
|
---|
8089 | va (VaSet
|
---|
8090 | font "Courier New,8,0"
|
---|
8091 | )
|
---|
8092 | xt "39000,60000,61000,60800"
|
---|
8093 | st "SIGNAL sclk : std_logic
|
---|
8094 | "
|
---|
8095 | )
|
---|
8096 | )
|
---|
8097 | *264 (Net
|
---|
8098 | uid 19555,0
|
---|
8099 | decl (Decl
|
---|
8100 | n "mosi1"
|
---|
8101 | t "std_logic"
|
---|
8102 | o 72
|
---|
8103 | suid 245,0
|
---|
8104 | )
|
---|
8105 | declText (MLText
|
---|
8106 | uid 19556,0
|
---|
8107 | va (VaSet
|
---|
8108 | font "Courier New,8,0"
|
---|
8109 | )
|
---|
8110 | xt "39000,59200,61000,60000"
|
---|
8111 | st "SIGNAL mosi1 : std_logic
|
---|
8112 | "
|
---|
8113 | )
|
---|
8114 | )
|
---|
8115 | *265 (MWC
|
---|
8116 | uid 20188,0
|
---|
8117 | optionalChildren [
|
---|
8118 | *266 (CptPort
|
---|
8119 | uid 20197,0
|
---|
8120 | optionalChildren [
|
---|
8121 | *267 (Line
|
---|
8122 | uid 20202,0
|
---|
8123 | layer 5
|
---|
8124 | sl 0
|
---|
8125 | va (VaSet
|
---|
8126 | vasetType 3
|
---|
8127 | )
|
---|
8128 | xt "105000,137000,105000,137000"
|
---|
8129 | pts [
|
---|
8130 | "105000,137000"
|
---|
8131 | "105000,137000"
|
---|
8132 | ]
|
---|
8133 | )
|
---|
8134 | ]
|
---|
8135 | ps "OnEdgeStrategy"
|
---|
8136 | shape (Triangle
|
---|
8137 | uid 20198,0
|
---|
8138 | ro 90
|
---|
8139 | va (VaSet
|
---|
8140 | vasetType 1
|
---|
8141 | isHidden 1
|
---|
8142 | fg "0,65535,65535"
|
---|
8143 | )
|
---|
8144 | xt "104250,136625,105000,137375"
|
---|
8145 | )
|
---|
8146 | tg (CPTG
|
---|
8147 | uid 20199,0
|
---|
8148 | ps "CptPortTextPlaceStrategy"
|
---|
8149 | stg "VerticalLayoutStrategy"
|
---|
8150 | f (Text
|
---|
8151 | uid 20200,0
|
---|
8152 | sl 0
|
---|
8153 | va (VaSet
|
---|
8154 | isHidden 1
|
---|
8155 | font "arial,8,0"
|
---|
8156 | )
|
---|
8157 | xt "498200,98500,499000,99500"
|
---|
8158 | st "s"
|
---|
8159 | blo "498200,99300"
|
---|
8160 | )
|
---|
8161 | s (Text
|
---|
8162 | uid 20201,0
|
---|
8163 | sl 0
|
---|
8164 | va (VaSet
|
---|
8165 | font "arial,8,0"
|
---|
8166 | )
|
---|
8167 | xt "498200,99500,498200,99500"
|
---|
8168 | blo "498200,99500"
|
---|
8169 | )
|
---|
8170 | )
|
---|
8171 | thePort (LogicalPort
|
---|
8172 | decl (Decl
|
---|
8173 | n "s"
|
---|
8174 | t "std_logic"
|
---|
8175 | o 73
|
---|
8176 | i "'1'"
|
---|
8177 | )
|
---|
8178 | )
|
---|
8179 | )
|
---|
8180 | *268 (CptPort
|
---|
8181 | uid 20203,0
|
---|
8182 | optionalChildren [
|
---|
8183 | *269 (Line
|
---|
8184 | uid 20208,0
|
---|
8185 | layer 5
|
---|
8186 | sl 0
|
---|
8187 | va (VaSet
|
---|
8188 | vasetType 3
|
---|
8189 | )
|
---|
8190 | xt "108000,137000,108000,137000"
|
---|
8191 | pts [
|
---|
8192 | "108000,137000"
|
---|
8193 | "108000,137000"
|
---|
8194 | ]
|
---|
8195 | )
|
---|
8196 | ]
|
---|
8197 | ps "OnEdgeStrategy"
|
---|
8198 | shape (Triangle
|
---|
8199 | uid 20204,0
|
---|
8200 | ro 90
|
---|
8201 | va (VaSet
|
---|
8202 | vasetType 1
|
---|
8203 | isHidden 1
|
---|
8204 | fg "0,65535,65535"
|
---|
8205 | )
|
---|
8206 | xt "108000,136625,108750,137375"
|
---|
8207 | )
|
---|
8208 | tg (CPTG
|
---|
8209 | uid 20205,0
|
---|
8210 | ps "CptPortTextPlaceStrategy"
|
---|
8211 | stg "RightVerticalLayoutStrategy"
|
---|
8212 | f (Text
|
---|
8213 | uid 20206,0
|
---|
8214 | sl 0
|
---|
8215 | va (VaSet
|
---|
8216 | isHidden 1
|
---|
8217 | font "arial,8,0"
|
---|
8218 | )
|
---|
8219 | xt "496000,96500,496600,97500"
|
---|
8220 | st "t"
|
---|
8221 | ju 2
|
---|
8222 | blo "496600,97300"
|
---|
8223 | )
|
---|
8224 | s (Text
|
---|
8225 | uid 20207,0
|
---|
8226 | sl 0
|
---|
8227 | va (VaSet
|
---|
8228 | font "arial,8,0"
|
---|
8229 | )
|
---|
8230 | xt "496600,97500,496600,97500"
|
---|
8231 | ju 2
|
---|
8232 | blo "496600,97500"
|
---|
8233 | )
|
---|
8234 | )
|
---|
8235 | thePort (LogicalPort
|
---|
8236 | lang 2
|
---|
8237 | m 1
|
---|
8238 | decl (Decl
|
---|
8239 | n "t"
|
---|
8240 | t "std_logic"
|
---|
8241 | o 44
|
---|
8242 | i "'0'"
|
---|
8243 | )
|
---|
8244 | )
|
---|
8245 | )
|
---|
8246 | *270 (CommentGraphic
|
---|
8247 | uid 20209,0
|
---|
8248 | shape (PolyLine2D
|
---|
8249 | pts [
|
---|
8250 | "105000,137000"
|
---|
8251 | "106000,136000"
|
---|
8252 | ]
|
---|
8253 | uid 20210,0
|
---|
8254 | layer 8
|
---|
8255 | sl 0
|
---|
8256 | va (VaSet
|
---|
8257 | vasetType 1
|
---|
8258 | transparent 1
|
---|
8259 | fg "49152,49152,49152"
|
---|
8260 | lineColor "26368,26368,26368"
|
---|
8261 | lineWidth 2
|
---|
8262 | )
|
---|
8263 | xt "105000,136000,106000,137000"
|
---|
8264 | )
|
---|
8265 | oxt "6000,6000,7000,7000"
|
---|
8266 | )
|
---|
8267 | *271 (CommentGraphic
|
---|
8268 | uid 20211,0
|
---|
8269 | shape (PolyLine2D
|
---|
8270 | pts [
|
---|
8271 | "105000,137000"
|
---|
8272 | "106000,138000"
|
---|
8273 | ]
|
---|
8274 | uid 20212,0
|
---|
8275 | layer 8
|
---|
8276 | sl 0
|
---|
8277 | va (VaSet
|
---|
8278 | vasetType 1
|
---|
8279 | transparent 1
|
---|
8280 | fg "49152,49152,49152"
|
---|
8281 | lineColor "26368,26368,26368"
|
---|
8282 | lineWidth 2
|
---|
8283 | )
|
---|
8284 | xt "105000,137000,106000,138000"
|
---|
8285 | )
|
---|
8286 | oxt "6000,7000,7000,8000"
|
---|
8287 | )
|
---|
8288 | *272 (CommentGraphic
|
---|
8289 | uid 20213,0
|
---|
8290 | shape (PolyLine2D
|
---|
8291 | pts [
|
---|
8292 | "105988,137329"
|
---|
8293 | "106988,137329"
|
---|
8294 | ]
|
---|
8295 | uid 20214,0
|
---|
8296 | layer 8
|
---|
8297 | sl 0
|
---|
8298 | va (VaSet
|
---|
8299 | vasetType 1
|
---|
8300 | transparent 1
|
---|
8301 | fg "49152,49152,49152"
|
---|
8302 | lineColor "26368,26368,26368"
|
---|
8303 | lineWidth 2
|
---|
8304 | )
|
---|
8305 | xt "105988,137329,106988,137329"
|
---|
8306 | )
|
---|
8307 | oxt "6988,7329,7988,7329"
|
---|
8308 | )
|
---|
8309 | *273 (CommentGraphic
|
---|
8310 | uid 20215,0
|
---|
8311 | shape (PolyLine2D
|
---|
8312 | pts [
|
---|
8313 | "107000,137000"
|
---|
8314 | "108000,137000"
|
---|
8315 | ]
|
---|
8316 | uid 20216,0
|
---|
8317 | layer 0
|
---|
8318 | sl 0
|
---|
8319 | va (VaSet
|
---|
8320 | vasetType 1
|
---|
8321 | transparent 1
|
---|
8322 | fg "49152,49152,49152"
|
---|
8323 | )
|
---|
8324 | xt "107000,137000,108000,137000"
|
---|
8325 | )
|
---|
8326 | oxt "8000,7000,9000,7000"
|
---|
8327 | )
|
---|
8328 | *274 (CommentGraphic
|
---|
8329 | uid 20217,0
|
---|
8330 | shape (PolyLine2D
|
---|
8331 | pts [
|
---|
8332 | "105976,136730"
|
---|
8333 | "106976,136730"
|
---|
8334 | ]
|
---|
8335 | uid 20218,0
|
---|
8336 | layer 8
|
---|
8337 | sl 0
|
---|
8338 | va (VaSet
|
---|
8339 | vasetType 1
|
---|
8340 | transparent 1
|
---|
8341 | fg "49152,49152,49152"
|
---|
8342 | lineColor "26368,26368,26368"
|
---|
8343 | lineWidth 2
|
---|
8344 | )
|
---|
8345 | xt "105976,136730,106976,136730"
|
---|
8346 | )
|
---|
8347 | oxt "6976,6730,7976,6730"
|
---|
8348 | )
|
---|
8349 | ]
|
---|
8350 | shape (Rectangle
|
---|
8351 | uid 20189,0
|
---|
8352 | va (VaSet
|
---|
8353 | vasetType 1
|
---|
8354 | transparent 1
|
---|
8355 | fg "0,65535,0"
|
---|
8356 | lineColor "65535,65535,65535"
|
---|
8357 | lineWidth -1
|
---|
8358 | )
|
---|
8359 | xt "105000,136000,108000,138000"
|
---|
8360 | fos 1
|
---|
8361 | )
|
---|
8362 | showPorts 0
|
---|
8363 | oxt "6000,6000,9000,8000"
|
---|
8364 | ttg (MlTextGroup
|
---|
8365 | uid 20190,0
|
---|
8366 | ps "CenterOffsetStrategy"
|
---|
8367 | stg "VerticalLayoutStrategy"
|
---|
8368 | textVec [
|
---|
8369 | *275 (Text
|
---|
8370 | uid 20191,0
|
---|
8371 | va (VaSet
|
---|
8372 | isHidden 1
|
---|
8373 | font "arial,8,0"
|
---|
8374 | )
|
---|
8375 | xt "105350,137100,110150,138100"
|
---|
8376 | st "moduleware"
|
---|
8377 | blo "105350,137900"
|
---|
8378 | )
|
---|
8379 | *276 (Text
|
---|
8380 | uid 20192,0
|
---|
8381 | va (VaSet
|
---|
8382 | font "arial,8,0"
|
---|
8383 | )
|
---|
8384 | xt "105350,138100,110050,139100"
|
---|
8385 | st "assignment"
|
---|
8386 | blo "105350,138900"
|
---|
8387 | )
|
---|
8388 | *277 (Text
|
---|
8389 | uid 20193,0
|
---|
8390 | va (VaSet
|
---|
8391 | font "arial,8,0"
|
---|
8392 | )
|
---|
8393 | xt "105350,139100,106350,140100"
|
---|
8394 | st "I4"
|
---|
8395 | blo "105350,139900"
|
---|
8396 | tm "InstanceNameMgr"
|
---|
8397 | )
|
---|
8398 | ]
|
---|
8399 | )
|
---|
8400 | ga (GenericAssociation
|
---|
8401 | uid 20194,0
|
---|
8402 | ps "EdgeToEdgeStrategy"
|
---|
8403 | matrix (Matrix
|
---|
8404 | uid 20195,0
|
---|
8405 | text (MLText
|
---|
8406 | uid 20196,0
|
---|
8407 | va (VaSet
|
---|
8408 | font "arial,8,0"
|
---|
8409 | )
|
---|
8410 | xt "100000,116400,100000,116400"
|
---|
8411 | )
|
---|
8412 | header ""
|
---|
8413 | )
|
---|
8414 | elements [
|
---|
8415 | ]
|
---|
8416 | )
|
---|
8417 | sed 1
|
---|
8418 | awe 1
|
---|
8419 | portVis (PortSigDisplay
|
---|
8420 | disp 1
|
---|
8421 | sN 0
|
---|
8422 | sTC 0
|
---|
8423 | selT 0
|
---|
8424 | )
|
---|
8425 | prms (Property
|
---|
8426 | pclass "params"
|
---|
8427 | pname "params"
|
---|
8428 | ptn "String"
|
---|
8429 | )
|
---|
8430 | visOptions (mwParamsVisibilityOptions
|
---|
8431 | )
|
---|
8432 | )
|
---|
8433 | *278 (Net
|
---|
8434 | uid 20219,0
|
---|
8435 | decl (Decl
|
---|
8436 | n "trigger_veto"
|
---|
8437 | t "std_logic"
|
---|
8438 | o 73
|
---|
8439 | suid 249,0
|
---|
8440 | i "'1'"
|
---|
8441 | )
|
---|
8442 | declText (MLText
|
---|
8443 | uid 20220,0
|
---|
8444 | va (VaSet
|
---|
8445 | font "Courier New,8,0"
|
---|
8446 | )
|
---|
8447 | xt "39000,62400,75000,63200"
|
---|
8448 | st "SIGNAL trigger_veto : std_logic := '1'
|
---|
8449 | "
|
---|
8450 | )
|
---|
8451 | )
|
---|
8452 | *279 (Wire
|
---|
8453 | uid 245,0
|
---|
8454 | shape (OrthoPolyLine
|
---|
8455 | uid 246,0
|
---|
8456 | va (VaSet
|
---|
8457 | vasetType 3
|
---|
8458 | )
|
---|
8459 | xt "21000,68000,51250,68000"
|
---|
8460 | pts [
|
---|
8461 | "51250,68000"
|
---|
8462 | "21000,68000"
|
---|
8463 | ]
|
---|
8464 | )
|
---|
8465 | start &156
|
---|
8466 | end &13
|
---|
8467 | sat 32
|
---|
8468 | eat 32
|
---|
8469 | stc 0
|
---|
8470 | st 0
|
---|
8471 | sf 1
|
---|
8472 | si 0
|
---|
8473 | tg (WTG
|
---|
8474 | uid 249,0
|
---|
8475 | ps "ConnStartEndStrategy"
|
---|
8476 | stg "STSignalDisplayStrategy"
|
---|
8477 | f (Text
|
---|
8478 | uid 250,0
|
---|
8479 | va (VaSet
|
---|
8480 | isHidden 1
|
---|
8481 | )
|
---|
8482 | xt "53250,67000,56450,68000"
|
---|
8483 | st "X_50M"
|
---|
8484 | blo "53250,67800"
|
---|
8485 | tm "WireNameMgr"
|
---|
8486 | )
|
---|
8487 | )
|
---|
8488 | on &32
|
---|
8489 | )
|
---|
8490 | *280 (Wire
|
---|
8491 | uid 277,0
|
---|
8492 | shape (OrthoPolyLine
|
---|
8493 | uid 278,0
|
---|
8494 | va (VaSet
|
---|
8495 | vasetType 3
|
---|
8496 | lineWidth 2
|
---|
8497 | )
|
---|
8498 | xt "32000,81000,51250,81000"
|
---|
8499 | pts [
|
---|
8500 | "51250,81000"
|
---|
8501 | "32000,81000"
|
---|
8502 | ]
|
---|
8503 | )
|
---|
8504 | start &146
|
---|
8505 | end &14
|
---|
8506 | sat 32
|
---|
8507 | eat 2
|
---|
8508 | sty 1
|
---|
8509 | st 0
|
---|
8510 | sf 1
|
---|
8511 | si 0
|
---|
8512 | tg (WTG
|
---|
8513 | uid 281,0
|
---|
8514 | ps "ConnStartEndStrategy"
|
---|
8515 | stg "STSignalDisplayStrategy"
|
---|
8516 | f (Text
|
---|
8517 | uid 282,0
|
---|
8518 | va (VaSet
|
---|
8519 | )
|
---|
8520 | xt "44000,80000,50700,81000"
|
---|
8521 | st "board_id : (3:0)"
|
---|
8522 | blo "44000,80800"
|
---|
8523 | tm "WireNameMgr"
|
---|
8524 | )
|
---|
8525 | )
|
---|
8526 | on &18
|
---|
8527 | )
|
---|
8528 | *281 (Wire
|
---|
8529 | uid 285,0
|
---|
8530 | shape (OrthoPolyLine
|
---|
8531 | uid 286,0
|
---|
8532 | va (VaSet
|
---|
8533 | vasetType 3
|
---|
8534 | lineWidth 2
|
---|
8535 | )
|
---|
8536 | xt "32000,82000,51250,82000"
|
---|
8537 | pts [
|
---|
8538 | "51250,82000"
|
---|
8539 | "32000,82000"
|
---|
8540 | ]
|
---|
8541 | )
|
---|
8542 | start &147
|
---|
8543 | end &14
|
---|
8544 | sat 32
|
---|
8545 | eat 2
|
---|
8546 | sty 1
|
---|
8547 | st 0
|
---|
8548 | sf 1
|
---|
8549 | si 0
|
---|
8550 | tg (WTG
|
---|
8551 | uid 289,0
|
---|
8552 | ps "ConnStartEndStrategy"
|
---|
8553 | stg "STSignalDisplayStrategy"
|
---|
8554 | f (Text
|
---|
8555 | uid 290,0
|
---|
8556 | va (VaSet
|
---|
8557 | )
|
---|
8558 | xt "44000,81000,50400,82000"
|
---|
8559 | st "crate_id : (1:0)"
|
---|
8560 | blo "44000,81800"
|
---|
8561 | tm "WireNameMgr"
|
---|
8562 | )
|
---|
8563 | )
|
---|
8564 | on &19
|
---|
8565 | )
|
---|
8566 | *282 (Wire
|
---|
8567 | uid 362,0
|
---|
8568 | shape (OrthoPolyLine
|
---|
8569 | uid 363,0
|
---|
8570 | va (VaSet
|
---|
8571 | vasetType 3
|
---|
8572 | )
|
---|
8573 | xt "21000,90000,51250,90000"
|
---|
8574 | pts [
|
---|
8575 | "21000,90000"
|
---|
8576 | "51250,90000"
|
---|
8577 | ]
|
---|
8578 | )
|
---|
8579 | start &39
|
---|
8580 | end &145
|
---|
8581 | sat 32
|
---|
8582 | eat 32
|
---|
8583 | stc 0
|
---|
8584 | st 0
|
---|
8585 | sf 1
|
---|
8586 | si 0
|
---|
8587 | tg (WTG
|
---|
8588 | uid 364,0
|
---|
8589 | ps "ConnStartEndStrategy"
|
---|
8590 | stg "STSignalDisplayStrategy"
|
---|
8591 | f (Text
|
---|
8592 | uid 365,0
|
---|
8593 | va (VaSet
|
---|
8594 | isHidden 1
|
---|
8595 | )
|
---|
8596 | xt "22000,89000,25700,90000"
|
---|
8597 | st "OE_ADC"
|
---|
8598 | blo "22000,89800"
|
---|
8599 | tm "WireNameMgr"
|
---|
8600 | )
|
---|
8601 | )
|
---|
8602 | on &40
|
---|
8603 | )
|
---|
8604 | *283 (Wire
|
---|
8605 | uid 418,0
|
---|
8606 | shape (OrthoPolyLine
|
---|
8607 | uid 419,0
|
---|
8608 | va (VaSet
|
---|
8609 | vasetType 3
|
---|
8610 | )
|
---|
8611 | xt "80750,71000,90000,71000"
|
---|
8612 | pts [
|
---|
8613 | "80750,71000"
|
---|
8614 | "90000,71000"
|
---|
8615 | ]
|
---|
8616 | )
|
---|
8617 | start &142
|
---|
8618 | end &20
|
---|
8619 | sat 32
|
---|
8620 | eat 32
|
---|
8621 | stc 0
|
---|
8622 | st 0
|
---|
8623 | sf 1
|
---|
8624 | si 0
|
---|
8625 | tg (WTG
|
---|
8626 | uid 422,0
|
---|
8627 | ps "ConnStartEndStrategy"
|
---|
8628 | stg "STSignalDisplayStrategy"
|
---|
8629 | f (Text
|
---|
8630 | uid 423,0
|
---|
8631 | va (VaSet
|
---|
8632 | isHidden 1
|
---|
8633 | )
|
---|
8634 | xt "82000,70000,85400,71000"
|
---|
8635 | st "W_RES"
|
---|
8636 | blo "82000,70800"
|
---|
8637 | tm "WireNameMgr"
|
---|
8638 | )
|
---|
8639 | )
|
---|
8640 | on &72
|
---|
8641 | )
|
---|
8642 | *284 (Wire
|
---|
8643 | uid 426,0
|
---|
8644 | shape (OrthoPolyLine
|
---|
8645 | uid 427,0
|
---|
8646 | va (VaSet
|
---|
8647 | vasetType 3
|
---|
8648 | lineWidth 2
|
---|
8649 | )
|
---|
8650 | xt "80750,68000,90000,68000"
|
---|
8651 | pts [
|
---|
8652 | "80750,68000"
|
---|
8653 | "90000,68000"
|
---|
8654 | ]
|
---|
8655 | )
|
---|
8656 | start &148
|
---|
8657 | end &21
|
---|
8658 | sat 32
|
---|
8659 | eat 32
|
---|
8660 | sty 1
|
---|
8661 | stc 0
|
---|
8662 | st 0
|
---|
8663 | sf 1
|
---|
8664 | si 0
|
---|
8665 | tg (WTG
|
---|
8666 | uid 430,0
|
---|
8667 | ps "ConnStartEndStrategy"
|
---|
8668 | stg "STSignalDisplayStrategy"
|
---|
8669 | f (Text
|
---|
8670 | uid 431,0
|
---|
8671 | va (VaSet
|
---|
8672 | isHidden 1
|
---|
8673 | )
|
---|
8674 | xt "82000,67000,84400,68000"
|
---|
8675 | st "W_A"
|
---|
8676 | blo "82000,67800"
|
---|
8677 | tm "WireNameMgr"
|
---|
8678 | )
|
---|
8679 | )
|
---|
8680 | on &70
|
---|
8681 | )
|
---|
8682 | *285 (Wire
|
---|
8683 | uid 434,0
|
---|
8684 | shape (OrthoPolyLine
|
---|
8685 | uid 435,0
|
---|
8686 | va (VaSet
|
---|
8687 | vasetType 3
|
---|
8688 | )
|
---|
8689 | xt "80750,75000,90000,75000"
|
---|
8690 | pts [
|
---|
8691 | "80750,75000"
|
---|
8692 | "90000,75000"
|
---|
8693 | ]
|
---|
8694 | )
|
---|
8695 | start &150
|
---|
8696 | end &22
|
---|
8697 | sat 32
|
---|
8698 | eat 32
|
---|
8699 | stc 0
|
---|
8700 | st 0
|
---|
8701 | sf 1
|
---|
8702 | si 0
|
---|
8703 | tg (WTG
|
---|
8704 | uid 438,0
|
---|
8705 | ps "ConnStartEndStrategy"
|
---|
8706 | stg "STSignalDisplayStrategy"
|
---|
8707 | f (Text
|
---|
8708 | uid 439,0
|
---|
8709 | va (VaSet
|
---|
8710 | isHidden 1
|
---|
8711 | )
|
---|
8712 | xt "82000,74000,84900,75000"
|
---|
8713 | st "W_CS"
|
---|
8714 | blo "82000,74800"
|
---|
8715 | tm "WireNameMgr"
|
---|
8716 | )
|
---|
8717 | )
|
---|
8718 | on &76
|
---|
8719 | )
|
---|
8720 | *286 (Wire
|
---|
8721 | uid 442,0
|
---|
8722 | shape (OrthoPolyLine
|
---|
8723 | uid 443,0
|
---|
8724 | va (VaSet
|
---|
8725 | vasetType 3
|
---|
8726 | lineWidth 2
|
---|
8727 | )
|
---|
8728 | xt "80750,69000,90000,69000"
|
---|
8729 | pts [
|
---|
8730 | "80750,69000"
|
---|
8731 | "90000,69000"
|
---|
8732 | ]
|
---|
8733 | )
|
---|
8734 | start &149
|
---|
8735 | end &23
|
---|
8736 | sat 32
|
---|
8737 | eat 32
|
---|
8738 | sty 1
|
---|
8739 | stc 0
|
---|
8740 | st 0
|
---|
8741 | sf 1
|
---|
8742 | si 0
|
---|
8743 | tg (WTG
|
---|
8744 | uid 446,0
|
---|
8745 | ps "ConnStartEndStrategy"
|
---|
8746 | stg "STSignalDisplayStrategy"
|
---|
8747 | f (Text
|
---|
8748 | uid 447,0
|
---|
8749 | va (VaSet
|
---|
8750 | isHidden 1
|
---|
8751 | )
|
---|
8752 | xt "82000,68000,84400,69000"
|
---|
8753 | st "W_D"
|
---|
8754 | blo "82000,68800"
|
---|
8755 | tm "WireNameMgr"
|
---|
8756 | )
|
---|
8757 | )
|
---|
8758 | on &71
|
---|
8759 | )
|
---|
8760 | *287 (Wire
|
---|
8761 | uid 450,0
|
---|
8762 | shape (OrthoPolyLine
|
---|
8763 | uid 451,0
|
---|
8764 | va (VaSet
|
---|
8765 | vasetType 3
|
---|
8766 | )
|
---|
8767 | xt "80750,74000,90000,74000"
|
---|
8768 | pts [
|
---|
8769 | "90000,74000"
|
---|
8770 | "80750,74000"
|
---|
8771 | ]
|
---|
8772 | )
|
---|
8773 | start &24
|
---|
8774 | end &153
|
---|
8775 | sat 32
|
---|
8776 | eat 32
|
---|
8777 | stc 0
|
---|
8778 | st 0
|
---|
8779 | sf 1
|
---|
8780 | si 0
|
---|
8781 | tg (WTG
|
---|
8782 | uid 454,0
|
---|
8783 | ps "ConnStartEndStrategy"
|
---|
8784 | stg "STSignalDisplayStrategy"
|
---|
8785 | f (Text
|
---|
8786 | uid 455,0
|
---|
8787 | va (VaSet
|
---|
8788 | isHidden 1
|
---|
8789 | )
|
---|
8790 | xt "82000,73000,85300,74000"
|
---|
8791 | st "W_INT"
|
---|
8792 | blo "82000,73800"
|
---|
8793 | tm "WireNameMgr"
|
---|
8794 | )
|
---|
8795 | )
|
---|
8796 | on &75
|
---|
8797 | )
|
---|
8798 | *288 (Wire
|
---|
8799 | uid 458,0
|
---|
8800 | shape (OrthoPolyLine
|
---|
8801 | uid 459,0
|
---|
8802 | va (VaSet
|
---|
8803 | vasetType 3
|
---|
8804 | )
|
---|
8805 | xt "80750,72000,90000,72000"
|
---|
8806 | pts [
|
---|
8807 | "80750,72000"
|
---|
8808 | "90000,72000"
|
---|
8809 | ]
|
---|
8810 | )
|
---|
8811 | start &152
|
---|
8812 | end &25
|
---|
8813 | sat 32
|
---|
8814 | eat 32
|
---|
8815 | stc 0
|
---|
8816 | st 0
|
---|
8817 | sf 1
|
---|
8818 | si 0
|
---|
8819 | tg (WTG
|
---|
8820 | uid 462,0
|
---|
8821 | ps "ConnStartEndStrategy"
|
---|
8822 | stg "STSignalDisplayStrategy"
|
---|
8823 | f (Text
|
---|
8824 | uid 463,0
|
---|
8825 | va (VaSet
|
---|
8826 | isHidden 1
|
---|
8827 | )
|
---|
8828 | xt "82000,71000,84900,72000"
|
---|
8829 | st "W_RD"
|
---|
8830 | blo "82000,71800"
|
---|
8831 | tm "WireNameMgr"
|
---|
8832 | )
|
---|
8833 | )
|
---|
8834 | on &73
|
---|
8835 | )
|
---|
8836 | *289 (Wire
|
---|
8837 | uid 466,0
|
---|
8838 | shape (OrthoPolyLine
|
---|
8839 | uid 467,0
|
---|
8840 | va (VaSet
|
---|
8841 | vasetType 3
|
---|
8842 | )
|
---|
8843 | xt "80750,73000,90000,73000"
|
---|
8844 | pts [
|
---|
8845 | "80750,73000"
|
---|
8846 | "90000,73000"
|
---|
8847 | ]
|
---|
8848 | )
|
---|
8849 | start &151
|
---|
8850 | end &26
|
---|
8851 | sat 32
|
---|
8852 | eat 32
|
---|
8853 | stc 0
|
---|
8854 | st 0
|
---|
8855 | sf 1
|
---|
8856 | si 0
|
---|
8857 | tg (WTG
|
---|
8858 | uid 470,0
|
---|
8859 | ps "ConnStartEndStrategy"
|
---|
8860 | stg "STSignalDisplayStrategy"
|
---|
8861 | f (Text
|
---|
8862 | uid 471,0
|
---|
8863 | va (VaSet
|
---|
8864 | isHidden 1
|
---|
8865 | )
|
---|
8866 | xt "82000,72000,85200,73000"
|
---|
8867 | st "W_WR"
|
---|
8868 | blo "82000,72800"
|
---|
8869 | tm "WireNameMgr"
|
---|
8870 | )
|
---|
8871 | )
|
---|
8872 | on &74
|
---|
8873 | )
|
---|
8874 | *290 (Wire
|
---|
8875 | uid 1467,0
|
---|
8876 | shape (OrthoPolyLine
|
---|
8877 | uid 1468,0
|
---|
8878 | va (VaSet
|
---|
8879 | vasetType 3
|
---|
8880 | )
|
---|
8881 | xt "30000,95000,51250,95000"
|
---|
8882 | pts [
|
---|
8883 | "30000,95000"
|
---|
8884 | "41000,95000"
|
---|
8885 | "51250,95000"
|
---|
8886 | ]
|
---|
8887 | )
|
---|
8888 | start &43
|
---|
8889 | end &158
|
---|
8890 | sat 2
|
---|
8891 | eat 32
|
---|
8892 | st 0
|
---|
8893 | sf 1
|
---|
8894 | si 0
|
---|
8895 | tg (WTG
|
---|
8896 | uid 1471,0
|
---|
8897 | ps "ConnStartEndStrategy"
|
---|
8898 | stg "STSignalDisplayStrategy"
|
---|
8899 | f (Text
|
---|
8900 | uid 1472,0
|
---|
8901 | va (VaSet
|
---|
8902 | )
|
---|
8903 | xt "32000,94000,38900,95000"
|
---|
8904 | st "adc_data_array"
|
---|
8905 | blo "32000,94800"
|
---|
8906 | tm "WireNameMgr"
|
---|
8907 | )
|
---|
8908 | )
|
---|
8909 | on &27
|
---|
8910 | )
|
---|
8911 | *291 (Wire
|
---|
8912 | uid 1730,0
|
---|
8913 | shape (OrthoPolyLine
|
---|
8914 | uid 1731,0
|
---|
8915 | va (VaSet
|
---|
8916 | vasetType 3
|
---|
8917 | lineWidth 2
|
---|
8918 | )
|
---|
8919 | xt "21000,89000,51250,89000"
|
---|
8920 | pts [
|
---|
8921 | "21000,89000"
|
---|
8922 | "51250,89000"
|
---|
8923 | ]
|
---|
8924 | )
|
---|
8925 | start &41
|
---|
8926 | end &157
|
---|
8927 | sat 32
|
---|
8928 | eat 32
|
---|
8929 | sty 1
|
---|
8930 | stc 0
|
---|
8931 | st 0
|
---|
8932 | sf 1
|
---|
8933 | si 0
|
---|
8934 | tg (WTG
|
---|
8935 | uid 1734,0
|
---|
8936 | ps "ConnStartEndStrategy"
|
---|
8937 | stg "STSignalDisplayStrategy"
|
---|
8938 | f (Text
|
---|
8939 | uid 1735,0
|
---|
8940 | va (VaSet
|
---|
8941 | isHidden 1
|
---|
8942 | )
|
---|
8943 | xt "22000,88000,25000,89000"
|
---|
8944 | st "A_OTR"
|
---|
8945 | blo "22000,88800"
|
---|
8946 | tm "WireNameMgr"
|
---|
8947 | )
|
---|
8948 | )
|
---|
8949 | on &42
|
---|
8950 | )
|
---|
8951 | *292 (Wire
|
---|
8952 | uid 1833,0
|
---|
8953 | shape (OrthoPolyLine
|
---|
8954 | uid 1834,0
|
---|
8955 | va (VaSet
|
---|
8956 | vasetType 3
|
---|
8957 | lineWidth 2
|
---|
8958 | )
|
---|
8959 | xt "21000,109000,51250,109000"
|
---|
8960 | pts [
|
---|
8961 | "51250,109000"
|
---|
8962 | "21000,109000"
|
---|
8963 | ]
|
---|
8964 | )
|
---|
8965 | start &159
|
---|
8966 | end &63
|
---|
8967 | sat 32
|
---|
8968 | eat 32
|
---|
8969 | sty 1
|
---|
8970 | stc 0
|
---|
8971 | st 0
|
---|
8972 | sf 1
|
---|
8973 | si 0
|
---|
8974 | tg (WTG
|
---|
8975 | uid 1837,0
|
---|
8976 | ps "ConnStartEndStrategy"
|
---|
8977 | stg "STSignalDisplayStrategy"
|
---|
8978 | f (Text
|
---|
8979 | uid 1838,0
|
---|
8980 | va (VaSet
|
---|
8981 | isHidden 1
|
---|
8982 | )
|
---|
8983 | xt "22000,108000,24100,109000"
|
---|
8984 | st "D_A"
|
---|
8985 | blo "22000,108800"
|
---|
8986 | tm "WireNameMgr"
|
---|
8987 | )
|
---|
8988 | )
|
---|
8989 | on &64
|
---|
8990 | )
|
---|
8991 | *293 (Wire
|
---|
8992 | uid 1841,0
|
---|
8993 | shape (OrthoPolyLine
|
---|
8994 | uid 1842,0
|
---|
8995 | va (VaSet
|
---|
8996 | vasetType 3
|
---|
8997 | )
|
---|
8998 | xt "21000,110000,51250,110000"
|
---|
8999 | pts [
|
---|
9000 | "51250,110000"
|
---|
9001 | "21000,110000"
|
---|
9002 | ]
|
---|
9003 | )
|
---|
9004 | start &160
|
---|
9005 | end &65
|
---|
9006 | sat 32
|
---|
9007 | eat 32
|
---|
9008 | stc 0
|
---|
9009 | st 0
|
---|
9010 | sf 1
|
---|
9011 | si 0
|
---|
9012 | tg (WTG
|
---|
9013 | uid 1845,0
|
---|
9014 | ps "ConnStartEndStrategy"
|
---|
9015 | stg "STSignalDisplayStrategy"
|
---|
9016 | f (Text
|
---|
9017 | uid 1846,0
|
---|
9018 | va (VaSet
|
---|
9019 | isHidden 1
|
---|
9020 | )
|
---|
9021 | xt "22000,109000,25800,110000"
|
---|
9022 | st "DWRITE"
|
---|
9023 | blo "22000,109800"
|
---|
9024 | tm "WireNameMgr"
|
---|
9025 | )
|
---|
9026 | )
|
---|
9027 | on &66
|
---|
9028 | )
|
---|
9029 | *294 (Wire
|
---|
9030 | uid 1865,0
|
---|
9031 | shape (OrthoPolyLine
|
---|
9032 | uid 1866,0
|
---|
9033 | va (VaSet
|
---|
9034 | vasetType 3
|
---|
9035 | )
|
---|
9036 | xt "21000,105000,51250,105000"
|
---|
9037 | pts [
|
---|
9038 | "21000,105000"
|
---|
9039 | "51250,105000"
|
---|
9040 | ]
|
---|
9041 | )
|
---|
9042 | start &55
|
---|
9043 | end &161
|
---|
9044 | sat 32
|
---|
9045 | eat 32
|
---|
9046 | stc 0
|
---|
9047 | st 0
|
---|
9048 | sf 1
|
---|
9049 | si 0
|
---|
9050 | tg (WTG
|
---|
9051 | uid 1869,0
|
---|
9052 | ps "ConnStartEndStrategy"
|
---|
9053 | stg "STSignalDisplayStrategy"
|
---|
9054 | f (Text
|
---|
9055 | uid 1870,0
|
---|
9056 | va (VaSet
|
---|
9057 | isHidden 1
|
---|
9058 | )
|
---|
9059 | xt "22000,104000,26600,105000"
|
---|
9060 | st "D0_SROUT"
|
---|
9061 | blo "22000,104800"
|
---|
9062 | tm "WireNameMgr"
|
---|
9063 | )
|
---|
9064 | )
|
---|
9065 | on &59
|
---|
9066 | )
|
---|
9067 | *295 (Wire
|
---|
9068 | uid 1873,0
|
---|
9069 | shape (OrthoPolyLine
|
---|
9070 | uid 1874,0
|
---|
9071 | va (VaSet
|
---|
9072 | vasetType 3
|
---|
9073 | )
|
---|
9074 | xt "21000,106000,51250,106000"
|
---|
9075 | pts [
|
---|
9076 | "21000,106000"
|
---|
9077 | "51250,106000"
|
---|
9078 | ]
|
---|
9079 | )
|
---|
9080 | start &56
|
---|
9081 | end &162
|
---|
9082 | sat 32
|
---|
9083 | eat 32
|
---|
9084 | stc 0
|
---|
9085 | st 0
|
---|
9086 | sf 1
|
---|
9087 | si 0
|
---|
9088 | tg (WTG
|
---|
9089 | uid 1877,0
|
---|
9090 | ps "ConnStartEndStrategy"
|
---|
9091 | stg "STSignalDisplayStrategy"
|
---|
9092 | f (Text
|
---|
9093 | uid 1878,0
|
---|
9094 | va (VaSet
|
---|
9095 | isHidden 1
|
---|
9096 | )
|
---|
9097 | xt "22000,105000,26600,106000"
|
---|
9098 | st "D1_SROUT"
|
---|
9099 | blo "22000,105800"
|
---|
9100 | tm "WireNameMgr"
|
---|
9101 | )
|
---|
9102 | )
|
---|
9103 | on &60
|
---|
9104 | )
|
---|
9105 | *296 (Wire
|
---|
9106 | uid 1881,0
|
---|
9107 | shape (OrthoPolyLine
|
---|
9108 | uid 1882,0
|
---|
9109 | va (VaSet
|
---|
9110 | vasetType 3
|
---|
9111 | )
|
---|
9112 | xt "21000,107000,51250,107000"
|
---|
9113 | pts [
|
---|
9114 | "21000,107000"
|
---|
9115 | "51250,107000"
|
---|
9116 | ]
|
---|
9117 | )
|
---|
9118 | start &57
|
---|
9119 | end &163
|
---|
9120 | sat 32
|
---|
9121 | eat 32
|
---|
9122 | stc 0
|
---|
9123 | st 0
|
---|
9124 | sf 1
|
---|
9125 | si 0
|
---|
9126 | tg (WTG
|
---|
9127 | uid 1885,0
|
---|
9128 | ps "ConnStartEndStrategy"
|
---|
9129 | stg "STSignalDisplayStrategy"
|
---|
9130 | f (Text
|
---|
9131 | uid 1886,0
|
---|
9132 | va (VaSet
|
---|
9133 | isHidden 1
|
---|
9134 | )
|
---|
9135 | xt "22000,106000,26600,107000"
|
---|
9136 | st "D2_SROUT"
|
---|
9137 | blo "22000,106800"
|
---|
9138 | tm "WireNameMgr"
|
---|
9139 | )
|
---|
9140 | )
|
---|
9141 | on &61
|
---|
9142 | )
|
---|
9143 | *297 (Wire
|
---|
9144 | uid 1889,0
|
---|
9145 | shape (OrthoPolyLine
|
---|
9146 | uid 1890,0
|
---|
9147 | va (VaSet
|
---|
9148 | vasetType 3
|
---|
9149 | )
|
---|
9150 | xt "21000,108000,51250,108000"
|
---|
9151 | pts [
|
---|
9152 | "21000,108000"
|
---|
9153 | "51250,108000"
|
---|
9154 | ]
|
---|
9155 | )
|
---|
9156 | start &58
|
---|
9157 | end &164
|
---|
9158 | sat 32
|
---|
9159 | eat 32
|
---|
9160 | stc 0
|
---|
9161 | st 0
|
---|
9162 | sf 1
|
---|
9163 | si 0
|
---|
9164 | tg (WTG
|
---|
9165 | uid 1893,0
|
---|
9166 | ps "ConnStartEndStrategy"
|
---|
9167 | stg "STSignalDisplayStrategy"
|
---|
9168 | f (Text
|
---|
9169 | uid 1894,0
|
---|
9170 | va (VaSet
|
---|
9171 | isHidden 1
|
---|
9172 | )
|
---|
9173 | xt "22000,107000,26600,108000"
|
---|
9174 | st "D3_SROUT"
|
---|
9175 | blo "22000,107800"
|
---|
9176 | tm "WireNameMgr"
|
---|
9177 | )
|
---|
9178 | )
|
---|
9179 | on &62
|
---|
9180 | )
|
---|
9181 | *298 (Wire
|
---|
9182 | uid 2409,0
|
---|
9183 | shape (OrthoPolyLine
|
---|
9184 | uid 2410,0
|
---|
9185 | va (VaSet
|
---|
9186 | vasetType 3
|
---|
9187 | )
|
---|
9188 | xt "21000,111000,51250,111000"
|
---|
9189 | pts [
|
---|
9190 | "51250,111000"
|
---|
9191 | "21000,111000"
|
---|
9192 | ]
|
---|
9193 | )
|
---|
9194 | start &165
|
---|
9195 | end &29
|
---|
9196 | sat 32
|
---|
9197 | eat 32
|
---|
9198 | stc 0
|
---|
9199 | st 0
|
---|
9200 | sf 1
|
---|
9201 | si 0
|
---|
9202 | tg (WTG
|
---|
9203 | uid 2413,0
|
---|
9204 | ps "ConnStartEndStrategy"
|
---|
9205 | stg "STSignalDisplayStrategy"
|
---|
9206 | f (Text
|
---|
9207 | uid 2414,0
|
---|
9208 | va (VaSet
|
---|
9209 | isHidden 1
|
---|
9210 | )
|
---|
9211 | xt "22000,110000,26200,111000"
|
---|
9212 | st "RSRLOAD"
|
---|
9213 | blo "22000,110800"
|
---|
9214 | tm "WireNameMgr"
|
---|
9215 | )
|
---|
9216 | )
|
---|
9217 | on &28
|
---|
9218 | )
|
---|
9219 | *299 (Wire
|
---|
9220 | uid 3009,0
|
---|
9221 | shape (OrthoPolyLine
|
---|
9222 | uid 3010,0
|
---|
9223 | va (VaSet
|
---|
9224 | vasetType 3
|
---|
9225 | )
|
---|
9226 | xt "86000,95000,99000,97000"
|
---|
9227 | pts [
|
---|
9228 | "86000,95000"
|
---|
9229 | "99000,97000"
|
---|
9230 | ]
|
---|
9231 | )
|
---|
9232 | start &238
|
---|
9233 | end &68
|
---|
9234 | sat 32
|
---|
9235 | eat 32
|
---|
9236 | stc 0
|
---|
9237 | st 0
|
---|
9238 | sf 1
|
---|
9239 | si 0
|
---|
9240 | tg (WTG
|
---|
9241 | uid 3011,0
|
---|
9242 | ps "ConnStartEndStrategy"
|
---|
9243 | stg "STSignalDisplayStrategy"
|
---|
9244 | f (Text
|
---|
9245 | uid 3012,0
|
---|
9246 | va (VaSet
|
---|
9247 | isHidden 1
|
---|
9248 | )
|
---|
9249 | xt "87000,94000,89900,95000"
|
---|
9250 | st "S_CLK"
|
---|
9251 | blo "87000,94800"
|
---|
9252 | tm "WireNameMgr"
|
---|
9253 | )
|
---|
9254 | )
|
---|
9255 | on &69
|
---|
9256 | )
|
---|
9257 | *300 (Wire
|
---|
9258 | uid 3015,0
|
---|
9259 | shape (OrthoPolyLine
|
---|
9260 | uid 3016,0
|
---|
9261 | va (VaSet
|
---|
9262 | vasetType 3
|
---|
9263 | )
|
---|
9264 | xt "80750,99000,90000,99000"
|
---|
9265 | pts [
|
---|
9266 | "80750,99000"
|
---|
9267 | "90000,99000"
|
---|
9268 | ]
|
---|
9269 | )
|
---|
9270 | start &168
|
---|
9271 | end &77
|
---|
9272 | sat 32
|
---|
9273 | eat 32
|
---|
9274 | stc 0
|
---|
9275 | st 0
|
---|
9276 | sf 1
|
---|
9277 | si 0
|
---|
9278 | tg (WTG
|
---|
9279 | uid 3017,0
|
---|
9280 | ps "ConnStartEndStrategy"
|
---|
9281 | stg "STSignalDisplayStrategy"
|
---|
9282 | f (Text
|
---|
9283 | uid 3018,0
|
---|
9284 | va (VaSet
|
---|
9285 | isHidden 1
|
---|
9286 | )
|
---|
9287 | xt "82750,98000,85450,99000"
|
---|
9288 | st "MISO"
|
---|
9289 | blo "82750,98800"
|
---|
9290 | tm "WireNameMgr"
|
---|
9291 | )
|
---|
9292 | )
|
---|
9293 | on &80
|
---|
9294 | )
|
---|
9295 | *301 (Wire
|
---|
9296 | uid 3027,0
|
---|
9297 | shape (OrthoPolyLine
|
---|
9298 | uid 3028,0
|
---|
9299 | va (VaSet
|
---|
9300 | vasetType 3
|
---|
9301 | )
|
---|
9302 | xt "85000,84000,97000,85000"
|
---|
9303 | pts [
|
---|
9304 | "85000,85000"
|
---|
9305 | "97000,84000"
|
---|
9306 | ]
|
---|
9307 | )
|
---|
9308 | start &212
|
---|
9309 | end &67
|
---|
9310 | ss 0
|
---|
9311 | sat 32
|
---|
9312 | eat 32
|
---|
9313 | stc 0
|
---|
9314 | st 0
|
---|
9315 | sf 1
|
---|
9316 | si 0
|
---|
9317 | tg (WTG
|
---|
9318 | uid 3031,0
|
---|
9319 | ps "ConnStartEndStrategy"
|
---|
9320 | stg "STSignalDisplayStrategy"
|
---|
9321 | f (Text
|
---|
9322 | uid 3032,0
|
---|
9323 | va (VaSet
|
---|
9324 | isHidden 1
|
---|
9325 | )
|
---|
9326 | xt "86000,84000,89700,85000"
|
---|
9327 | st "DAC_CS"
|
---|
9328 | blo "86000,84800"
|
---|
9329 | tm "WireNameMgr"
|
---|
9330 | )
|
---|
9331 | )
|
---|
9332 | on &30
|
---|
9333 | )
|
---|
9334 | *302 (Wire
|
---|
9335 | uid 3218,0
|
---|
9336 | shape (OrthoPolyLine
|
---|
9337 | uid 3219,0
|
---|
9338 | va (VaSet
|
---|
9339 | vasetType 3
|
---|
9340 | )
|
---|
9341 | xt "22000,78000,51250,78000"
|
---|
9342 | pts [
|
---|
9343 | "22000,78000"
|
---|
9344 | "51250,78000"
|
---|
9345 | ]
|
---|
9346 | )
|
---|
9347 | start &12
|
---|
9348 | end &144
|
---|
9349 | sat 32
|
---|
9350 | eat 32
|
---|
9351 | stc 0
|
---|
9352 | st 0
|
---|
9353 | sf 1
|
---|
9354 | si 0
|
---|
9355 | tg (WTG
|
---|
9356 | uid 3220,0
|
---|
9357 | ps "ConnStartEndStrategy"
|
---|
9358 | stg "STSignalDisplayStrategy"
|
---|
9359 | f (Text
|
---|
9360 | uid 3221,0
|
---|
9361 | va (VaSet
|
---|
9362 | isHidden 1
|
---|
9363 | )
|
---|
9364 | xt "33000,77000,34900,78000"
|
---|
9365 | st "TRG"
|
---|
9366 | blo "33000,77800"
|
---|
9367 | tm "WireNameMgr"
|
---|
9368 | )
|
---|
9369 | )
|
---|
9370 | on &33
|
---|
9371 | )
|
---|
9372 | *303 (Wire
|
---|
9373 | uid 3260,0
|
---|
9374 | shape (OrthoPolyLine
|
---|
9375 | uid 3261,0
|
---|
9376 | va (VaSet
|
---|
9377 | vasetType 3
|
---|
9378 | lineWidth 2
|
---|
9379 | )
|
---|
9380 | xt "-1000,71000,5000,71000"
|
---|
9381 | pts [
|
---|
9382 | "-1000,71000"
|
---|
9383 | "5000,71000"
|
---|
9384 | ]
|
---|
9385 | )
|
---|
9386 | start &31
|
---|
9387 | end &34
|
---|
9388 | sat 32
|
---|
9389 | eat 2
|
---|
9390 | sty 1
|
---|
9391 | stc 0
|
---|
9392 | st 0
|
---|
9393 | sf 1
|
---|
9394 | si 0
|
---|
9395 | tg (WTG
|
---|
9396 | uid 3264,0
|
---|
9397 | ps "ConnStartEndStrategy"
|
---|
9398 | stg "STSignalDisplayStrategy"
|
---|
9399 | f (Text
|
---|
9400 | uid 3265,0
|
---|
9401 | va (VaSet
|
---|
9402 | isHidden 1
|
---|
9403 | )
|
---|
9404 | xt "-23000,70000,-20100,71000"
|
---|
9405 | st "A_CLK"
|
---|
9406 | blo "-23000,70800"
|
---|
9407 | tm "WireNameMgr"
|
---|
9408 | )
|
---|
9409 | )
|
---|
9410 | on &38
|
---|
9411 | )
|
---|
9412 | *304 (Wire
|
---|
9413 | uid 3318,0
|
---|
9414 | shape (OrthoPolyLine
|
---|
9415 | uid 3319,0
|
---|
9416 | va (VaSet
|
---|
9417 | vasetType 3
|
---|
9418 | lineWidth 2
|
---|
9419 | )
|
---|
9420 | xt "21000,95000,24000,95000"
|
---|
9421 | pts [
|
---|
9422 | "21000,95000"
|
---|
9423 | "24000,95000"
|
---|
9424 | ]
|
---|
9425 | )
|
---|
9426 | start &47
|
---|
9427 | end &43
|
---|
9428 | sat 32
|
---|
9429 | eat 1
|
---|
9430 | sty 1
|
---|
9431 | stc 0
|
---|
9432 | st 0
|
---|
9433 | sf 1
|
---|
9434 | si 0
|
---|
9435 | tg (WTG
|
---|
9436 | uid 3322,0
|
---|
9437 | ps "ConnStartEndStrategy"
|
---|
9438 | stg "STSignalDisplayStrategy"
|
---|
9439 | f (Text
|
---|
9440 | uid 3323,0
|
---|
9441 | va (VaSet
|
---|
9442 | isHidden 1
|
---|
9443 | )
|
---|
9444 | xt "23000,94000,25300,95000"
|
---|
9445 | st "A0_D"
|
---|
9446 | blo "23000,94800"
|
---|
9447 | tm "WireNameMgr"
|
---|
9448 | )
|
---|
9449 | )
|
---|
9450 | on &51
|
---|
9451 | )
|
---|
9452 | *305 (Wire
|
---|
9453 | uid 3352,0
|
---|
9454 | shape (OrthoPolyLine
|
---|
9455 | uid 3353,0
|
---|
9456 | va (VaSet
|
---|
9457 | vasetType 3
|
---|
9458 | lineWidth 2
|
---|
9459 | )
|
---|
9460 | xt "21000,96000,24000,96000"
|
---|
9461 | pts [
|
---|
9462 | "21000,96000"
|
---|
9463 | "24000,96000"
|
---|
9464 | ]
|
---|
9465 | )
|
---|
9466 | start &48
|
---|
9467 | end &43
|
---|
9468 | sat 32
|
---|
9469 | eat 1
|
---|
9470 | sty 1
|
---|
9471 | stc 0
|
---|
9472 | st 0
|
---|
9473 | sf 1
|
---|
9474 | si 0
|
---|
9475 | tg (WTG
|
---|
9476 | uid 3356,0
|
---|
9477 | ps "ConnStartEndStrategy"
|
---|
9478 | stg "STSignalDisplayStrategy"
|
---|
9479 | f (Text
|
---|
9480 | uid 3357,0
|
---|
9481 | va (VaSet
|
---|
9482 | isHidden 1
|
---|
9483 | )
|
---|
9484 | xt "23000,95000,25300,96000"
|
---|
9485 | st "A1_D"
|
---|
9486 | blo "23000,95800"
|
---|
9487 | tm "WireNameMgr"
|
---|
9488 | )
|
---|
9489 | )
|
---|
9490 | on &52
|
---|
9491 | )
|
---|
9492 | *306 (Wire
|
---|
9493 | uid 3360,0
|
---|
9494 | shape (OrthoPolyLine
|
---|
9495 | uid 3361,0
|
---|
9496 | va (VaSet
|
---|
9497 | vasetType 3
|
---|
9498 | lineWidth 2
|
---|
9499 | )
|
---|
9500 | xt "21000,97000,24000,97000"
|
---|
9501 | pts [
|
---|
9502 | "21000,97000"
|
---|
9503 | "24000,97000"
|
---|
9504 | ]
|
---|
9505 | )
|
---|
9506 | start &49
|
---|
9507 | end &43
|
---|
9508 | sat 32
|
---|
9509 | eat 1
|
---|
9510 | sty 1
|
---|
9511 | stc 0
|
---|
9512 | st 0
|
---|
9513 | sf 1
|
---|
9514 | si 0
|
---|
9515 | tg (WTG
|
---|
9516 | uid 3364,0
|
---|
9517 | ps "ConnStartEndStrategy"
|
---|
9518 | stg "STSignalDisplayStrategy"
|
---|
9519 | f (Text
|
---|
9520 | uid 3365,0
|
---|
9521 | va (VaSet
|
---|
9522 | isHidden 1
|
---|
9523 | )
|
---|
9524 | xt "23000,96000,25300,97000"
|
---|
9525 | st "A2_D"
|
---|
9526 | blo "23000,96800"
|
---|
9527 | tm "WireNameMgr"
|
---|
9528 | )
|
---|
9529 | )
|
---|
9530 | on &53
|
---|
9531 | )
|
---|
9532 | *307 (Wire
|
---|
9533 | uid 3368,0
|
---|
9534 | shape (OrthoPolyLine
|
---|
9535 | uid 3369,0
|
---|
9536 | va (VaSet
|
---|
9537 | vasetType 3
|
---|
9538 | lineWidth 2
|
---|
9539 | )
|
---|
9540 | xt "21000,98000,24000,98000"
|
---|
9541 | pts [
|
---|
9542 | "21000,98000"
|
---|
9543 | "24000,98000"
|
---|
9544 | ]
|
---|
9545 | )
|
---|
9546 | start &50
|
---|
9547 | end &43
|
---|
9548 | sat 32
|
---|
9549 | eat 1
|
---|
9550 | sty 1
|
---|
9551 | stc 0
|
---|
9552 | st 0
|
---|
9553 | sf 1
|
---|
9554 | si 0
|
---|
9555 | tg (WTG
|
---|
9556 | uid 3372,0
|
---|
9557 | ps "ConnStartEndStrategy"
|
---|
9558 | stg "STSignalDisplayStrategy"
|
---|
9559 | f (Text
|
---|
9560 | uid 3373,0
|
---|
9561 | va (VaSet
|
---|
9562 | isHidden 1
|
---|
9563 | )
|
---|
9564 | xt "23000,97000,25300,98000"
|
---|
9565 | st "A3_D"
|
---|
9566 | blo "23000,97800"
|
---|
9567 | tm "WireNameMgr"
|
---|
9568 | )
|
---|
9569 | )
|
---|
9570 | on &54
|
---|
9571 | )
|
---|
9572 | *308 (Wire
|
---|
9573 | uid 3682,0
|
---|
9574 | shape (OrthoPolyLine
|
---|
9575 | uid 3683,0
|
---|
9576 | va (VaSet
|
---|
9577 | vasetType 3
|
---|
9578 | )
|
---|
9579 | xt "86000,100000,99000,102000"
|
---|
9580 | pts [
|
---|
9581 | "86000,102000"
|
---|
9582 | "99000,100000"
|
---|
9583 | ]
|
---|
9584 | )
|
---|
9585 | start &251
|
---|
9586 | end &79
|
---|
9587 | sat 32
|
---|
9588 | eat 32
|
---|
9589 | stc 0
|
---|
9590 | st 0
|
---|
9591 | sf 1
|
---|
9592 | si 0
|
---|
9593 | tg (WTG
|
---|
9594 | uid 3686,0
|
---|
9595 | ps "ConnStartEndStrategy"
|
---|
9596 | stg "STSignalDisplayStrategy"
|
---|
9597 | f (Text
|
---|
9598 | uid 3687,0
|
---|
9599 | va (VaSet
|
---|
9600 | isHidden 1
|
---|
9601 | )
|
---|
9602 | xt "87000,101000,89700,102000"
|
---|
9603 | st "MOSI"
|
---|
9604 | blo "87000,101800"
|
---|
9605 | tm "WireNameMgr"
|
---|
9606 | )
|
---|
9607 | )
|
---|
9608 | on &78
|
---|
9609 | )
|
---|
9610 | *309 (Wire
|
---|
9611 | uid 3834,0
|
---|
9612 | shape (OrthoPolyLine
|
---|
9613 | uid 3835,0
|
---|
9614 | va (VaSet
|
---|
9615 | vasetType 3
|
---|
9616 | )
|
---|
9617 | xt "171000,136000,176000,136000"
|
---|
9618 | pts [
|
---|
9619 | "176000,136000"
|
---|
9620 | "171000,136000"
|
---|
9621 | ]
|
---|
9622 | )
|
---|
9623 | start &86
|
---|
9624 | end &102
|
---|
9625 | sat 32
|
---|
9626 | eat 2
|
---|
9627 | stc 0
|
---|
9628 | st 0
|
---|
9629 | sf 1
|
---|
9630 | si 0
|
---|
9631 | tg (WTG
|
---|
9632 | uid 3838,0
|
---|
9633 | ps "ConnStartEndStrategy"
|
---|
9634 | stg "STSignalDisplayStrategy"
|
---|
9635 | f (Text
|
---|
9636 | uid 3839,0
|
---|
9637 | va (VaSet
|
---|
9638 | isHidden 1
|
---|
9639 | )
|
---|
9640 | xt "171000,135000,174000,136000"
|
---|
9641 | st "EE_CS"
|
---|
9642 | blo "171000,135800"
|
---|
9643 | tm "WireNameMgr"
|
---|
9644 | )
|
---|
9645 | )
|
---|
9646 | on &92
|
---|
9647 | )
|
---|
9648 | *310 (Wire
|
---|
9649 | uid 4942,0
|
---|
9650 | shape (OrthoPolyLine
|
---|
9651 | uid 4943,0
|
---|
9652 | va (VaSet
|
---|
9653 | vasetType 3
|
---|
9654 | lineWidth 2
|
---|
9655 | )
|
---|
9656 | xt "171000,115000,176000,115000"
|
---|
9657 | pts [
|
---|
9658 | "171000,115000"
|
---|
9659 | "176000,115000"
|
---|
9660 | ]
|
---|
9661 | )
|
---|
9662 | start &102
|
---|
9663 | end &93
|
---|
9664 | sat 2
|
---|
9665 | eat 32
|
---|
9666 | sty 1
|
---|
9667 | stc 0
|
---|
9668 | st 0
|
---|
9669 | sf 1
|
---|
9670 | si 0
|
---|
9671 | tg (WTG
|
---|
9672 | uid 4948,0
|
---|
9673 | ps "ConnStartEndStrategy"
|
---|
9674 | stg "STSignalDisplayStrategy"
|
---|
9675 | f (Text
|
---|
9676 | uid 4949,0
|
---|
9677 | va (VaSet
|
---|
9678 | isHidden 1
|
---|
9679 | )
|
---|
9680 | xt "172750,112000,174750,113000"
|
---|
9681 | st "D_T"
|
---|
9682 | blo "172750,112800"
|
---|
9683 | tm "WireNameMgr"
|
---|
9684 | )
|
---|
9685 | )
|
---|
9686 | on &94
|
---|
9687 | )
|
---|
9688 | *311 (Wire
|
---|
9689 | uid 6431,0
|
---|
9690 | shape (OrthoPolyLine
|
---|
9691 | uid 6432,0
|
---|
9692 | va (VaSet
|
---|
9693 | vasetType 3
|
---|
9694 | )
|
---|
9695 | xt "80750,121000,82000,121000"
|
---|
9696 | pts [
|
---|
9697 | "80750,121000"
|
---|
9698 | "82000,121000"
|
---|
9699 | ]
|
---|
9700 | )
|
---|
9701 | start &172
|
---|
9702 | end &85
|
---|
9703 | sat 32
|
---|
9704 | eat 32
|
---|
9705 | stc 0
|
---|
9706 | st 0
|
---|
9707 | sf 1
|
---|
9708 | si 0
|
---|
9709 | tg (WTG
|
---|
9710 | uid 6435,0
|
---|
9711 | ps "ConnStartEndStrategy"
|
---|
9712 | stg "STSignalDisplayStrategy"
|
---|
9713 | f (Text
|
---|
9714 | uid 6436,0
|
---|
9715 | va (VaSet
|
---|
9716 | isHidden 1
|
---|
9717 | )
|
---|
9718 | xt "92000,120000,96100,121000"
|
---|
9719 | st "DENABLE"
|
---|
9720 | blo "92000,120800"
|
---|
9721 | tm "WireNameMgr"
|
---|
9722 | )
|
---|
9723 | )
|
---|
9724 | on &91
|
---|
9725 | )
|
---|
9726 | *312 (Wire
|
---|
9727 | uid 7144,0
|
---|
9728 | shape (OrthoPolyLine
|
---|
9729 | uid 7145,0
|
---|
9730 | va (VaSet
|
---|
9731 | vasetType 3
|
---|
9732 | lineWidth 2
|
---|
9733 | )
|
---|
9734 | xt "171000,118000,176000,118000"
|
---|
9735 | pts [
|
---|
9736 | "171000,118000"
|
---|
9737 | "176000,118000"
|
---|
9738 | ]
|
---|
9739 | )
|
---|
9740 | start &102
|
---|
9741 | end &97
|
---|
9742 | sat 2
|
---|
9743 | eat 32
|
---|
9744 | sty 1
|
---|
9745 | st 0
|
---|
9746 | sf 1
|
---|
9747 | si 0
|
---|
9748 | tg (WTG
|
---|
9749 | uid 7148,0
|
---|
9750 | ps "ConnStartEndStrategy"
|
---|
9751 | stg "STSignalDisplayStrategy"
|
---|
9752 | f (Text
|
---|
9753 | uid 7149,0
|
---|
9754 | va (VaSet
|
---|
9755 | isHidden 1
|
---|
9756 | )
|
---|
9757 | xt "176000,130000,181300,131000"
|
---|
9758 | st "A1_T : (7:0)"
|
---|
9759 | blo "176000,130800"
|
---|
9760 | tm "WireNameMgr"
|
---|
9761 | )
|
---|
9762 | )
|
---|
9763 | on &98
|
---|
9764 | )
|
---|
9765 | *313 (Wire
|
---|
9766 | uid 9502,0
|
---|
9767 | shape (OrthoPolyLine
|
---|
9768 | uid 9503,0
|
---|
9769 | va (VaSet
|
---|
9770 | vasetType 3
|
---|
9771 | )
|
---|
9772 | xt "80750,116000,85000,116000"
|
---|
9773 | pts [
|
---|
9774 | "80750,116000"
|
---|
9775 | "85000,116000"
|
---|
9776 | ]
|
---|
9777 | )
|
---|
9778 | start &155
|
---|
9779 | sat 32
|
---|
9780 | eat 16
|
---|
9781 | st 0
|
---|
9782 | sf 1
|
---|
9783 | si 0
|
---|
9784 | tg (WTG
|
---|
9785 | uid 9506,0
|
---|
9786 | ps "ConnStartEndStrategy"
|
---|
9787 | stg "STSignalDisplayStrategy"
|
---|
9788 | f (Text
|
---|
9789 | uid 9507,0
|
---|
9790 | va (VaSet
|
---|
9791 | )
|
---|
9792 | xt "86000,115000,89300,116000"
|
---|
9793 | st "CLK_50"
|
---|
9794 | blo "86000,115800"
|
---|
9795 | tm "WireNameMgr"
|
---|
9796 | )
|
---|
9797 | )
|
---|
9798 | on &99
|
---|
9799 | )
|
---|
9800 | *314 (Wire
|
---|
9801 | uid 10302,0
|
---|
9802 | shape (OrthoPolyLine
|
---|
9803 | uid 10303,0
|
---|
9804 | va (VaSet
|
---|
9805 | vasetType 3
|
---|
9806 | lineWidth 2
|
---|
9807 | )
|
---|
9808 | xt "171000,117000,176000,117000"
|
---|
9809 | pts [
|
---|
9810 | "171000,117000"
|
---|
9811 | "176000,117000"
|
---|
9812 | ]
|
---|
9813 | )
|
---|
9814 | start &102
|
---|
9815 | end &100
|
---|
9816 | sat 2
|
---|
9817 | eat 32
|
---|
9818 | sty 1
|
---|
9819 | st 0
|
---|
9820 | sf 1
|
---|
9821 | si 0
|
---|
9822 | tg (WTG
|
---|
9823 | uid 10306,0
|
---|
9824 | ps "ConnStartEndStrategy"
|
---|
9825 | stg "STSignalDisplayStrategy"
|
---|
9826 | f (Text
|
---|
9827 | uid 10307,0
|
---|
9828 | va (VaSet
|
---|
9829 | isHidden 1
|
---|
9830 | )
|
---|
9831 | xt "172000,136000,177400,137000"
|
---|
9832 | st "A0_T : (7:0)"
|
---|
9833 | blo "172000,136800"
|
---|
9834 | tm "WireNameMgr"
|
---|
9835 | )
|
---|
9836 | )
|
---|
9837 | on &101
|
---|
9838 | )
|
---|
9839 | *315 (Wire
|
---|
9840 | uid 11514,0
|
---|
9841 | shape (OrthoPolyLine
|
---|
9842 | uid 11515,0
|
---|
9843 | va (VaSet
|
---|
9844 | vasetType 3
|
---|
9845 | )
|
---|
9846 | xt "80750,150000,85000,150000"
|
---|
9847 | pts [
|
---|
9848 | "85000,150000"
|
---|
9849 | "80750,150000"
|
---|
9850 | ]
|
---|
9851 | )
|
---|
9852 | start &108
|
---|
9853 | end &185
|
---|
9854 | es 0
|
---|
9855 | sat 32
|
---|
9856 | eat 32
|
---|
9857 | st 0
|
---|
9858 | sf 1
|
---|
9859 | si 0
|
---|
9860 | tg (WTG
|
---|
9861 | uid 11518,0
|
---|
9862 | ps "ConnStartEndStrategy"
|
---|
9863 | stg "STSignalDisplayStrategy"
|
---|
9864 | f (Text
|
---|
9865 | uid 11519,0
|
---|
9866 | va (VaSet
|
---|
9867 | isHidden 1
|
---|
9868 | )
|
---|
9869 | xt "86000,149000,92000,150000"
|
---|
9870 | st "RS485_E_DI"
|
---|
9871 | blo "86000,149800"
|
---|
9872 | tm "WireNameMgr"
|
---|
9873 | )
|
---|
9874 | )
|
---|
9875 | on &109
|
---|
9876 | )
|
---|
9877 | *316 (Wire
|
---|
9878 | uid 11528,0
|
---|
9879 | shape (OrthoPolyLine
|
---|
9880 | uid 11529,0
|
---|
9881 | va (VaSet
|
---|
9882 | vasetType 3
|
---|
9883 | )
|
---|
9884 | xt "80750,149000,85000,149000"
|
---|
9885 | pts [
|
---|
9886 | "80750,149000"
|
---|
9887 | "85000,149000"
|
---|
9888 | ]
|
---|
9889 | )
|
---|
9890 | start &187
|
---|
9891 | end &126
|
---|
9892 | ss 0
|
---|
9893 | sat 32
|
---|
9894 | eat 32
|
---|
9895 | st 0
|
---|
9896 | sf 1
|
---|
9897 | si 0
|
---|
9898 | tg (WTG
|
---|
9899 | uid 11532,0
|
---|
9900 | ps "ConnStartEndStrategy"
|
---|
9901 | stg "STSignalDisplayStrategy"
|
---|
9902 | f (Text
|
---|
9903 | uid 11533,0
|
---|
9904 | va (VaSet
|
---|
9905 | isHidden 1
|
---|
9906 | )
|
---|
9907 | xt "107000,148000,113200,149000"
|
---|
9908 | st "RS485_E_DO"
|
---|
9909 | blo "107000,148800"
|
---|
9910 | tm "WireNameMgr"
|
---|
9911 | )
|
---|
9912 | )
|
---|
9913 | on &110
|
---|
9914 | )
|
---|
9915 | *317 (Wire
|
---|
9916 | uid 12320,0
|
---|
9917 | shape (OrthoPolyLine
|
---|
9918 | uid 12321,0
|
---|
9919 | va (VaSet
|
---|
9920 | vasetType 3
|
---|
9921 | )
|
---|
9922 | xt "80750,140000,87000,140000"
|
---|
9923 | pts [
|
---|
9924 | "80750,140000"
|
---|
9925 | "87000,140000"
|
---|
9926 | ]
|
---|
9927 | )
|
---|
9928 | start &173
|
---|
9929 | end &111
|
---|
9930 | sat 32
|
---|
9931 | eat 32
|
---|
9932 | stc 0
|
---|
9933 | st 0
|
---|
9934 | sf 1
|
---|
9935 | si 0
|
---|
9936 | tg (WTG
|
---|
9937 | uid 12324,0
|
---|
9938 | ps "ConnStartEndStrategy"
|
---|
9939 | stg "STSignalDisplayStrategy"
|
---|
9940 | f (Text
|
---|
9941 | uid 12325,0
|
---|
9942 | va (VaSet
|
---|
9943 | isHidden 1
|
---|
9944 | )
|
---|
9945 | xt "82000,139000,84500,140000"
|
---|
9946 | st "SRIN"
|
---|
9947 | blo "82000,139800"
|
---|
9948 | tm "WireNameMgr"
|
---|
9949 | )
|
---|
9950 | )
|
---|
9951 | on &112
|
---|
9952 | )
|
---|
9953 | *318 (Wire
|
---|
9954 | uid 12545,0
|
---|
9955 | shape (OrthoPolyLine
|
---|
9956 | uid 12546,0
|
---|
9957 | va (VaSet
|
---|
9958 | vasetType 3
|
---|
9959 | )
|
---|
9960 | xt "80750,135000,87000,135000"
|
---|
9961 | pts [
|
---|
9962 | "80750,135000"
|
---|
9963 | "87000,135000"
|
---|
9964 | ]
|
---|
9965 | )
|
---|
9966 | start &175
|
---|
9967 | end &113
|
---|
9968 | ss 0
|
---|
9969 | sat 32
|
---|
9970 | eat 32
|
---|
9971 | st 0
|
---|
9972 | sf 1
|
---|
9973 | si 0
|
---|
9974 | tg (WTG
|
---|
9975 | uid 12549,0
|
---|
9976 | ps "ConnStartEndStrategy"
|
---|
9977 | stg "STSignalDisplayStrategy"
|
---|
9978 | f (Text
|
---|
9979 | uid 12550,0
|
---|
9980 | va (VaSet
|
---|
9981 | isHidden 1
|
---|
9982 | )
|
---|
9983 | xt "83000,134000,88200,135000"
|
---|
9984 | st "AMBER_LED"
|
---|
9985 | blo "83000,134800"
|
---|
9986 | tm "WireNameMgr"
|
---|
9987 | )
|
---|
9988 | )
|
---|
9989 | on &116
|
---|
9990 | )
|
---|
9991 | *319 (Wire
|
---|
9992 | uid 12559,0
|
---|
9993 | shape (OrthoPolyLine
|
---|
9994 | uid 12560,0
|
---|
9995 | va (VaSet
|
---|
9996 | vasetType 3
|
---|
9997 | )
|
---|
9998 | xt "80750,134000,87000,134000"
|
---|
9999 | pts [
|
---|
10000 | "80750,134000"
|
---|
10001 | "87000,134000"
|
---|
10002 | ]
|
---|
10003 | )
|
---|
10004 | start &174
|
---|
10005 | end &114
|
---|
10006 | ss 0
|
---|
10007 | sat 32
|
---|
10008 | eat 32
|
---|
10009 | st 0
|
---|
10010 | sf 1
|
---|
10011 | si 0
|
---|
10012 | tg (WTG
|
---|
10013 | uid 12563,0
|
---|
10014 | ps "ConnStartEndStrategy"
|
---|
10015 | stg "STSignalDisplayStrategy"
|
---|
10016 | f (Text
|
---|
10017 | uid 12564,0
|
---|
10018 | va (VaSet
|
---|
10019 | isHidden 1
|
---|
10020 | )
|
---|
10021 | xt "83000,133000,88000,134000"
|
---|
10022 | st "GREEN_LED"
|
---|
10023 | blo "83000,133800"
|
---|
10024 | tm "WireNameMgr"
|
---|
10025 | )
|
---|
10026 | )
|
---|
10027 | on &117
|
---|
10028 | )
|
---|
10029 | *320 (Wire
|
---|
10030 | uid 12573,0
|
---|
10031 | shape (OrthoPolyLine
|
---|
10032 | uid 12574,0
|
---|
10033 | va (VaSet
|
---|
10034 | vasetType 3
|
---|
10035 | )
|
---|
10036 | xt "80750,136000,87000,136000"
|
---|
10037 | pts [
|
---|
10038 | "80750,136000"
|
---|
10039 | "87000,136000"
|
---|
10040 | ]
|
---|
10041 | )
|
---|
10042 | start &176
|
---|
10043 | end &115
|
---|
10044 | ss 0
|
---|
10045 | sat 32
|
---|
10046 | eat 32
|
---|
10047 | st 0
|
---|
10048 | sf 1
|
---|
10049 | si 0
|
---|
10050 | tg (WTG
|
---|
10051 | uid 12577,0
|
---|
10052 | ps "ConnStartEndStrategy"
|
---|
10053 | stg "STSignalDisplayStrategy"
|
---|
10054 | f (Text
|
---|
10055 | uid 12578,0
|
---|
10056 | va (VaSet
|
---|
10057 | isHidden 1
|
---|
10058 | )
|
---|
10059 | xt "83000,143000,87000,144000"
|
---|
10060 | st "RED_LED"
|
---|
10061 | blo "83000,143800"
|
---|
10062 | tm "WireNameMgr"
|
---|
10063 | )
|
---|
10064 | )
|
---|
10065 | on &118
|
---|
10066 | )
|
---|
10067 | *321 (Wire
|
---|
10068 | uid 13522,0
|
---|
10069 | shape (OrthoPolyLine
|
---|
10070 | uid 13523,0
|
---|
10071 | va (VaSet
|
---|
10072 | vasetType 3
|
---|
10073 | lineWidth 2
|
---|
10074 | )
|
---|
10075 | xt "22000,81000,28000,81000"
|
---|
10076 | pts [
|
---|
10077 | "22000,81000"
|
---|
10078 | "28000,81000"
|
---|
10079 | ]
|
---|
10080 | )
|
---|
10081 | start &119
|
---|
10082 | end &14
|
---|
10083 | sat 32
|
---|
10084 | eat 1
|
---|
10085 | sty 1
|
---|
10086 | st 0
|
---|
10087 | sf 1
|
---|
10088 | si 0
|
---|
10089 | tg (WTG
|
---|
10090 | uid 13526,0
|
---|
10091 | ps "ConnStartEndStrategy"
|
---|
10092 | stg "STSignalDisplayStrategy"
|
---|
10093 | f (Text
|
---|
10094 | uid 13527,0
|
---|
10095 | va (VaSet
|
---|
10096 | )
|
---|
10097 | xt "22000,80000,27200,81000"
|
---|
10098 | st "LINE : (5:0)"
|
---|
10099 | blo "22000,80800"
|
---|
10100 | tm "WireNameMgr"
|
---|
10101 | )
|
---|
10102 | )
|
---|
10103 | on &120
|
---|
10104 | )
|
---|
10105 | *322 (Wire
|
---|
10106 | uid 13618,0
|
---|
10107 | shape (OrthoPolyLine
|
---|
10108 | uid 13619,0
|
---|
10109 | va (VaSet
|
---|
10110 | vasetType 3
|
---|
10111 | lineWidth 2
|
---|
10112 | )
|
---|
10113 | xt "171000,125000,176000,125000"
|
---|
10114 | pts [
|
---|
10115 | "171000,125000"
|
---|
10116 | "176000,125000"
|
---|
10117 | ]
|
---|
10118 | )
|
---|
10119 | start &102
|
---|
10120 | end &95
|
---|
10121 | sat 2
|
---|
10122 | eat 32
|
---|
10123 | sty 1
|
---|
10124 | st 0
|
---|
10125 | sf 1
|
---|
10126 | si 0
|
---|
10127 | tg (WTG
|
---|
10128 | uid 13624,0
|
---|
10129 | ps "ConnStartEndStrategy"
|
---|
10130 | stg "STSignalDisplayStrategy"
|
---|
10131 | f (Text
|
---|
10132 | uid 13625,0
|
---|
10133 | va (VaSet
|
---|
10134 | isHidden 1
|
---|
10135 | )
|
---|
10136 | xt "173000,130000,178300,131000"
|
---|
10137 | st "D_T2 : (1:0)"
|
---|
10138 | blo "173000,130800"
|
---|
10139 | tm "WireNameMgr"
|
---|
10140 | )
|
---|
10141 | )
|
---|
10142 | on &96
|
---|
10143 | )
|
---|
10144 | *323 (Wire
|
---|
10145 | uid 13634,0
|
---|
10146 | shape (OrthoPolyLine
|
---|
10147 | uid 13635,0
|
---|
10148 | va (VaSet
|
---|
10149 | vasetType 3
|
---|
10150 | )
|
---|
10151 | xt "49000,133000,51250,133000"
|
---|
10152 | pts [
|
---|
10153 | "49000,133000"
|
---|
10154 | "51250,133000"
|
---|
10155 | ]
|
---|
10156 | )
|
---|
10157 | start &121
|
---|
10158 | end &178
|
---|
10159 | sat 32
|
---|
10160 | eat 32
|
---|
10161 | st 0
|
---|
10162 | sf 1
|
---|
10163 | si 0
|
---|
10164 | tg (WTG
|
---|
10165 | uid 13638,0
|
---|
10166 | ps "ConnStartEndStrategy"
|
---|
10167 | stg "STSignalDisplayStrategy"
|
---|
10168 | f (Text
|
---|
10169 | uid 13639,0
|
---|
10170 | va (VaSet
|
---|
10171 | isHidden 1
|
---|
10172 | )
|
---|
10173 | xt "51000,141000,54300,142000"
|
---|
10174 | st "REFCLK"
|
---|
10175 | blo "51000,141800"
|
---|
10176 | tm "WireNameMgr"
|
---|
10177 | )
|
---|
10178 | )
|
---|
10179 | on &122
|
---|
10180 | )
|
---|
10181 | *324 (Wire
|
---|
10182 | uid 13658,0
|
---|
10183 | shape (OrthoPolyLine
|
---|
10184 | uid 13659,0
|
---|
10185 | va (VaSet
|
---|
10186 | vasetType 3
|
---|
10187 | )
|
---|
10188 | xt "80750,147000,85000,147000"
|
---|
10189 | pts [
|
---|
10190 | "80750,147000"
|
---|
10191 | "85000,147000"
|
---|
10192 | ]
|
---|
10193 | )
|
---|
10194 | start &188
|
---|
10195 | end &84
|
---|
10196 | ss 0
|
---|
10197 | sat 32
|
---|
10198 | eat 32
|
---|
10199 | st 0
|
---|
10200 | sf 1
|
---|
10201 | si 0
|
---|
10202 | tg (WTG
|
---|
10203 | uid 13664,0
|
---|
10204 | ps "ConnStartEndStrategy"
|
---|
10205 | stg "STSignalDisplayStrategy"
|
---|
10206 | f (Text
|
---|
10207 | uid 13665,0
|
---|
10208 | va (VaSet
|
---|
10209 | isHidden 1
|
---|
10210 | )
|
---|
10211 | xt "84000,145000,90100,146000"
|
---|
10212 | st "RS485_E_DE"
|
---|
10213 | blo "84000,145800"
|
---|
10214 | tm "WireNameMgr"
|
---|
10215 | )
|
---|
10216 | )
|
---|
10217 | on &90
|
---|
10218 | )
|
---|
10219 | *325 (Wire
|
---|
10220 | uid 14328,0
|
---|
10221 | shape (OrthoPolyLine
|
---|
10222 | uid 14329,0
|
---|
10223 | va (VaSet
|
---|
10224 | vasetType 3
|
---|
10225 | lineWidth 2
|
---|
10226 | )
|
---|
10227 | xt "49000,132000,51250,132000"
|
---|
10228 | pts [
|
---|
10229 | "49000,132000"
|
---|
10230 | "51250,132000"
|
---|
10231 | ]
|
---|
10232 | )
|
---|
10233 | start &123
|
---|
10234 | end &177
|
---|
10235 | sat 32
|
---|
10236 | eat 32
|
---|
10237 | sty 1
|
---|
10238 | st 0
|
---|
10239 | sf 1
|
---|
10240 | si 0
|
---|
10241 | tg (WTG
|
---|
10242 | uid 14332,0
|
---|
10243 | ps "ConnStartEndStrategy"
|
---|
10244 | stg "STSignalDisplayStrategy"
|
---|
10245 | f (Text
|
---|
10246 | uid 14333,0
|
---|
10247 | va (VaSet
|
---|
10248 | isHidden 1
|
---|
10249 | )
|
---|
10250 | xt "52000,138000,57900,139000"
|
---|
10251 | st "D_T_in : (1:0)"
|
---|
10252 | blo "52000,138800"
|
---|
10253 | tm "WireNameMgr"
|
---|
10254 | )
|
---|
10255 | )
|
---|
10256 | on &124
|
---|
10257 | )
|
---|
10258 | *326 (Wire
|
---|
10259 | uid 15175,0
|
---|
10260 | shape (OrthoPolyLine
|
---|
10261 | uid 15176,0
|
---|
10262 | va (VaSet
|
---|
10263 | vasetType 3
|
---|
10264 | lineWidth 2
|
---|
10265 | )
|
---|
10266 | xt "80750,120000,87000,120000"
|
---|
10267 | pts [
|
---|
10268 | "80750,120000"
|
---|
10269 | "87000,120000"
|
---|
10270 | ]
|
---|
10271 | )
|
---|
10272 | start &143
|
---|
10273 | sat 32
|
---|
10274 | eat 16
|
---|
10275 | sty 1
|
---|
10276 | st 0
|
---|
10277 | sf 1
|
---|
10278 | si 0
|
---|
10279 | tg (WTG
|
---|
10280 | uid 15179,0
|
---|
10281 | ps "ConnStartEndStrategy"
|
---|
10282 | stg "STSignalDisplayStrategy"
|
---|
10283 | f (Text
|
---|
10284 | uid 15180,0
|
---|
10285 | va (VaSet
|
---|
10286 | )
|
---|
10287 | xt "82000,119000,86400,120000"
|
---|
10288 | st "led : (7:0)"
|
---|
10289 | blo "82000,119800"
|
---|
10290 | tm "WireNameMgr"
|
---|
10291 | )
|
---|
10292 | )
|
---|
10293 | on &125
|
---|
10294 | )
|
---|
10295 | *327 (Wire
|
---|
10296 | uid 15517,0
|
---|
10297 | shape (OrthoPolyLine
|
---|
10298 | uid 15518,0
|
---|
10299 | va (VaSet
|
---|
10300 | vasetType 3
|
---|
10301 | )
|
---|
10302 | xt "171000,128000,176000,128000"
|
---|
10303 | pts [
|
---|
10304 | "171000,128000"
|
---|
10305 | "176000,128000"
|
---|
10306 | ]
|
---|
10307 | )
|
---|
10308 | start &102
|
---|
10309 | end &81
|
---|
10310 | sat 2
|
---|
10311 | eat 32
|
---|
10312 | st 0
|
---|
10313 | sf 1
|
---|
10314 | si 0
|
---|
10315 | tg (WTG
|
---|
10316 | uid 15523,0
|
---|
10317 | ps "ConnStartEndStrategy"
|
---|
10318 | stg "STSignalDisplayStrategy"
|
---|
10319 | f (Text
|
---|
10320 | uid 15524,0
|
---|
10321 | va (VaSet
|
---|
10322 | isHidden 1
|
---|
10323 | )
|
---|
10324 | xt "173000,127000,179100,128000"
|
---|
10325 | st "RS485_C_DE"
|
---|
10326 | blo "173000,127800"
|
---|
10327 | tm "WireNameMgr"
|
---|
10328 | )
|
---|
10329 | )
|
---|
10330 | on &88
|
---|
10331 | )
|
---|
10332 | *328 (Wire
|
---|
10333 | uid 15525,0
|
---|
10334 | shape (OrthoPolyLine
|
---|
10335 | uid 15526,0
|
---|
10336 | va (VaSet
|
---|
10337 | vasetType 3
|
---|
10338 | )
|
---|
10339 | xt "171000,129000,176000,129000"
|
---|
10340 | pts [
|
---|
10341 | "171000,129000"
|
---|
10342 | "176000,129000"
|
---|
10343 | ]
|
---|
10344 | )
|
---|
10345 | start &102
|
---|
10346 | end &82
|
---|
10347 | sat 2
|
---|
10348 | eat 32
|
---|
10349 | st 0
|
---|
10350 | sf 1
|
---|
10351 | si 0
|
---|
10352 | tg (WTG
|
---|
10353 | uid 15531,0
|
---|
10354 | ps "ConnStartEndStrategy"
|
---|
10355 | stg "STSignalDisplayStrategy"
|
---|
10356 | f (Text
|
---|
10357 | uid 15532,0
|
---|
10358 | va (VaSet
|
---|
10359 | isHidden 1
|
---|
10360 | )
|
---|
10361 | xt "173000,128000,179200,129000"
|
---|
10362 | st "RS485_C_DO"
|
---|
10363 | blo "173000,128800"
|
---|
10364 | tm "WireNameMgr"
|
---|
10365 | )
|
---|
10366 | )
|
---|
10367 | on &107
|
---|
10368 | )
|
---|
10369 | *329 (Wire
|
---|
10370 | uid 15533,0
|
---|
10371 | shape (OrthoPolyLine
|
---|
10372 | uid 15534,0
|
---|
10373 | va (VaSet
|
---|
10374 | vasetType 3
|
---|
10375 | )
|
---|
10376 | xt "171000,130000,176000,130000"
|
---|
10377 | pts [
|
---|
10378 | "171000,130000"
|
---|
10379 | "176000,130000"
|
---|
10380 | ]
|
---|
10381 | )
|
---|
10382 | start &102
|
---|
10383 | end &106
|
---|
10384 | sat 2
|
---|
10385 | eat 32
|
---|
10386 | st 0
|
---|
10387 | sf 1
|
---|
10388 | si 0
|
---|
10389 | tg (WTG
|
---|
10390 | uid 15539,0
|
---|
10391 | ps "ConnStartEndStrategy"
|
---|
10392 | stg "STSignalDisplayStrategy"
|
---|
10393 | f (Text
|
---|
10394 | uid 15540,0
|
---|
10395 | va (VaSet
|
---|
10396 | isHidden 1
|
---|
10397 | )
|
---|
10398 | xt "173000,129000,179000,130000"
|
---|
10399 | st "RS485_C_RE"
|
---|
10400 | blo "173000,129800"
|
---|
10401 | tm "WireNameMgr"
|
---|
10402 | )
|
---|
10403 | )
|
---|
10404 | on &87
|
---|
10405 | )
|
---|
10406 | *330 (Wire
|
---|
10407 | uid 15563,0
|
---|
10408 | shape (OrthoPolyLine
|
---|
10409 | uid 15564,0
|
---|
10410 | va (VaSet
|
---|
10411 | vasetType 3
|
---|
10412 | )
|
---|
10413 | xt "80750,148000,85000,148000"
|
---|
10414 | pts [
|
---|
10415 | "80750,148000"
|
---|
10416 | "85000,148000"
|
---|
10417 | ]
|
---|
10418 | )
|
---|
10419 | start &186
|
---|
10420 | end &83
|
---|
10421 | ss 0
|
---|
10422 | sat 32
|
---|
10423 | eat 32
|
---|
10424 | st 0
|
---|
10425 | sf 1
|
---|
10426 | si 0
|
---|
10427 | tg (WTG
|
---|
10428 | uid 15569,0
|
---|
10429 | ps "ConnStartEndStrategy"
|
---|
10430 | stg "STSignalDisplayStrategy"
|
---|
10431 | f (Text
|
---|
10432 | uid 15570,0
|
---|
10433 | va (VaSet
|
---|
10434 | isHidden 1
|
---|
10435 | )
|
---|
10436 | xt "83000,147000,89000,148000"
|
---|
10437 | st "RS485_E_RE"
|
---|
10438 | blo "83000,147800"
|
---|
10439 | tm "WireNameMgr"
|
---|
10440 | )
|
---|
10441 | )
|
---|
10442 | on &89
|
---|
10443 | )
|
---|
10444 | *331 (Wire
|
---|
10445 | uid 15712,0
|
---|
10446 | shape (OrthoPolyLine
|
---|
10447 | uid 15713,0
|
---|
10448 | va (VaSet
|
---|
10449 | vasetType 3
|
---|
10450 | lineWidth 2
|
---|
10451 | )
|
---|
10452 | xt "49000,137000,51250,137000"
|
---|
10453 | pts [
|
---|
10454 | "49000,137000"
|
---|
10455 | "51250,137000"
|
---|
10456 | ]
|
---|
10457 | )
|
---|
10458 | start &127
|
---|
10459 | end &179
|
---|
10460 | sat 32
|
---|
10461 | eat 32
|
---|
10462 | sty 1
|
---|
10463 | st 0
|
---|
10464 | sf 1
|
---|
10465 | si 0
|
---|
10466 | tg (WTG
|
---|
10467 | uid 15716,0
|
---|
10468 | ps "ConnStartEndStrategy"
|
---|
10469 | stg "STSignalDisplayStrategy"
|
---|
10470 | f (Text
|
---|
10471 | uid 15717,0
|
---|
10472 | va (VaSet
|
---|
10473 | isHidden 1
|
---|
10474 | )
|
---|
10475 | xt "51000,136000,58000,137000"
|
---|
10476 | st "D_PLLLCK : (3:0)"
|
---|
10477 | blo "51000,136800"
|
---|
10478 | tm "WireNameMgr"
|
---|
10479 | )
|
---|
10480 | )
|
---|
10481 | on &128
|
---|
10482 | )
|
---|
10483 | *332 (Wire
|
---|
10484 | uid 15851,0
|
---|
10485 | shape (OrthoPolyLine
|
---|
10486 | uid 15852,0
|
---|
10487 | va (VaSet
|
---|
10488 | vasetType 3
|
---|
10489 | lineWidth 2
|
---|
10490 | )
|
---|
10491 | xt "85000,88000,95000,90000"
|
---|
10492 | pts [
|
---|
10493 | "85000,90000"
|
---|
10494 | "95000,88000"
|
---|
10495 | ]
|
---|
10496 | )
|
---|
10497 | start &225
|
---|
10498 | end &129
|
---|
10499 | ss 0
|
---|
10500 | sat 32
|
---|
10501 | eat 32
|
---|
10502 | sty 1
|
---|
10503 | st 0
|
---|
10504 | sf 1
|
---|
10505 | si 0
|
---|
10506 | tg (WTG
|
---|
10507 | uid 15855,0
|
---|
10508 | ps "ConnStartEndStrategy"
|
---|
10509 | stg "STSignalDisplayStrategy"
|
---|
10510 | f (Text
|
---|
10511 | uid 15856,0
|
---|
10512 | va (VaSet
|
---|
10513 | isHidden 1
|
---|
10514 | )
|
---|
10515 | xt "87000,89000,91900,90000"
|
---|
10516 | st "TCS : (3:0)"
|
---|
10517 | blo "87000,89800"
|
---|
10518 | tm "WireNameMgr"
|
---|
10519 | )
|
---|
10520 | )
|
---|
10521 | on &130
|
---|
10522 | )
|
---|
10523 | *333 (Wire
|
---|
10524 | uid 16063,0
|
---|
10525 | shape (OrthoPolyLine
|
---|
10526 | uid 16064,0
|
---|
10527 | va (VaSet
|
---|
10528 | vasetType 3
|
---|
10529 | lineWidth 2
|
---|
10530 | )
|
---|
10531 | xt "21000,113000,30000,113000"
|
---|
10532 | pts [
|
---|
10533 | "30000,113000"
|
---|
10534 | "21000,113000"
|
---|
10535 | ]
|
---|
10536 | )
|
---|
10537 | start &134
|
---|
10538 | end &131
|
---|
10539 | sat 2
|
---|
10540 | eat 32
|
---|
10541 | sty 1
|
---|
10542 | st 0
|
---|
10543 | sf 1
|
---|
10544 | si 0
|
---|
10545 | tg (WTG
|
---|
10546 | uid 16067,0
|
---|
10547 | ps "ConnStartEndStrategy"
|
---|
10548 | stg "STSignalDisplayStrategy"
|
---|
10549 | f (Text
|
---|
10550 | uid 16068,0
|
---|
10551 | va (VaSet
|
---|
10552 | isHidden 1
|
---|
10553 | )
|
---|
10554 | xt "24000,112000,30400,113000"
|
---|
10555 | st "DSRCLK : (3:0)"
|
---|
10556 | blo "24000,112800"
|
---|
10557 | tm "WireNameMgr"
|
---|
10558 | )
|
---|
10559 | )
|
---|
10560 | on &132
|
---|
10561 | )
|
---|
10562 | *334 (Wire
|
---|
10563 | uid 16247,0
|
---|
10564 | shape (OrthoPolyLine
|
---|
10565 | uid 16248,0
|
---|
10566 | va (VaSet
|
---|
10567 | vasetType 3
|
---|
10568 | )
|
---|
10569 | xt "34000,113000,51250,113000"
|
---|
10570 | pts [
|
---|
10571 | "51250,113000"
|
---|
10572 | "34000,113000"
|
---|
10573 | ]
|
---|
10574 | )
|
---|
10575 | start &166
|
---|
10576 | end &134
|
---|
10577 | sat 32
|
---|
10578 | eat 1
|
---|
10579 | st 0
|
---|
10580 | sf 1
|
---|
10581 | si 0
|
---|
10582 | tg (WTG
|
---|
10583 | uid 16251,0
|
---|
10584 | ps "ConnStartEndStrategy"
|
---|
10585 | stg "STSignalDisplayStrategy"
|
---|
10586 | f (Text
|
---|
10587 | uid 16252,0
|
---|
10588 | va (VaSet
|
---|
10589 | )
|
---|
10590 | xt "35000,112000,37900,113000"
|
---|
10591 | st "SRCLK"
|
---|
10592 | blo "35000,112800"
|
---|
10593 | tm "WireNameMgr"
|
---|
10594 | )
|
---|
10595 | )
|
---|
10596 | on &133
|
---|
10597 | )
|
---|
10598 | *335 (Wire
|
---|
10599 | uid 16538,0
|
---|
10600 | shape (OrthoPolyLine
|
---|
10601 | uid 16539,0
|
---|
10602 | va (VaSet
|
---|
10603 | vasetType 3
|
---|
10604 | )
|
---|
10605 | xt "80750,130000,92000,130000"
|
---|
10606 | pts [
|
---|
10607 | "80750,130000"
|
---|
10608 | "92000,130000"
|
---|
10609 | ]
|
---|
10610 | )
|
---|
10611 | start &181
|
---|
10612 | sat 32
|
---|
10613 | eat 16
|
---|
10614 | st 0
|
---|
10615 | sf 1
|
---|
10616 | si 0
|
---|
10617 | tg (WTG
|
---|
10618 | uid 16542,0
|
---|
10619 | ps "ConnStartEndStrategy"
|
---|
10620 | stg "STSignalDisplayStrategy"
|
---|
10621 | f (Text
|
---|
10622 | uid 16543,0
|
---|
10623 | va (VaSet
|
---|
10624 | )
|
---|
10625 | xt "82000,129000,92000,130000"
|
---|
10626 | st "alarm_refclk_too_high"
|
---|
10627 | blo "82000,129800"
|
---|
10628 | tm "WireNameMgr"
|
---|
10629 | )
|
---|
10630 | )
|
---|
10631 | on &138
|
---|
10632 | )
|
---|
10633 | *336 (Wire
|
---|
10634 | uid 16546,0
|
---|
10635 | shape (OrthoPolyLine
|
---|
10636 | uid 16547,0
|
---|
10637 | va (VaSet
|
---|
10638 | vasetType 3
|
---|
10639 | )
|
---|
10640 | xt "80750,131000,91000,131000"
|
---|
10641 | pts [
|
---|
10642 | "80750,131000"
|
---|
10643 | "91000,131000"
|
---|
10644 | ]
|
---|
10645 | )
|
---|
10646 | start &182
|
---|
10647 | sat 32
|
---|
10648 | eat 16
|
---|
10649 | st 0
|
---|
10650 | sf 1
|
---|
10651 | si 0
|
---|
10652 | tg (WTG
|
---|
10653 | uid 16550,0
|
---|
10654 | ps "ConnStartEndStrategy"
|
---|
10655 | stg "STSignalDisplayStrategy"
|
---|
10656 | f (Text
|
---|
10657 | uid 16551,0
|
---|
10658 | va (VaSet
|
---|
10659 | )
|
---|
10660 | xt "82000,130000,91600,131000"
|
---|
10661 | st "alarm_refclk_too_low"
|
---|
10662 | blo "82000,130800"
|
---|
10663 | tm "WireNameMgr"
|
---|
10664 | )
|
---|
10665 | )
|
---|
10666 | on &139
|
---|
10667 | )
|
---|
10668 | *337 (Wire
|
---|
10669 | uid 16576,0
|
---|
10670 | shape (OrthoPolyLine
|
---|
10671 | uid 16577,0
|
---|
10672 | va (VaSet
|
---|
10673 | vasetType 3
|
---|
10674 | lineWidth 2
|
---|
10675 | )
|
---|
10676 | xt "80750,132000,92000,132000"
|
---|
10677 | pts [
|
---|
10678 | "80750,132000"
|
---|
10679 | "92000,132000"
|
---|
10680 | ]
|
---|
10681 | )
|
---|
10682 | start &180
|
---|
10683 | sat 32
|
---|
10684 | eat 16
|
---|
10685 | sty 1
|
---|
10686 | st 0
|
---|
10687 | sf 1
|
---|
10688 | si 0
|
---|
10689 | tg (WTG
|
---|
10690 | uid 16580,0
|
---|
10691 | ps "ConnStartEndStrategy"
|
---|
10692 | stg "STSignalDisplayStrategy"
|
---|
10693 | f (Text
|
---|
10694 | uid 16581,0
|
---|
10695 | va (VaSet
|
---|
10696 | )
|
---|
10697 | xt "82000,131000,91600,132000"
|
---|
10698 | st "counter_result : (11:0)"
|
---|
10699 | blo "82000,131800"
|
---|
10700 | tm "WireNameMgr"
|
---|
10701 | )
|
---|
10702 | )
|
---|
10703 | on &140
|
---|
10704 | )
|
---|
10705 | *338 (Wire
|
---|
10706 | uid 17296,0
|
---|
10707 | shape (OrthoPolyLine
|
---|
10708 | uid 17297,0
|
---|
10709 | va (VaSet
|
---|
10710 | vasetType 3
|
---|
10711 | )
|
---|
10712 | xt "13000,71000,51250,71000"
|
---|
10713 | pts [
|
---|
10714 | "51250,71000"
|
---|
10715 | "13000,71000"
|
---|
10716 | ]
|
---|
10717 | )
|
---|
10718 | start &183
|
---|
10719 | end &34
|
---|
10720 | sat 32
|
---|
10721 | eat 1
|
---|
10722 | st 0
|
---|
10723 | sf 1
|
---|
10724 | si 0
|
---|
10725 | tg (WTG
|
---|
10726 | uid 17300,0
|
---|
10727 | ps "ConnStartEndStrategy"
|
---|
10728 | stg "STSignalDisplayStrategy"
|
---|
10729 | f (Text
|
---|
10730 | uid 17301,0
|
---|
10731 | va (VaSet
|
---|
10732 | )
|
---|
10733 | xt "14000,70000,18000,71000"
|
---|
10734 | st "ADC_CLK"
|
---|
10735 | blo "14000,70800"
|
---|
10736 | tm "WireNameMgr"
|
---|
10737 | )
|
---|
10738 | )
|
---|
10739 | on &198
|
---|
10740 | )
|
---|
10741 | *339 (Wire
|
---|
10742 | uid 17407,0
|
---|
10743 | shape (OrthoPolyLine
|
---|
10744 | uid 17408,0
|
---|
10745 | va (VaSet
|
---|
10746 | vasetType 3
|
---|
10747 | )
|
---|
10748 | xt "108000,137000,112000,144000"
|
---|
10749 | pts [
|
---|
10750 | "108000,137000"
|
---|
10751 | "112000,144000"
|
---|
10752 | ]
|
---|
10753 | )
|
---|
10754 | start &268
|
---|
10755 | end &199
|
---|
10756 | ss 0
|
---|
10757 | sat 32
|
---|
10758 | eat 32
|
---|
10759 | st 0
|
---|
10760 | sf 1
|
---|
10761 | si 0
|
---|
10762 | tg (WTG
|
---|
10763 | uid 17411,0
|
---|
10764 | ps "ConnStartEndStrategy"
|
---|
10765 | stg "STSignalDisplayStrategy"
|
---|
10766 | f (Text
|
---|
10767 | uid 17412,0
|
---|
10768 | va (VaSet
|
---|
10769 | isHidden 1
|
---|
10770 | )
|
---|
10771 | xt "110000,136000,112900,137000"
|
---|
10772 | st "TRG_V"
|
---|
10773 | blo "110000,136800"
|
---|
10774 | tm "WireNameMgr"
|
---|
10775 | )
|
---|
10776 | )
|
---|
10777 | on &200
|
---|
10778 | )
|
---|
10779 | *340 (Wire
|
---|
10780 | uid 17848,0
|
---|
10781 | shape (OrthoPolyLine
|
---|
10782 | uid 17849,0
|
---|
10783 | va (VaSet
|
---|
10784 | vasetType 3
|
---|
10785 | lineWidth 2
|
---|
10786 | )
|
---|
10787 | xt "80750,106000,91000,106000"
|
---|
10788 | pts [
|
---|
10789 | "80750,106000"
|
---|
10790 | "91000,106000"
|
---|
10791 | ]
|
---|
10792 | )
|
---|
10793 | start &189
|
---|
10794 | sat 32
|
---|
10795 | eat 16
|
---|
10796 | sty 1
|
---|
10797 | st 0
|
---|
10798 | sf 1
|
---|
10799 | si 0
|
---|
10800 | tg (WTG
|
---|
10801 | uid 17852,0
|
---|
10802 | ps "ConnStartEndStrategy"
|
---|
10803 | stg "STSignalDisplayStrategy"
|
---|
10804 | f (Text
|
---|
10805 | uid 17853,0
|
---|
10806 | va (VaSet
|
---|
10807 | )
|
---|
10808 | xt "82000,105000,90400,106000"
|
---|
10809 | st "w5300_state : (7:0)"
|
---|
10810 | blo "82000,105800"
|
---|
10811 | tm "WireNameMgr"
|
---|
10812 | )
|
---|
10813 | )
|
---|
10814 | on &201
|
---|
10815 | )
|
---|
10816 | *341 (Wire
|
---|
10817 | uid 17856,0
|
---|
10818 | shape (OrthoPolyLine
|
---|
10819 | uid 17857,0
|
---|
10820 | va (VaSet
|
---|
10821 | vasetType 3
|
---|
10822 | lineWidth 2
|
---|
10823 | )
|
---|
10824 | xt "153000,115000,165000,115000"
|
---|
10825 | pts [
|
---|
10826 | "153000,115000"
|
---|
10827 | "165000,115000"
|
---|
10828 | ]
|
---|
10829 | )
|
---|
10830 | end &102
|
---|
10831 | sat 16
|
---|
10832 | eat 1
|
---|
10833 | sty 1
|
---|
10834 | st 0
|
---|
10835 | sf 1
|
---|
10836 | si 0
|
---|
10837 | tg (WTG
|
---|
10838 | uid 17862,0
|
---|
10839 | ps "ConnStartEndStrategy"
|
---|
10840 | stg "STSignalDisplayStrategy"
|
---|
10841 | f (Text
|
---|
10842 | uid 17863,0
|
---|
10843 | va (VaSet
|
---|
10844 | )
|
---|
10845 | xt "157000,114000,165400,115000"
|
---|
10846 | st "w5300_state : (7:0)"
|
---|
10847 | blo "157000,114800"
|
---|
10848 | tm "WireNameMgr"
|
---|
10849 | )
|
---|
10850 | )
|
---|
10851 | on &201
|
---|
10852 | )
|
---|
10853 | *342 (Wire
|
---|
10854 | uid 18068,0
|
---|
10855 | shape (OrthoPolyLine
|
---|
10856 | uid 18069,0
|
---|
10857 | va (VaSet
|
---|
10858 | vasetType 3
|
---|
10859 | )
|
---|
10860 | xt "80750,107000,93000,107000"
|
---|
10861 | pts [
|
---|
10862 | "80750,107000"
|
---|
10863 | "93000,107000"
|
---|
10864 | ]
|
---|
10865 | )
|
---|
10866 | start &190
|
---|
10867 | sat 32
|
---|
10868 | eat 16
|
---|
10869 | st 0
|
---|
10870 | sf 1
|
---|
10871 | si 0
|
---|
10872 | tg (WTG
|
---|
10873 | uid 18072,0
|
---|
10874 | ps "ConnStartEndStrategy"
|
---|
10875 | stg "STSignalDisplayStrategy"
|
---|
10876 | f (Text
|
---|
10877 | uid 18073,0
|
---|
10878 | va (VaSet
|
---|
10879 | )
|
---|
10880 | xt "82000,106000,92400,107000"
|
---|
10881 | st "debug_data_ram_empty"
|
---|
10882 | blo "82000,106800"
|
---|
10883 | tm "WireNameMgr"
|
---|
10884 | )
|
---|
10885 | )
|
---|
10886 | on &202
|
---|
10887 | )
|
---|
10888 | *343 (Wire
|
---|
10889 | uid 18076,0
|
---|
10890 | shape (OrthoPolyLine
|
---|
10891 | uid 18077,0
|
---|
10892 | va (VaSet
|
---|
10893 | vasetType 3
|
---|
10894 | )
|
---|
10895 | xt "80750,108000,91000,108000"
|
---|
10896 | pts [
|
---|
10897 | "80750,108000"
|
---|
10898 | "91000,108000"
|
---|
10899 | ]
|
---|
10900 | )
|
---|
10901 | start &191
|
---|
10902 | sat 32
|
---|
10903 | eat 16
|
---|
10904 | st 0
|
---|
10905 | sf 1
|
---|
10906 | si 0
|
---|
10907 | tg (WTG
|
---|
10908 | uid 18080,0
|
---|
10909 | ps "ConnStartEndStrategy"
|
---|
10910 | stg "STSignalDisplayStrategy"
|
---|
10911 | f (Text
|
---|
10912 | uid 18081,0
|
---|
10913 | va (VaSet
|
---|
10914 | )
|
---|
10915 | xt "82000,107000,89500,108000"
|
---|
10916 | st "debug_data_valid"
|
---|
10917 | blo "82000,107800"
|
---|
10918 | tm "WireNameMgr"
|
---|
10919 | )
|
---|
10920 | )
|
---|
10921 | on &203
|
---|
10922 | )
|
---|
10923 | *344 (Wire
|
---|
10924 | uid 18207,0
|
---|
10925 | shape (OrthoPolyLine
|
---|
10926 | uid 18208,0
|
---|
10927 | va (VaSet
|
---|
10928 | vasetType 3
|
---|
10929 | lineWidth 2
|
---|
10930 | )
|
---|
10931 | xt "80750,105000,94000,105000"
|
---|
10932 | pts [
|
---|
10933 | "80750,105000"
|
---|
10934 | "94000,105000"
|
---|
10935 | ]
|
---|
10936 | )
|
---|
10937 | start &192
|
---|
10938 | sat 32
|
---|
10939 | eat 16
|
---|
10940 | sty 1
|
---|
10941 | st 0
|
---|
10942 | sf 1
|
---|
10943 | si 0
|
---|
10944 | tg (WTG
|
---|
10945 | uid 18211,0
|
---|
10946 | ps "ConnStartEndStrategy"
|
---|
10947 | stg "STSignalDisplayStrategy"
|
---|
10948 | f (Text
|
---|
10949 | uid 18212,0
|
---|
10950 | va (VaSet
|
---|
10951 | )
|
---|
10952 | xt "82000,104000,93400,105000"
|
---|
10953 | st "mem_manager_state : (3:0)"
|
---|
10954 | blo "82000,104800"
|
---|
10955 | tm "WireNameMgr"
|
---|
10956 | )
|
---|
10957 | )
|
---|
10958 | on &204
|
---|
10959 | )
|
---|
10960 | *345 (Wire
|
---|
10961 | uid 18328,0
|
---|
10962 | shape (OrthoPolyLine
|
---|
10963 | uid 18329,0
|
---|
10964 | va (VaSet
|
---|
10965 | vasetType 3
|
---|
10966 | lineWidth 2
|
---|
10967 | )
|
---|
10968 | xt "80750,109000,90000,109000"
|
---|
10969 | pts [
|
---|
10970 | "80750,109000"
|
---|
10971 | "90000,109000"
|
---|
10972 | ]
|
---|
10973 | )
|
---|
10974 | start &193
|
---|
10975 | sat 32
|
---|
10976 | eat 16
|
---|
10977 | sty 1
|
---|
10978 | st 0
|
---|
10979 | sf 1
|
---|
10980 | si 0
|
---|
10981 | tg (WTG
|
---|
10982 | uid 18332,0
|
---|
10983 | ps "ConnStartEndStrategy"
|
---|
10984 | stg "STSignalDisplayStrategy"
|
---|
10985 | f (Text
|
---|
10986 | uid 18333,0
|
---|
10987 | va (VaSet
|
---|
10988 | )
|
---|
10989 | xt "82000,108000,88900,109000"
|
---|
10990 | st "DG_state : (7:0)"
|
---|
10991 | blo "82000,108800"
|
---|
10992 | tm "WireNameMgr"
|
---|
10993 | )
|
---|
10994 | )
|
---|
10995 | on &205
|
---|
10996 | )
|
---|
10997 | *346 (Wire
|
---|
10998 | uid 18336,0
|
---|
10999 | shape (OrthoPolyLine
|
---|
11000 | uid 18337,0
|
---|
11001 | va (VaSet
|
---|
11002 | vasetType 3
|
---|
11003 | lineWidth 2
|
---|
11004 | )
|
---|
11005 | xt "151000,125000,161000,125000"
|
---|
11006 | pts [
|
---|
11007 | "151000,125000"
|
---|
11008 | "161000,125000"
|
---|
11009 | ]
|
---|
11010 | )
|
---|
11011 | sat 16
|
---|
11012 | eat 16
|
---|
11013 | sty 1
|
---|
11014 | st 0
|
---|
11015 | sf 1
|
---|
11016 | si 0
|
---|
11017 | tg (WTG
|
---|
11018 | uid 18342,0
|
---|
11019 | ps "ConnStartEndStrategy"
|
---|
11020 | stg "STSignalDisplayStrategy"
|
---|
11021 | f (Text
|
---|
11022 | uid 18343,0
|
---|
11023 | va (VaSet
|
---|
11024 | )
|
---|
11025 | xt "153000,124000,159900,125000"
|
---|
11026 | st "DG_state : (7:0)"
|
---|
11027 | blo "153000,124800"
|
---|
11028 | tm "WireNameMgr"
|
---|
11029 | )
|
---|
11030 | )
|
---|
11031 | on &205
|
---|
11032 | )
|
---|
11033 | *347 (Wire
|
---|
11034 | uid 18352,0
|
---|
11035 | shape (OrthoPolyLine
|
---|
11036 | uid 18353,0
|
---|
11037 | va (VaSet
|
---|
11038 | vasetType 3
|
---|
11039 | )
|
---|
11040 | xt "149000,127000,159000,127000"
|
---|
11041 | pts [
|
---|
11042 | "149000,127000"
|
---|
11043 | "159000,127000"
|
---|
11044 | ]
|
---|
11045 | )
|
---|
11046 | sat 16
|
---|
11047 | eat 16
|
---|
11048 | st 0
|
---|
11049 | sf 1
|
---|
11050 | si 0
|
---|
11051 | tg (WTG
|
---|
11052 | uid 18358,0
|
---|
11053 | ps "ConnStartEndStrategy"
|
---|
11054 | stg "STSignalDisplayStrategy"
|
---|
11055 | f (Text
|
---|
11056 | uid 18359,0
|
---|
11057 | va (VaSet
|
---|
11058 | )
|
---|
11059 | xt "151000,126000,158500,127000"
|
---|
11060 | st "debug_data_valid"
|
---|
11061 | blo "151000,126800"
|
---|
11062 | tm "WireNameMgr"
|
---|
11063 | )
|
---|
11064 | )
|
---|
11065 | on &203
|
---|
11066 | )
|
---|
11067 | *348 (Wire
|
---|
11068 | uid 18360,0
|
---|
11069 | shape (OrthoPolyLine
|
---|
11070 | uid 18361,0
|
---|
11071 | va (VaSet
|
---|
11072 | vasetType 3
|
---|
11073 | )
|
---|
11074 | xt "152000,119000,165000,119000"
|
---|
11075 | pts [
|
---|
11076 | "152000,119000"
|
---|
11077 | "165000,119000"
|
---|
11078 | ]
|
---|
11079 | )
|
---|
11080 | end &102
|
---|
11081 | sat 16
|
---|
11082 | eat 1
|
---|
11083 | st 0
|
---|
11084 | sf 1
|
---|
11085 | si 0
|
---|
11086 | tg (WTG
|
---|
11087 | uid 18366,0
|
---|
11088 | ps "ConnStartEndStrategy"
|
---|
11089 | stg "STSignalDisplayStrategy"
|
---|
11090 | f (Text
|
---|
11091 | uid 18367,0
|
---|
11092 | va (VaSet
|
---|
11093 | )
|
---|
11094 | xt "154000,118000,165400,119000"
|
---|
11095 | st "mem_manager_state : (3:0)"
|
---|
11096 | blo "154000,118800"
|
---|
11097 | tm "WireNameMgr"
|
---|
11098 | )
|
---|
11099 | )
|
---|
11100 | on &204
|
---|
11101 | )
|
---|
11102 | *349 (Wire
|
---|
11103 | uid 18477,0
|
---|
11104 | shape (OrthoPolyLine
|
---|
11105 | uid 18478,0
|
---|
11106 | va (VaSet
|
---|
11107 | vasetType 3
|
---|
11108 | lineWidth 2
|
---|
11109 | )
|
---|
11110 | xt "80750,151000,95000,151000"
|
---|
11111 | pts [
|
---|
11112 | "80750,151000"
|
---|
11113 | "95000,151000"
|
---|
11114 | ]
|
---|
11115 | )
|
---|
11116 | start &194
|
---|
11117 | sat 32
|
---|
11118 | eat 16
|
---|
11119 | sty 1
|
---|
11120 | st 0
|
---|
11121 | sf 1
|
---|
11122 | si 0
|
---|
11123 | tg (WTG
|
---|
11124 | uid 18481,0
|
---|
11125 | ps "ConnStartEndStrategy"
|
---|
11126 | stg "STSignalDisplayStrategy"
|
---|
11127 | f (Text
|
---|
11128 | uid 18482,0
|
---|
11129 | va (VaSet
|
---|
11130 | )
|
---|
11131 | xt "82000,150000,93900,151000"
|
---|
11132 | st "socket_tx_free_out : (16:0)"
|
---|
11133 | blo "82000,150800"
|
---|
11134 | tm "WireNameMgr"
|
---|
11135 | )
|
---|
11136 | )
|
---|
11137 | on &206
|
---|
11138 | )
|
---|
11139 | *350 (Wire
|
---|
11140 | uid 18808,0
|
---|
11141 | shape (OrthoPolyLine
|
---|
11142 | uid 18809,0
|
---|
11143 | va (VaSet
|
---|
11144 | vasetType 3
|
---|
11145 | lineWidth 2
|
---|
11146 | )
|
---|
11147 | xt "171000,119000,176000,119000"
|
---|
11148 | pts [
|
---|
11149 | "171000,119000"
|
---|
11150 | "176000,119000"
|
---|
11151 | ]
|
---|
11152 | )
|
---|
11153 | start &102
|
---|
11154 | end &207
|
---|
11155 | sat 2
|
---|
11156 | eat 32
|
---|
11157 | sty 1
|
---|
11158 | st 0
|
---|
11159 | sf 1
|
---|
11160 | si 0
|
---|
11161 | tg (WTG
|
---|
11162 | uid 18812,0
|
---|
11163 | ps "ConnStartEndStrategy"
|
---|
11164 | stg "STSignalDisplayStrategy"
|
---|
11165 | f (Text
|
---|
11166 | uid 18813,0
|
---|
11167 | va (VaSet
|
---|
11168 | isHidden 1
|
---|
11169 | )
|
---|
11170 | xt "173000,118000,178200,119000"
|
---|
11171 | st "W_T : (3:0)"
|
---|
11172 | blo "173000,118800"
|
---|
11173 | tm "WireNameMgr"
|
---|
11174 | )
|
---|
11175 | )
|
---|
11176 | on &208
|
---|
11177 | )
|
---|
11178 | *351 (Wire
|
---|
11179 | uid 18923,0
|
---|
11180 | shape (OrthoPolyLine
|
---|
11181 | uid 18924,0
|
---|
11182 | va (VaSet
|
---|
11183 | vasetType 3
|
---|
11184 | )
|
---|
11185 | xt "152000,117000,165000,117000"
|
---|
11186 | pts [
|
---|
11187 | "152000,117000"
|
---|
11188 | "165000,117000"
|
---|
11189 | ]
|
---|
11190 | )
|
---|
11191 | end &102
|
---|
11192 | sat 16
|
---|
11193 | eat 1
|
---|
11194 | st 0
|
---|
11195 | sf 1
|
---|
11196 | si 0
|
---|
11197 | tg (WTG
|
---|
11198 | uid 18929,0
|
---|
11199 | ps "ConnStartEndStrategy"
|
---|
11200 | stg "STSignalDisplayStrategy"
|
---|
11201 | f (Text
|
---|
11202 | uid 18930,0
|
---|
11203 | va (VaSet
|
---|
11204 | )
|
---|
11205 | xt "153000,116000,164900,117000"
|
---|
11206 | st "socket_tx_free_out : (16:0)"
|
---|
11207 | blo "153000,116800"
|
---|
11208 | tm "WireNameMgr"
|
---|
11209 | )
|
---|
11210 | )
|
---|
11211 | on &206
|
---|
11212 | )
|
---|
11213 | *352 (Wire
|
---|
11214 | uid 19161,0
|
---|
11215 | shape (OrthoPolyLine
|
---|
11216 | uid 19162,0
|
---|
11217 | va (VaSet
|
---|
11218 | vasetType 3
|
---|
11219 | )
|
---|
11220 | xt "155000,120000,165000,120000"
|
---|
11221 | pts [
|
---|
11222 | "155000,120000"
|
---|
11223 | "165000,120000"
|
---|
11224 | ]
|
---|
11225 | )
|
---|
11226 | end &102
|
---|
11227 | sat 16
|
---|
11228 | eat 1
|
---|
11229 | st 0
|
---|
11230 | sf 1
|
---|
11231 | si 0
|
---|
11232 | tg (WTG
|
---|
11233 | uid 19167,0
|
---|
11234 | ps "ConnStartEndStrategy"
|
---|
11235 | stg "STSignalDisplayStrategy"
|
---|
11236 | f (Text
|
---|
11237 | uid 19168,0
|
---|
11238 | va (VaSet
|
---|
11239 | )
|
---|
11240 | xt "157000,119000,159900,120000"
|
---|
11241 | st "TRG_V"
|
---|
11242 | blo "157000,119800"
|
---|
11243 | tm "WireNameMgr"
|
---|
11244 | )
|
---|
11245 | )
|
---|
11246 | on &200
|
---|
11247 | )
|
---|
11248 | *353 (Wire
|
---|
11249 | uid 19169,0
|
---|
11250 | shape (OrthoPolyLine
|
---|
11251 | uid 19170,0
|
---|
11252 | va (VaSet
|
---|
11253 | vasetType 3
|
---|
11254 | )
|
---|
11255 | xt "155000,121000,165000,121000"
|
---|
11256 | pts [
|
---|
11257 | "155000,121000"
|
---|
11258 | "165000,121000"
|
---|
11259 | ]
|
---|
11260 | )
|
---|
11261 | end &102
|
---|
11262 | sat 16
|
---|
11263 | eat 1
|
---|
11264 | st 0
|
---|
11265 | sf 1
|
---|
11266 | si 0
|
---|
11267 | tg (WTG
|
---|
11268 | uid 19175,0
|
---|
11269 | ps "ConnStartEndStrategy"
|
---|
11270 | stg "STSignalDisplayStrategy"
|
---|
11271 | f (Text
|
---|
11272 | uid 19176,0
|
---|
11273 | va (VaSet
|
---|
11274 | )
|
---|
11275 | xt "157000,120000,167400,121000"
|
---|
11276 | st "debug_data_ram_empty"
|
---|
11277 | blo "157000,120800"
|
---|
11278 | tm "WireNameMgr"
|
---|
11279 | )
|
---|
11280 | )
|
---|
11281 | on &202
|
---|
11282 | )
|
---|
11283 | *354 (Wire
|
---|
11284 | uid 19533,0
|
---|
11285 | shape (OrthoPolyLine
|
---|
11286 | uid 19534,0
|
---|
11287 | va (VaSet
|
---|
11288 | vasetType 3
|
---|
11289 | )
|
---|
11290 | xt "80750,85000,82000,87000"
|
---|
11291 | pts [
|
---|
11292 | "80750,87000"
|
---|
11293 | "82000,87000"
|
---|
11294 | "82000,85000"
|
---|
11295 | ]
|
---|
11296 | )
|
---|
11297 | start &169
|
---|
11298 | end &210
|
---|
11299 | sat 32
|
---|
11300 | eat 32
|
---|
11301 | st 0
|
---|
11302 | sf 1
|
---|
11303 | si 0
|
---|
11304 | tg (WTG
|
---|
11305 | uid 19535,0
|
---|
11306 | ps "ConnStartEndStrategy"
|
---|
11307 | stg "STSignalDisplayStrategy"
|
---|
11308 | f (Text
|
---|
11309 | uid 19536,0
|
---|
11310 | va (VaSet
|
---|
11311 | )
|
---|
11312 | xt "82750,86000,86150,87000"
|
---|
11313 | st "dac_cs1"
|
---|
11314 | blo "82750,86800"
|
---|
11315 | tm "WireNameMgr"
|
---|
11316 | )
|
---|
11317 | )
|
---|
11318 | on &261
|
---|
11319 | )
|
---|
11320 | *355 (Wire
|
---|
11321 | uid 19539,0
|
---|
11322 | shape (OrthoPolyLine
|
---|
11323 | uid 19540,0
|
---|
11324 | va (VaSet
|
---|
11325 | vasetType 3
|
---|
11326 | )
|
---|
11327 | xt "80750,89000,82000,90000"
|
---|
11328 | pts [
|
---|
11329 | "80750,89000"
|
---|
11330 | "82000,89000"
|
---|
11331 | "82000,90000"
|
---|
11332 | ]
|
---|
11333 | )
|
---|
11334 | start &170
|
---|
11335 | end &223
|
---|
11336 | sat 32
|
---|
11337 | eat 32
|
---|
11338 | st 0
|
---|
11339 | sf 1
|
---|
11340 | si 0
|
---|
11341 | tg (WTG
|
---|
11342 | uid 19541,0
|
---|
11343 | ps "ConnStartEndStrategy"
|
---|
11344 | stg "STSignalDisplayStrategy"
|
---|
11345 | f (Text
|
---|
11346 | uid 19542,0
|
---|
11347 | va (VaSet
|
---|
11348 | )
|
---|
11349 | xt "82750,88000,89750,89000"
|
---|
11350 | st "sensor_cs : (3:0)"
|
---|
11351 | blo "82750,88800"
|
---|
11352 | tm "WireNameMgr"
|
---|
11353 | )
|
---|
11354 | )
|
---|
11355 | on &262
|
---|
11356 | )
|
---|
11357 | *356 (Wire
|
---|
11358 | uid 19545,0
|
---|
11359 | shape (OrthoPolyLine
|
---|
11360 | uid 19546,0
|
---|
11361 | va (VaSet
|
---|
11362 | vasetType 3
|
---|
11363 | )
|
---|
11364 | xt "80750,95000,83000,98000"
|
---|
11365 | pts [
|
---|
11366 | "80750,98000"
|
---|
11367 | "83000,98000"
|
---|
11368 | "83000,95000"
|
---|
11369 | ]
|
---|
11370 | )
|
---|
11371 | start &167
|
---|
11372 | end &236
|
---|
11373 | sat 32
|
---|
11374 | eat 32
|
---|
11375 | st 0
|
---|
11376 | sf 1
|
---|
11377 | si 0
|
---|
11378 | tg (WTG
|
---|
11379 | uid 19547,0
|
---|
11380 | ps "ConnStartEndStrategy"
|
---|
11381 | stg "STSignalDisplayStrategy"
|
---|
11382 | f (Text
|
---|
11383 | uid 19548,0
|
---|
11384 | va (VaSet
|
---|
11385 | )
|
---|
11386 | xt "82750,97000,84650,98000"
|
---|
11387 | st "sclk"
|
---|
11388 | blo "82750,97800"
|
---|
11389 | tm "WireNameMgr"
|
---|
11390 | )
|
---|
11391 | )
|
---|
11392 | on &263
|
---|
11393 | )
|
---|
11394 | *357 (Wire
|
---|
11395 | uid 19551,0
|
---|
11396 | shape (OrthoPolyLine
|
---|
11397 | uid 19552,0
|
---|
11398 | va (VaSet
|
---|
11399 | vasetType 3
|
---|
11400 | )
|
---|
11401 | xt "80750,100000,83000,102000"
|
---|
11402 | pts [
|
---|
11403 | "83000,102000"
|
---|
11404 | "80750,102000"
|
---|
11405 | "80750,100000"
|
---|
11406 | ]
|
---|
11407 | )
|
---|
11408 | start &249
|
---|
11409 | end &171
|
---|
11410 | sat 32
|
---|
11411 | eat 32
|
---|
11412 | stc 0
|
---|
11413 | st 0
|
---|
11414 | sf 1
|
---|
11415 | si 0
|
---|
11416 | tg (WTG
|
---|
11417 | uid 19553,0
|
---|
11418 | ps "ConnStartEndStrategy"
|
---|
11419 | stg "VerticalLayoutStrategy"
|
---|
11420 | f (Text
|
---|
11421 | uid 19554,0
|
---|
11422 | va (VaSet
|
---|
11423 | isHidden 1
|
---|
11424 | )
|
---|
11425 | xt "82000,101000,84400,102000"
|
---|
11426 | st "mosi1"
|
---|
11427 | blo "82000,101800"
|
---|
11428 | tm "WireNameMgr"
|
---|
11429 | )
|
---|
11430 | )
|
---|
11431 | on &264
|
---|
11432 | )
|
---|
11433 | *358 (Wire
|
---|
11434 | uid 20221,0
|
---|
11435 | shape (OrthoPolyLine
|
---|
11436 | uid 20222,0
|
---|
11437 | va (VaSet
|
---|
11438 | vasetType 3
|
---|
11439 | )
|
---|
11440 | xt "80750,137000,105000,144000"
|
---|
11441 | pts [
|
---|
11442 | "80750,144000"
|
---|
11443 | "105000,144000"
|
---|
11444 | "105000,137000"
|
---|
11445 | ]
|
---|
11446 | )
|
---|
11447 | start &184
|
---|
11448 | end &266
|
---|
11449 | sat 32
|
---|
11450 | eat 32
|
---|
11451 | st 0
|
---|
11452 | sf 1
|
---|
11453 | si 0
|
---|
11454 | tg (WTG
|
---|
11455 | uid 20223,0
|
---|
11456 | ps "ConnStartEndStrategy"
|
---|
11457 | stg "STSignalDisplayStrategy"
|
---|
11458 | f (Text
|
---|
11459 | uid 20224,0
|
---|
11460 | va (VaSet
|
---|
11461 | )
|
---|
11462 | xt "82000,143000,87600,144000"
|
---|
11463 | st "trigger_veto"
|
---|
11464 | blo "82000,143800"
|
---|
11465 | tm "WireNameMgr"
|
---|
11466 | )
|
---|
11467 | )
|
---|
11468 | on &278
|
---|
11469 | )
|
---|
11470 | ]
|
---|
11471 | bg "65535,65535,65535"
|
---|
11472 | grid (Grid
|
---|
11473 | origin "0,0"
|
---|
11474 | isVisible 1
|
---|
11475 | isActive 1
|
---|
11476 | xSpacing 1000
|
---|
11477 | xySpacing 1000
|
---|
11478 | xShown 1
|
---|
11479 | yShown 1
|
---|
11480 | color "26368,26368,26368"
|
---|
11481 | )
|
---|
11482 | packageList *359 (PackageList
|
---|
11483 | uid 41,0
|
---|
11484 | stg "VerticalLayoutStrategy"
|
---|
11485 | textVec [
|
---|
11486 | *360 (Text
|
---|
11487 | uid 42,0
|
---|
11488 | va (VaSet
|
---|
11489 | font "arial,8,1"
|
---|
11490 | )
|
---|
11491 | xt "0,0,5400,1000"
|
---|
11492 | st "Package List"
|
---|
11493 | blo "0,800"
|
---|
11494 | )
|
---|
11495 | *361 (MLText
|
---|
11496 | uid 43,0
|
---|
11497 | va (VaSet
|
---|
11498 | )
|
---|
11499 | xt "0,1000,16100,9000"
|
---|
11500 | st "LIBRARY ieee;
|
---|
11501 | USE ieee.std_logic_1164.all;
|
---|
11502 | USE ieee.std_logic_arith.all;
|
---|
11503 | USE IEEE.NUMERIC_STD.all;
|
---|
11504 | USE ieee.std_logic_unsigned.all;
|
---|
11505 |
|
---|
11506 | LIBRARY FACT_FAD_lib;
|
---|
11507 | USE FACT_FAD_lib.fad_definitions.all;"
|
---|
11508 | tm "PackageList"
|
---|
11509 | )
|
---|
11510 | ]
|
---|
11511 | )
|
---|
11512 | compDirBlock (MlTextGroup
|
---|
11513 | uid 44,0
|
---|
11514 | stg "VerticalLayoutStrategy"
|
---|
11515 | textVec [
|
---|
11516 | *362 (Text
|
---|
11517 | uid 45,0
|
---|
11518 | va (VaSet
|
---|
11519 | isHidden 1
|
---|
11520 | font "Arial,8,1"
|
---|
11521 | )
|
---|
11522 | xt "20000,0,28100,1000"
|
---|
11523 | st "Compiler Directives"
|
---|
11524 | blo "20000,800"
|
---|
11525 | )
|
---|
11526 | *363 (Text
|
---|
11527 | uid 46,0
|
---|
11528 | va (VaSet
|
---|
11529 | isHidden 1
|
---|
11530 | font "Arial,8,1"
|
---|
11531 | )
|
---|
11532 | xt "20000,1000,29600,2000"
|
---|
11533 | st "Pre-module directives:"
|
---|
11534 | blo "20000,1800"
|
---|
11535 | )
|
---|
11536 | *364 (MLText
|
---|
11537 | uid 47,0
|
---|
11538 | va (VaSet
|
---|
11539 | isHidden 1
|
---|
11540 | )
|
---|
11541 | xt "20000,2000,28200,4000"
|
---|
11542 | st "`resetall
|
---|
11543 | `timescale 1ns/10ps"
|
---|
11544 | tm "BdCompilerDirectivesTextMgr"
|
---|
11545 | )
|
---|
11546 | *365 (Text
|
---|
11547 | uid 48,0
|
---|
11548 | va (VaSet
|
---|
11549 | isHidden 1
|
---|
11550 | font "Arial,8,1"
|
---|
11551 | )
|
---|
11552 | xt "20000,4000,30100,5000"
|
---|
11553 | st "Post-module directives:"
|
---|
11554 | blo "20000,4800"
|
---|
11555 | )
|
---|
11556 | *366 (MLText
|
---|
11557 | uid 49,0
|
---|
11558 | va (VaSet
|
---|
11559 | isHidden 1
|
---|
11560 | )
|
---|
11561 | xt "20000,0,20000,0"
|
---|
11562 | tm "BdCompilerDirectivesTextMgr"
|
---|
11563 | )
|
---|
11564 | *367 (Text
|
---|
11565 | uid 50,0
|
---|
11566 | va (VaSet
|
---|
11567 | isHidden 1
|
---|
11568 | font "Arial,8,1"
|
---|
11569 | )
|
---|
11570 | xt "20000,5000,29900,6000"
|
---|
11571 | st "End-module directives:"
|
---|
11572 | blo "20000,5800"
|
---|
11573 | )
|
---|
11574 | *368 (MLText
|
---|
11575 | uid 51,0
|
---|
11576 | va (VaSet
|
---|
11577 | isHidden 1
|
---|
11578 | )
|
---|
11579 | xt "20000,6000,20000,6000"
|
---|
11580 | tm "BdCompilerDirectivesTextMgr"
|
---|
11581 | )
|
---|
11582 | ]
|
---|
11583 | associable 1
|
---|
11584 | )
|
---|
11585 | windowSize "0,22,1681,1050"
|
---|
11586 | viewArea "12300,102300,104406,159882"
|
---|
11587 | cachedDiagramExtent "-23000,0,499000,153000"
|
---|
11588 | pageSetupInfo (PageSetupInfo
|
---|
11589 | ptrCmd ""
|
---|
11590 | toPrinter 1
|
---|
11591 | exportedDirectories [
|
---|
11592 | "$HDS_PROJECT_DIR/HTMLExport"
|
---|
11593 | ]
|
---|
11594 | exportStdIncludeRefs 1
|
---|
11595 | exportStdPackageRefs 1
|
---|
11596 | )
|
---|
11597 | hasePageBreakOrigin 1
|
---|
11598 | pageBreakOrigin "-73000,0"
|
---|
11599 | lastUid 20341,0
|
---|
11600 | defaultCommentText (CommentText
|
---|
11601 | shape (Rectangle
|
---|
11602 | layer 0
|
---|
11603 | va (VaSet
|
---|
11604 | vasetType 1
|
---|
11605 | fg "65280,65280,46080"
|
---|
11606 | lineColor "0,0,32768"
|
---|
11607 | )
|
---|
11608 | xt "0,0,15000,5000"
|
---|
11609 | )
|
---|
11610 | text (MLText
|
---|
11611 | va (VaSet
|
---|
11612 | fg "0,0,32768"
|
---|
11613 | )
|
---|
11614 | xt "200,200,2400,1200"
|
---|
11615 | st "
|
---|
11616 | Text
|
---|
11617 | "
|
---|
11618 | tm "CommentText"
|
---|
11619 | wrapOption 3
|
---|
11620 | visibleHeight 4600
|
---|
11621 | visibleWidth 14600
|
---|
11622 | )
|
---|
11623 | )
|
---|
11624 | defaultPanel (Panel
|
---|
11625 | shape (RectFrame
|
---|
11626 | va (VaSet
|
---|
11627 | vasetType 1
|
---|
11628 | fg "65535,65535,65535"
|
---|
11629 | lineColor "32768,0,0"
|
---|
11630 | lineWidth 2
|
---|
11631 | )
|
---|
11632 | xt "0,0,20000,20000"
|
---|
11633 | )
|
---|
11634 | title (TextAssociate
|
---|
11635 | ps "TopLeftStrategy"
|
---|
11636 | text (Text
|
---|
11637 | va (VaSet
|
---|
11638 | font "Arial,8,1"
|
---|
11639 | )
|
---|
11640 | xt "1000,1000,3800,2000"
|
---|
11641 | st "Panel0"
|
---|
11642 | blo "1000,1800"
|
---|
11643 | tm "PanelText"
|
---|
11644 | )
|
---|
11645 | )
|
---|
11646 | )
|
---|
11647 | defaultBlk (Blk
|
---|
11648 | shape (Rectangle
|
---|
11649 | va (VaSet
|
---|
11650 | vasetType 1
|
---|
11651 | fg "39936,56832,65280"
|
---|
11652 | lineColor "0,0,32768"
|
---|
11653 | lineWidth 2
|
---|
11654 | )
|
---|
11655 | xt "0,0,8000,10000"
|
---|
11656 | )
|
---|
11657 | ttg (MlTextGroup
|
---|
11658 | ps "CenterOffsetStrategy"
|
---|
11659 | stg "VerticalLayoutStrategy"
|
---|
11660 | textVec [
|
---|
11661 | *369 (Text
|
---|
11662 | va (VaSet
|
---|
11663 | font "Arial,8,1"
|
---|
11664 | )
|
---|
11665 | xt "2200,3500,5800,4500"
|
---|
11666 | st "<library>"
|
---|
11667 | blo "2200,4300"
|
---|
11668 | tm "BdLibraryNameMgr"
|
---|
11669 | )
|
---|
11670 | *370 (Text
|
---|
11671 | va (VaSet
|
---|
11672 | font "Arial,8,1"
|
---|
11673 | )
|
---|
11674 | xt "2200,4500,5600,5500"
|
---|
11675 | st "<block>"
|
---|
11676 | blo "2200,5300"
|
---|
11677 | tm "BlkNameMgr"
|
---|
11678 | )
|
---|
11679 | *371 (Text
|
---|
11680 | va (VaSet
|
---|
11681 | font "Arial,8,1"
|
---|
11682 | )
|
---|
11683 | xt "2200,5500,3200,6500"
|
---|
11684 | st "I0"
|
---|
11685 | blo "2200,6300"
|
---|
11686 | tm "InstanceNameMgr"
|
---|
11687 | )
|
---|
11688 | ]
|
---|
11689 | )
|
---|
11690 | ga (GenericAssociation
|
---|
11691 | ps "EdgeToEdgeStrategy"
|
---|
11692 | matrix (Matrix
|
---|
11693 | text (MLText
|
---|
11694 | va (VaSet
|
---|
11695 | font "Courier New,8,0"
|
---|
11696 | )
|
---|
11697 | xt "2200,13500,2200,13500"
|
---|
11698 | )
|
---|
11699 | header ""
|
---|
11700 | )
|
---|
11701 | elements [
|
---|
11702 | ]
|
---|
11703 | )
|
---|
11704 | viewicon (ZoomableIcon
|
---|
11705 | sl 0
|
---|
11706 | va (VaSet
|
---|
11707 | vasetType 1
|
---|
11708 | fg "49152,49152,49152"
|
---|
11709 | )
|
---|
11710 | xt "0,0,1500,1500"
|
---|
11711 | iconName "UnknownFile.png"
|
---|
11712 | iconMaskName "UnknownFile.msk"
|
---|
11713 | )
|
---|
11714 | viewiconposition 0
|
---|
11715 | )
|
---|
11716 | defaultMWComponent (MWC
|
---|
11717 | shape (Rectangle
|
---|
11718 | va (VaSet
|
---|
11719 | vasetType 1
|
---|
11720 | fg "0,65535,0"
|
---|
11721 | lineColor "0,32896,0"
|
---|
11722 | lineWidth 2
|
---|
11723 | )
|
---|
11724 | xt "0,0,8000,10000"
|
---|
11725 | )
|
---|
11726 | ttg (MlTextGroup
|
---|
11727 | ps "CenterOffsetStrategy"
|
---|
11728 | stg "VerticalLayoutStrategy"
|
---|
11729 | textVec [
|
---|
11730 | *372 (Text
|
---|
11731 | va (VaSet
|
---|
11732 | font "Arial,8,1"
|
---|
11733 | )
|
---|
11734 | xt "550,3500,3450,4500"
|
---|
11735 | st "Library"
|
---|
11736 | blo "550,4300"
|
---|
11737 | )
|
---|
11738 | *373 (Text
|
---|
11739 | va (VaSet
|
---|
11740 | font "Arial,8,1"
|
---|
11741 | )
|
---|
11742 | xt "550,4500,7450,5500"
|
---|
11743 | st "MWComponent"
|
---|
11744 | blo "550,5300"
|
---|
11745 | )
|
---|
11746 | *374 (Text
|
---|
11747 | va (VaSet
|
---|
11748 | font "Arial,8,1"
|
---|
11749 | )
|
---|
11750 | xt "550,5500,1550,6500"
|
---|
11751 | st "I0"
|
---|
11752 | blo "550,6300"
|
---|
11753 | tm "InstanceNameMgr"
|
---|
11754 | )
|
---|
11755 | ]
|
---|
11756 | )
|
---|
11757 | ga (GenericAssociation
|
---|
11758 | ps "EdgeToEdgeStrategy"
|
---|
11759 | matrix (Matrix
|
---|
11760 | text (MLText
|
---|
11761 | va (VaSet
|
---|
11762 | font "Courier New,8,0"
|
---|
11763 | )
|
---|
11764 | xt "-6450,1500,-6450,1500"
|
---|
11765 | )
|
---|
11766 | header ""
|
---|
11767 | )
|
---|
11768 | elements [
|
---|
11769 | ]
|
---|
11770 | )
|
---|
11771 | portVis (PortSigDisplay
|
---|
11772 | )
|
---|
11773 | prms (Property
|
---|
11774 | pclass "params"
|
---|
11775 | pname "params"
|
---|
11776 | ptn "String"
|
---|
11777 | )
|
---|
11778 | visOptions (mwParamsVisibilityOptions
|
---|
11779 | )
|
---|
11780 | )
|
---|
11781 | defaultSaComponent (SaComponent
|
---|
11782 | shape (Rectangle
|
---|
11783 | va (VaSet
|
---|
11784 | vasetType 1
|
---|
11785 | fg "0,65535,0"
|
---|
11786 | lineColor "0,32896,0"
|
---|
11787 | lineWidth 2
|
---|
11788 | )
|
---|
11789 | xt "0,0,8000,10000"
|
---|
11790 | )
|
---|
11791 | ttg (MlTextGroup
|
---|
11792 | ps "CenterOffsetStrategy"
|
---|
11793 | stg "VerticalLayoutStrategy"
|
---|
11794 | textVec [
|
---|
11795 | *375 (Text
|
---|
11796 | va (VaSet
|
---|
11797 | font "Arial,8,1"
|
---|
11798 | )
|
---|
11799 | xt "900,3500,3800,4500"
|
---|
11800 | st "Library"
|
---|
11801 | blo "900,4300"
|
---|
11802 | tm "BdLibraryNameMgr"
|
---|
11803 | )
|
---|
11804 | *376 (Text
|
---|
11805 | va (VaSet
|
---|
11806 | font "Arial,8,1"
|
---|
11807 | )
|
---|
11808 | xt "900,4500,7100,5500"
|
---|
11809 | st "SaComponent"
|
---|
11810 | blo "900,5300"
|
---|
11811 | tm "CptNameMgr"
|
---|
11812 | )
|
---|
11813 | *377 (Text
|
---|
11814 | va (VaSet
|
---|
11815 | font "Arial,8,1"
|
---|
11816 | )
|
---|
11817 | xt "900,5500,1900,6500"
|
---|
11818 | st "I0"
|
---|
11819 | blo "900,6300"
|
---|
11820 | tm "InstanceNameMgr"
|
---|
11821 | )
|
---|
11822 | ]
|
---|
11823 | )
|
---|
11824 | ga (GenericAssociation
|
---|
11825 | ps "EdgeToEdgeStrategy"
|
---|
11826 | matrix (Matrix
|
---|
11827 | text (MLText
|
---|
11828 | va (VaSet
|
---|
11829 | font "Courier New,8,0"
|
---|
11830 | )
|
---|
11831 | xt "-6100,1500,-6100,1500"
|
---|
11832 | )
|
---|
11833 | header ""
|
---|
11834 | )
|
---|
11835 | elements [
|
---|
11836 | ]
|
---|
11837 | )
|
---|
11838 | viewicon (ZoomableIcon
|
---|
11839 | sl 0
|
---|
11840 | va (VaSet
|
---|
11841 | vasetType 1
|
---|
11842 | fg "49152,49152,49152"
|
---|
11843 | )
|
---|
11844 | xt "0,0,1500,1500"
|
---|
11845 | iconName "UnknownFile.png"
|
---|
11846 | iconMaskName "UnknownFile.msk"
|
---|
11847 | )
|
---|
11848 | viewiconposition 0
|
---|
11849 | portVis (PortSigDisplay
|
---|
11850 | )
|
---|
11851 | archFileType "UNKNOWN"
|
---|
11852 | )
|
---|
11853 | defaultVhdlComponent (VhdlComponent
|
---|
11854 | shape (Rectangle
|
---|
11855 | va (VaSet
|
---|
11856 | vasetType 1
|
---|
11857 | fg "0,65535,0"
|
---|
11858 | lineColor "0,32896,0"
|
---|
11859 | lineWidth 2
|
---|
11860 | )
|
---|
11861 | xt "0,0,8000,10000"
|
---|
11862 | )
|
---|
11863 | ttg (MlTextGroup
|
---|
11864 | ps "CenterOffsetStrategy"
|
---|
11865 | stg "VerticalLayoutStrategy"
|
---|
11866 | textVec [
|
---|
11867 | *378 (Text
|
---|
11868 | va (VaSet
|
---|
11869 | font "Arial,8,1"
|
---|
11870 | )
|
---|
11871 | xt "500,3500,3400,4500"
|
---|
11872 | st "Library"
|
---|
11873 | blo "500,4300"
|
---|
11874 | )
|
---|
11875 | *379 (Text
|
---|
11876 | va (VaSet
|
---|
11877 | font "Arial,8,1"
|
---|
11878 | )
|
---|
11879 | xt "500,4500,7500,5500"
|
---|
11880 | st "VhdlComponent"
|
---|
11881 | blo "500,5300"
|
---|
11882 | )
|
---|
11883 | *380 (Text
|
---|
11884 | va (VaSet
|
---|
11885 | font "Arial,8,1"
|
---|
11886 | )
|
---|
11887 | xt "500,5500,1500,6500"
|
---|
11888 | st "I0"
|
---|
11889 | blo "500,6300"
|
---|
11890 | tm "InstanceNameMgr"
|
---|
11891 | )
|
---|
11892 | ]
|
---|
11893 | )
|
---|
11894 | ga (GenericAssociation
|
---|
11895 | ps "EdgeToEdgeStrategy"
|
---|
11896 | matrix (Matrix
|
---|
11897 | text (MLText
|
---|
11898 | va (VaSet
|
---|
11899 | font "Courier New,8,0"
|
---|
11900 | )
|
---|
11901 | xt "-6500,1500,-6500,1500"
|
---|
11902 | )
|
---|
11903 | header ""
|
---|
11904 | )
|
---|
11905 | elements [
|
---|
11906 | ]
|
---|
11907 | )
|
---|
11908 | portVis (PortSigDisplay
|
---|
11909 | )
|
---|
11910 | entityPath ""
|
---|
11911 | archName ""
|
---|
11912 | archPath ""
|
---|
11913 | )
|
---|
11914 | defaultVerilogComponent (VerilogComponent
|
---|
11915 | shape (Rectangle
|
---|
11916 | va (VaSet
|
---|
11917 | vasetType 1
|
---|
11918 | fg "0,65535,0"
|
---|
11919 | lineColor "0,32896,0"
|
---|
11920 | lineWidth 2
|
---|
11921 | )
|
---|
11922 | xt "-450,0,8450,10000"
|
---|
11923 | )
|
---|
11924 | ttg (MlTextGroup
|
---|
11925 | ps "CenterOffsetStrategy"
|
---|
11926 | stg "VerticalLayoutStrategy"
|
---|
11927 | textVec [
|
---|
11928 | *381 (Text
|
---|
11929 | va (VaSet
|
---|
11930 | font "Arial,8,1"
|
---|
11931 | )
|
---|
11932 | xt "50,3500,2950,4500"
|
---|
11933 | st "Library"
|
---|
11934 | blo "50,4300"
|
---|
11935 | )
|
---|
11936 | *382 (Text
|
---|
11937 | va (VaSet
|
---|
11938 | font "Arial,8,1"
|
---|
11939 | )
|
---|
11940 | xt "50,4500,7950,5500"
|
---|
11941 | st "VerilogComponent"
|
---|
11942 | blo "50,5300"
|
---|
11943 | )
|
---|
11944 | *383 (Text
|
---|
11945 | va (VaSet
|
---|
11946 | font "Arial,8,1"
|
---|
11947 | )
|
---|
11948 | xt "50,5500,1050,6500"
|
---|
11949 | st "I0"
|
---|
11950 | blo "50,6300"
|
---|
11951 | tm "InstanceNameMgr"
|
---|
11952 | )
|
---|
11953 | ]
|
---|
11954 | )
|
---|
11955 | ga (GenericAssociation
|
---|
11956 | ps "EdgeToEdgeStrategy"
|
---|
11957 | matrix (Matrix
|
---|
11958 | text (MLText
|
---|
11959 | va (VaSet
|
---|
11960 | font "Courier New,8,0"
|
---|
11961 | )
|
---|
11962 | xt "-6950,1500,-6950,1500"
|
---|
11963 | )
|
---|
11964 | header ""
|
---|
11965 | )
|
---|
11966 | elements [
|
---|
11967 | ]
|
---|
11968 | )
|
---|
11969 | entityPath ""
|
---|
11970 | )
|
---|
11971 | defaultHdlText (HdlText
|
---|
11972 | shape (Rectangle
|
---|
11973 | va (VaSet
|
---|
11974 | vasetType 1
|
---|
11975 | fg "65535,65535,37120"
|
---|
11976 | lineColor "0,0,32768"
|
---|
11977 | lineWidth 2
|
---|
11978 | )
|
---|
11979 | xt "0,0,8000,10000"
|
---|
11980 | )
|
---|
11981 | ttg (MlTextGroup
|
---|
11982 | ps "CenterOffsetStrategy"
|
---|
11983 | stg "VerticalLayoutStrategy"
|
---|
11984 | textVec [
|
---|
11985 | *384 (Text
|
---|
11986 | va (VaSet
|
---|
11987 | font "Arial,8,1"
|
---|
11988 | )
|
---|
11989 | xt "3150,4000,4850,5000"
|
---|
11990 | st "eb1"
|
---|
11991 | blo "3150,4800"
|
---|
11992 | tm "HdlTextNameMgr"
|
---|
11993 | )
|
---|
11994 | *385 (Text
|
---|
11995 | va (VaSet
|
---|
11996 | font "Arial,8,1"
|
---|
11997 | )
|
---|
11998 | xt "3150,5000,3950,6000"
|
---|
11999 | st "1"
|
---|
12000 | blo "3150,5800"
|
---|
12001 | tm "HdlTextNumberMgr"
|
---|
12002 | )
|
---|
12003 | ]
|
---|
12004 | )
|
---|
12005 | viewicon (ZoomableIcon
|
---|
12006 | sl 0
|
---|
12007 | va (VaSet
|
---|
12008 | vasetType 1
|
---|
12009 | fg "49152,49152,49152"
|
---|
12010 | )
|
---|
12011 | xt "0,0,1500,1500"
|
---|
12012 | iconName "UnknownFile.png"
|
---|
12013 | iconMaskName "UnknownFile.msk"
|
---|
12014 | )
|
---|
12015 | viewiconposition 0
|
---|
12016 | )
|
---|
12017 | defaultEmbeddedText (EmbeddedText
|
---|
12018 | commentText (CommentText
|
---|
12019 | ps "CenterOffsetStrategy"
|
---|
12020 | shape (Rectangle
|
---|
12021 | va (VaSet
|
---|
12022 | vasetType 1
|
---|
12023 | fg "65535,65535,65535"
|
---|
12024 | lineColor "0,0,32768"
|
---|
12025 | lineWidth 2
|
---|
12026 | )
|
---|
12027 | xt "0,0,18000,5000"
|
---|
12028 | )
|
---|
12029 | text (MLText
|
---|
12030 | va (VaSet
|
---|
12031 | )
|
---|
12032 | xt "200,200,2400,1200"
|
---|
12033 | st "
|
---|
12034 | Text
|
---|
12035 | "
|
---|
12036 | tm "HdlTextMgr"
|
---|
12037 | wrapOption 3
|
---|
12038 | visibleHeight 4600
|
---|
12039 | visibleWidth 17600
|
---|
12040 | )
|
---|
12041 | )
|
---|
12042 | )
|
---|
12043 | defaultGlobalConnector (GlobalConnector
|
---|
12044 | shape (Circle
|
---|
12045 | va (VaSet
|
---|
12046 | vasetType 1
|
---|
12047 | fg "65535,65535,0"
|
---|
12048 | )
|
---|
12049 | xt "-1000,-1000,1000,1000"
|
---|
12050 | radius 1000
|
---|
12051 | )
|
---|
12052 | name (Text
|
---|
12053 | va (VaSet
|
---|
12054 | font "Arial,8,1"
|
---|
12055 | )
|
---|
12056 | xt "-500,-500,500,500"
|
---|
12057 | st "G"
|
---|
12058 | blo "-500,300"
|
---|
12059 | )
|
---|
12060 | )
|
---|
12061 | defaultRipper (Ripper
|
---|
12062 | ps "OnConnectorStrategy"
|
---|
12063 | shape (Line2D
|
---|
12064 | pts [
|
---|
12065 | "0,0"
|
---|
12066 | "1000,1000"
|
---|
12067 | ]
|
---|
12068 | va (VaSet
|
---|
12069 | vasetType 1
|
---|
12070 | )
|
---|
12071 | xt "0,0,1000,1000"
|
---|
12072 | )
|
---|
12073 | )
|
---|
12074 | defaultBdJunction (BdJunction
|
---|
12075 | ps "OnConnectorStrategy"
|
---|
12076 | shape (Circle
|
---|
12077 | va (VaSet
|
---|
12078 | vasetType 1
|
---|
12079 | )
|
---|
12080 | xt "-400,-400,400,400"
|
---|
12081 | radius 400
|
---|
12082 | )
|
---|
12083 | )
|
---|
12084 | defaultPortIoIn (PortIoIn
|
---|
12085 | shape (CompositeShape
|
---|
12086 | va (VaSet
|
---|
12087 | vasetType 1
|
---|
12088 | fg "0,0,32768"
|
---|
12089 | )
|
---|
12090 | optionalChildren [
|
---|
12091 | (Pentagon
|
---|
12092 | sl 0
|
---|
12093 | ro 270
|
---|
12094 | xt "-2000,-375,-500,375"
|
---|
12095 | )
|
---|
12096 | (Line
|
---|
12097 | sl 0
|
---|
12098 | ro 270
|
---|
12099 | xt "-500,0,0,0"
|
---|
12100 | pts [
|
---|
12101 | "-500,0"
|
---|
12102 | "0,0"
|
---|
12103 | ]
|
---|
12104 | )
|
---|
12105 | ]
|
---|
12106 | )
|
---|
12107 | stc 0
|
---|
12108 | sf 1
|
---|
12109 | tg (WTG
|
---|
12110 | ps "PortIoTextPlaceStrategy"
|
---|
12111 | stg "STSignalDisplayStrategy"
|
---|
12112 | f (Text
|
---|
12113 | va (VaSet
|
---|
12114 | )
|
---|
12115 | xt "-1375,-1000,-1375,-1000"
|
---|
12116 | ju 2
|
---|
12117 | blo "-1375,-1000"
|
---|
12118 | tm "WireNameMgr"
|
---|
12119 | )
|
---|
12120 | )
|
---|
12121 | )
|
---|
12122 | defaultPortIoOut (PortIoOut
|
---|
12123 | shape (CompositeShape
|
---|
12124 | va (VaSet
|
---|
12125 | vasetType 1
|
---|
12126 | fg "0,0,32768"
|
---|
12127 | )
|
---|
12128 | optionalChildren [
|
---|
12129 | (Pentagon
|
---|
12130 | sl 0
|
---|
12131 | ro 270
|
---|
12132 | xt "500,-375,2000,375"
|
---|
12133 | )
|
---|
12134 | (Line
|
---|
12135 | sl 0
|
---|
12136 | ro 270
|
---|
12137 | xt "0,0,500,0"
|
---|
12138 | pts [
|
---|
12139 | "0,0"
|
---|
12140 | "500,0"
|
---|
12141 | ]
|
---|
12142 | )
|
---|
12143 | ]
|
---|
12144 | )
|
---|
12145 | stc 0
|
---|
12146 | sf 1
|
---|
12147 | tg (WTG
|
---|
12148 | ps "PortIoTextPlaceStrategy"
|
---|
12149 | stg "STSignalDisplayStrategy"
|
---|
12150 | f (Text
|
---|
12151 | va (VaSet
|
---|
12152 | )
|
---|
12153 | xt "625,-1000,625,-1000"
|
---|
12154 | blo "625,-1000"
|
---|
12155 | tm "WireNameMgr"
|
---|
12156 | )
|
---|
12157 | )
|
---|
12158 | )
|
---|
12159 | defaultPortIoInOut (PortIoInOut
|
---|
12160 | shape (CompositeShape
|
---|
12161 | va (VaSet
|
---|
12162 | vasetType 1
|
---|
12163 | fg "0,0,32768"
|
---|
12164 | )
|
---|
12165 | optionalChildren [
|
---|
12166 | (Hexagon
|
---|
12167 | sl 0
|
---|
12168 | xt "500,-375,2000,375"
|
---|
12169 | )
|
---|
12170 | (Line
|
---|
12171 | sl 0
|
---|
12172 | xt "0,0,500,0"
|
---|
12173 | pts [
|
---|
12174 | "0,0"
|
---|
12175 | "500,0"
|
---|
12176 | ]
|
---|
12177 | )
|
---|
12178 | ]
|
---|
12179 | )
|
---|
12180 | stc 0
|
---|
12181 | sf 1
|
---|
12182 | tg (WTG
|
---|
12183 | ps "PortIoTextPlaceStrategy"
|
---|
12184 | stg "STSignalDisplayStrategy"
|
---|
12185 | f (Text
|
---|
12186 | va (VaSet
|
---|
12187 | )
|
---|
12188 | xt "0,-375,0,-375"
|
---|
12189 | blo "0,-375"
|
---|
12190 | tm "WireNameMgr"
|
---|
12191 | )
|
---|
12192 | )
|
---|
12193 | )
|
---|
12194 | defaultPortIoBuffer (PortIoBuffer
|
---|
12195 | shape (CompositeShape
|
---|
12196 | va (VaSet
|
---|
12197 | vasetType 1
|
---|
12198 | fg "65535,65535,65535"
|
---|
12199 | lineColor "0,0,32768"
|
---|
12200 | )
|
---|
12201 | optionalChildren [
|
---|
12202 | (Hexagon
|
---|
12203 | sl 0
|
---|
12204 | xt "500,-375,2000,375"
|
---|
12205 | )
|
---|
12206 | (Line
|
---|
12207 | sl 0
|
---|
12208 | xt "0,0,500,0"
|
---|
12209 | pts [
|
---|
12210 | "0,0"
|
---|
12211 | "500,0"
|
---|
12212 | ]
|
---|
12213 | )
|
---|
12214 | ]
|
---|
12215 | )
|
---|
12216 | stc 0
|
---|
12217 | sf 1
|
---|
12218 | tg (WTG
|
---|
12219 | ps "PortIoTextPlaceStrategy"
|
---|
12220 | stg "STSignalDisplayStrategy"
|
---|
12221 | f (Text
|
---|
12222 | va (VaSet
|
---|
12223 | )
|
---|
12224 | xt "0,-375,0,-375"
|
---|
12225 | blo "0,-375"
|
---|
12226 | tm "WireNameMgr"
|
---|
12227 | )
|
---|
12228 | )
|
---|
12229 | )
|
---|
12230 | defaultSignal (Wire
|
---|
12231 | shape (OrthoPolyLine
|
---|
12232 | va (VaSet
|
---|
12233 | vasetType 3
|
---|
12234 | )
|
---|
12235 | pts [
|
---|
12236 | "0,0"
|
---|
12237 | "0,0"
|
---|
12238 | ]
|
---|
12239 | )
|
---|
12240 | ss 0
|
---|
12241 | es 0
|
---|
12242 | sat 32
|
---|
12243 | eat 32
|
---|
12244 | st 0
|
---|
12245 | sf 1
|
---|
12246 | si 0
|
---|
12247 | tg (WTG
|
---|
12248 | ps "ConnStartEndStrategy"
|
---|
12249 | stg "STSignalDisplayStrategy"
|
---|
12250 | f (Text
|
---|
12251 | va (VaSet
|
---|
12252 | )
|
---|
12253 | xt "0,0,1900,1000"
|
---|
12254 | st "sig0"
|
---|
12255 | blo "0,800"
|
---|
12256 | tm "WireNameMgr"
|
---|
12257 | )
|
---|
12258 | )
|
---|
12259 | )
|
---|
12260 | defaultBus (Wire
|
---|
12261 | shape (OrthoPolyLine
|
---|
12262 | va (VaSet
|
---|
12263 | vasetType 3
|
---|
12264 | lineWidth 2
|
---|
12265 | )
|
---|
12266 | pts [
|
---|
12267 | "0,0"
|
---|
12268 | "0,0"
|
---|
12269 | ]
|
---|
12270 | )
|
---|
12271 | ss 0
|
---|
12272 | es 0
|
---|
12273 | sat 32
|
---|
12274 | eat 32
|
---|
12275 | sty 1
|
---|
12276 | st 0
|
---|
12277 | sf 1
|
---|
12278 | si 0
|
---|
12279 | tg (WTG
|
---|
12280 | ps "ConnStartEndStrategy"
|
---|
12281 | stg "STSignalDisplayStrategy"
|
---|
12282 | f (Text
|
---|
12283 | va (VaSet
|
---|
12284 | )
|
---|
12285 | xt "0,0,2400,1000"
|
---|
12286 | st "dbus0"
|
---|
12287 | blo "0,800"
|
---|
12288 | tm "WireNameMgr"
|
---|
12289 | )
|
---|
12290 | )
|
---|
12291 | )
|
---|
12292 | defaultBundle (Bundle
|
---|
12293 | shape (OrthoPolyLine
|
---|
12294 | va (VaSet
|
---|
12295 | vasetType 3
|
---|
12296 | lineColor "32768,0,0"
|
---|
12297 | lineWidth 2
|
---|
12298 | )
|
---|
12299 | pts [
|
---|
12300 | "0,0"
|
---|
12301 | "0,0"
|
---|
12302 | ]
|
---|
12303 | )
|
---|
12304 | ss 0
|
---|
12305 | es 0
|
---|
12306 | sat 32
|
---|
12307 | eat 32
|
---|
12308 | textGroup (BiTextGroup
|
---|
12309 | ps "ConnStartEndStrategy"
|
---|
12310 | stg "VerticalLayoutStrategy"
|
---|
12311 | first (Text
|
---|
12312 | va (VaSet
|
---|
12313 | )
|
---|
12314 | xt "0,0,3000,1000"
|
---|
12315 | st "bundle0"
|
---|
12316 | blo "0,800"
|
---|
12317 | tm "BundleNameMgr"
|
---|
12318 | )
|
---|
12319 | second (MLText
|
---|
12320 | va (VaSet
|
---|
12321 | )
|
---|
12322 | xt "0,1000,1000,2000"
|
---|
12323 | st "()"
|
---|
12324 | tm "BundleContentsMgr"
|
---|
12325 | )
|
---|
12326 | )
|
---|
12327 | bundleNet &0
|
---|
12328 | )
|
---|
12329 | defaultPortMapFrame (PortMapFrame
|
---|
12330 | ps "PortMapFrameStrategy"
|
---|
12331 | shape (RectFrame
|
---|
12332 | va (VaSet
|
---|
12333 | vasetType 1
|
---|
12334 | fg "65535,65535,65535"
|
---|
12335 | lineColor "0,0,32768"
|
---|
12336 | lineWidth 2
|
---|
12337 | )
|
---|
12338 | xt "0,0,10000,12000"
|
---|
12339 | )
|
---|
12340 | portMapText (BiTextGroup
|
---|
12341 | ps "BottomRightOffsetStrategy"
|
---|
12342 | stg "VerticalLayoutStrategy"
|
---|
12343 | first (MLText
|
---|
12344 | va (VaSet
|
---|
12345 | )
|
---|
12346 | )
|
---|
12347 | second (MLText
|
---|
12348 | va (VaSet
|
---|
12349 | )
|
---|
12350 | tm "PortMapTextMgr"
|
---|
12351 | )
|
---|
12352 | )
|
---|
12353 | )
|
---|
12354 | defaultGenFrame (Frame
|
---|
12355 | shape (RectFrame
|
---|
12356 | va (VaSet
|
---|
12357 | vasetType 1
|
---|
12358 | fg "65535,65535,65535"
|
---|
12359 | lineColor "26368,26368,26368"
|
---|
12360 | lineStyle 2
|
---|
12361 | lineWidth 2
|
---|
12362 | )
|
---|
12363 | xt "0,0,20000,20000"
|
---|
12364 | )
|
---|
12365 | title (TextAssociate
|
---|
12366 | ps "TopLeftStrategy"
|
---|
12367 | text (MLText
|
---|
12368 | va (VaSet
|
---|
12369 | )
|
---|
12370 | xt "0,-1100,12900,-100"
|
---|
12371 | st "g0: FOR i IN 0 TO n GENERATE"
|
---|
12372 | tm "FrameTitleTextMgr"
|
---|
12373 | )
|
---|
12374 | )
|
---|
12375 | seqNum (FrameSequenceNumber
|
---|
12376 | ps "TopLeftStrategy"
|
---|
12377 | shape (Rectangle
|
---|
12378 | va (VaSet
|
---|
12379 | vasetType 1
|
---|
12380 | fg "65535,65535,65535"
|
---|
12381 | )
|
---|
12382 | xt "50,50,1250,1450"
|
---|
12383 | )
|
---|
12384 | num (Text
|
---|
12385 | va (VaSet
|
---|
12386 | )
|
---|
12387 | xt "250,250,1050,1250"
|
---|
12388 | st "1"
|
---|
12389 | blo "250,1050"
|
---|
12390 | tm "FrameSeqNumMgr"
|
---|
12391 | )
|
---|
12392 | )
|
---|
12393 | decls (MlTextGroup
|
---|
12394 | ps "BottomRightOffsetStrategy"
|
---|
12395 | stg "VerticalLayoutStrategy"
|
---|
12396 | textVec [
|
---|
12397 | *386 (Text
|
---|
12398 | va (VaSet
|
---|
12399 | font "Arial,8,1"
|
---|
12400 | )
|
---|
12401 | xt "14100,20000,22000,21000"
|
---|
12402 | st "Frame Declarations"
|
---|
12403 | blo "14100,20800"
|
---|
12404 | )
|
---|
12405 | *387 (MLText
|
---|
12406 | va (VaSet
|
---|
12407 | )
|
---|
12408 | xt "14100,21000,14100,21000"
|
---|
12409 | tm "BdFrameDeclTextMgr"
|
---|
12410 | )
|
---|
12411 | ]
|
---|
12412 | )
|
---|
12413 | )
|
---|
12414 | defaultBlockFrame (Frame
|
---|
12415 | shape (RectFrame
|
---|
12416 | va (VaSet
|
---|
12417 | vasetType 1
|
---|
12418 | fg "65535,65535,65535"
|
---|
12419 | lineColor "26368,26368,26368"
|
---|
12420 | lineStyle 1
|
---|
12421 | lineWidth 2
|
---|
12422 | )
|
---|
12423 | xt "0,0,20000,20000"
|
---|
12424 | )
|
---|
12425 | title (TextAssociate
|
---|
12426 | ps "TopLeftStrategy"
|
---|
12427 | text (MLText
|
---|
12428 | va (VaSet
|
---|
12429 | )
|
---|
12430 | xt "0,-1100,7700,-100"
|
---|
12431 | st "b0: BLOCK (guard)"
|
---|
12432 | tm "FrameTitleTextMgr"
|
---|
12433 | )
|
---|
12434 | )
|
---|
12435 | seqNum (FrameSequenceNumber
|
---|
12436 | ps "TopLeftStrategy"
|
---|
12437 | shape (Rectangle
|
---|
12438 | va (VaSet
|
---|
12439 | vasetType 1
|
---|
12440 | fg "65535,65535,65535"
|
---|
12441 | )
|
---|
12442 | xt "50,50,1250,1450"
|
---|
12443 | )
|
---|
12444 | num (Text
|
---|
12445 | va (VaSet
|
---|
12446 | )
|
---|
12447 | xt "250,250,1050,1250"
|
---|
12448 | st "1"
|
---|
12449 | blo "250,1050"
|
---|
12450 | tm "FrameSeqNumMgr"
|
---|
12451 | )
|
---|
12452 | )
|
---|
12453 | decls (MlTextGroup
|
---|
12454 | ps "BottomRightOffsetStrategy"
|
---|
12455 | stg "VerticalLayoutStrategy"
|
---|
12456 | textVec [
|
---|
12457 | *388 (Text
|
---|
12458 | va (VaSet
|
---|
12459 | font "Arial,8,1"
|
---|
12460 | )
|
---|
12461 | xt "14100,20000,22000,21000"
|
---|
12462 | st "Frame Declarations"
|
---|
12463 | blo "14100,20800"
|
---|
12464 | )
|
---|
12465 | *389 (MLText
|
---|
12466 | va (VaSet
|
---|
12467 | )
|
---|
12468 | xt "14100,21000,14100,21000"
|
---|
12469 | tm "BdFrameDeclTextMgr"
|
---|
12470 | )
|
---|
12471 | ]
|
---|
12472 | )
|
---|
12473 | style 3
|
---|
12474 | )
|
---|
12475 | defaultSaCptPort (CptPort
|
---|
12476 | ps "OnEdgeStrategy"
|
---|
12477 | shape (Triangle
|
---|
12478 | ro 90
|
---|
12479 | va (VaSet
|
---|
12480 | vasetType 1
|
---|
12481 | fg "0,65535,0"
|
---|
12482 | )
|
---|
12483 | xt "0,0,750,750"
|
---|
12484 | )
|
---|
12485 | tg (CPTG
|
---|
12486 | ps "CptPortTextPlaceStrategy"
|
---|
12487 | stg "VerticalLayoutStrategy"
|
---|
12488 | f (Text
|
---|
12489 | va (VaSet
|
---|
12490 | )
|
---|
12491 | xt "0,750,1800,1750"
|
---|
12492 | st "Port"
|
---|
12493 | blo "0,1550"
|
---|
12494 | )
|
---|
12495 | )
|
---|
12496 | thePort (LogicalPort
|
---|
12497 | decl (Decl
|
---|
12498 | n "Port"
|
---|
12499 | t ""
|
---|
12500 | o 0
|
---|
12501 | )
|
---|
12502 | )
|
---|
12503 | )
|
---|
12504 | defaultSaCptPortBuffer (CptPort
|
---|
12505 | ps "OnEdgeStrategy"
|
---|
12506 | shape (Diamond
|
---|
12507 | va (VaSet
|
---|
12508 | vasetType 1
|
---|
12509 | fg "65535,65535,65535"
|
---|
12510 | )
|
---|
12511 | xt "0,0,750,750"
|
---|
12512 | )
|
---|
12513 | tg (CPTG
|
---|
12514 | ps "CptPortTextPlaceStrategy"
|
---|
12515 | stg "VerticalLayoutStrategy"
|
---|
12516 | f (Text
|
---|
12517 | va (VaSet
|
---|
12518 | )
|
---|
12519 | xt "0,750,1800,1750"
|
---|
12520 | st "Port"
|
---|
12521 | blo "0,1550"
|
---|
12522 | )
|
---|
12523 | )
|
---|
12524 | thePort (LogicalPort
|
---|
12525 | m 3
|
---|
12526 | decl (Decl
|
---|
12527 | n "Port"
|
---|
12528 | t ""
|
---|
12529 | o 0
|
---|
12530 | )
|
---|
12531 | )
|
---|
12532 | )
|
---|
12533 | defaultDeclText (MLText
|
---|
12534 | va (VaSet
|
---|
12535 | font "Courier New,8,0"
|
---|
12536 | )
|
---|
12537 | )
|
---|
12538 | archDeclarativeBlock (BdArchDeclBlock
|
---|
12539 | uid 1,0
|
---|
12540 | stg "BdArchDeclBlockLS"
|
---|
12541 | declLabel (Text
|
---|
12542 | uid 2,0
|
---|
12543 | va (VaSet
|
---|
12544 | font "Arial,8,1"
|
---|
12545 | )
|
---|
12546 | xt "37000,1800,42400,2800"
|
---|
12547 | st "Declarations"
|
---|
12548 | blo "37000,2600"
|
---|
12549 | )
|
---|
12550 | portLabel (Text
|
---|
12551 | uid 3,0
|
---|
12552 | va (VaSet
|
---|
12553 | font "Arial,8,1"
|
---|
12554 | )
|
---|
12555 | xt "37000,2800,39700,3800"
|
---|
12556 | st "Ports:"
|
---|
12557 | blo "37000,3600"
|
---|
12558 | )
|
---|
12559 | preUserLabel (Text
|
---|
12560 | uid 4,0
|
---|
12561 | va (VaSet
|
---|
12562 | isHidden 1
|
---|
12563 | font "Arial,8,1"
|
---|
12564 | )
|
---|
12565 | xt "37000,1800,40800,2800"
|
---|
12566 | st "Pre User:"
|
---|
12567 | blo "37000,2600"
|
---|
12568 | )
|
---|
12569 | preUserText (MLText
|
---|
12570 | uid 5,0
|
---|
12571 | va (VaSet
|
---|
12572 | isHidden 1
|
---|
12573 | font "Courier New,8,0"
|
---|
12574 | )
|
---|
12575 | xt "37000,1800,37000,1800"
|
---|
12576 | tm "BdDeclarativeTextMgr"
|
---|
12577 | )
|
---|
12578 | diagSignalLabel (Text
|
---|
12579 | uid 6,0
|
---|
12580 | va (VaSet
|
---|
12581 | font "Arial,8,1"
|
---|
12582 | )
|
---|
12583 | xt "37000,45400,44100,46400"
|
---|
12584 | st "Diagram Signals:"
|
---|
12585 | blo "37000,46200"
|
---|
12586 | )
|
---|
12587 | postUserLabel (Text
|
---|
12588 | uid 7,0
|
---|
12589 | va (VaSet
|
---|
12590 | isHidden 1
|
---|
12591 | font "Arial,8,1"
|
---|
12592 | )
|
---|
12593 | xt "37000,1800,41700,2800"
|
---|
12594 | st "Post User:"
|
---|
12595 | blo "37000,2600"
|
---|
12596 | )
|
---|
12597 | postUserText (MLText
|
---|
12598 | uid 8,0
|
---|
12599 | va (VaSet
|
---|
12600 | isHidden 1
|
---|
12601 | font "Courier New,8,0"
|
---|
12602 | )
|
---|
12603 | xt "37000,1800,37000,1800"
|
---|
12604 | tm "BdDeclarativeTextMgr"
|
---|
12605 | )
|
---|
12606 | )
|
---|
12607 | commonDM (CommonDM
|
---|
12608 | ldm (LogicalDM
|
---|
12609 | suid 249,0
|
---|
12610 | usingSuid 1
|
---|
12611 | emptyRow *390 (LEmptyRow
|
---|
12612 | )
|
---|
12613 | uid 54,0
|
---|
12614 | optionalChildren [
|
---|
12615 | *391 (RefLabelRowHdr
|
---|
12616 | )
|
---|
12617 | *392 (TitleRowHdr
|
---|
12618 | )
|
---|
12619 | *393 (FilterRowHdr
|
---|
12620 | )
|
---|
12621 | *394 (RefLabelColHdr
|
---|
12622 | tm "RefLabelColHdrMgr"
|
---|
12623 | )
|
---|
12624 | *395 (RowExpandColHdr
|
---|
12625 | tm "RowExpandColHdrMgr"
|
---|
12626 | )
|
---|
12627 | *396 (GroupColHdr
|
---|
12628 | tm "GroupColHdrMgr"
|
---|
12629 | )
|
---|
12630 | *397 (NameColHdr
|
---|
12631 | tm "BlockDiagramNameColHdrMgr"
|
---|
12632 | )
|
---|
12633 | *398 (ModeColHdr
|
---|
12634 | tm "BlockDiagramModeColHdrMgr"
|
---|
12635 | )
|
---|
12636 | *399 (TypeColHdr
|
---|
12637 | tm "BlockDiagramTypeColHdrMgr"
|
---|
12638 | )
|
---|
12639 | *400 (BoundsColHdr
|
---|
12640 | tm "BlockDiagramBoundsColHdrMgr"
|
---|
12641 | )
|
---|
12642 | *401 (InitColHdr
|
---|
12643 | tm "BlockDiagramInitColHdrMgr"
|
---|
12644 | )
|
---|
12645 | *402 (EolColHdr
|
---|
12646 | tm "BlockDiagramEolColHdrMgr"
|
---|
12647 | )
|
---|
12648 | *403 (LeafLogPort
|
---|
12649 | port (LogicalPort
|
---|
12650 | m 4
|
---|
12651 | decl (Decl
|
---|
12652 | n "board_id"
|
---|
12653 | t "std_logic_vector"
|
---|
12654 | b "(3 downto 0)"
|
---|
12655 | preAdd 0
|
---|
12656 | posAdd 0
|
---|
12657 | o 60
|
---|
12658 | suid 5,0
|
---|
12659 | )
|
---|
12660 | )
|
---|
12661 | uid 327,0
|
---|
12662 | )
|
---|
12663 | *404 (LeafLogPort
|
---|
12664 | port (LogicalPort
|
---|
12665 | m 4
|
---|
12666 | decl (Decl
|
---|
12667 | n "crate_id"
|
---|
12668 | t "std_logic_vector"
|
---|
12669 | b "(1 downto 0)"
|
---|
12670 | o 62
|
---|
12671 | suid 6,0
|
---|
12672 | )
|
---|
12673 | )
|
---|
12674 | uid 329,0
|
---|
12675 | )
|
---|
12676 | *405 (LeafLogPort
|
---|
12677 | port (LogicalPort
|
---|
12678 | m 4
|
---|
12679 | decl (Decl
|
---|
12680 | n "adc_data_array"
|
---|
12681 | t "adc_data_array_type"
|
---|
12682 | o 57
|
---|
12683 | suid 29,0
|
---|
12684 | )
|
---|
12685 | )
|
---|
12686 | uid 1491,0
|
---|
12687 | )
|
---|
12688 | *406 (LeafLogPort
|
---|
12689 | port (LogicalPort
|
---|
12690 | m 1
|
---|
12691 | decl (Decl
|
---|
12692 | n "RSRLOAD"
|
---|
12693 | t "std_logic"
|
---|
12694 | o 40
|
---|
12695 | suid 57,0
|
---|
12696 | i "'0'"
|
---|
12697 | )
|
---|
12698 | )
|
---|
12699 | uid 2435,0
|
---|
12700 | )
|
---|
12701 | *407 (LeafLogPort
|
---|
12702 | port (LogicalPort
|
---|
12703 | m 1
|
---|
12704 | decl (Decl
|
---|
12705 | n "DAC_CS"
|
---|
12706 | t "std_logic"
|
---|
12707 | o 22
|
---|
12708 | suid 66,0
|
---|
12709 | )
|
---|
12710 | )
|
---|
12711 | uid 3039,0
|
---|
12712 | )
|
---|
12713 | *408 (LeafLogPort
|
---|
12714 | port (LogicalPort
|
---|
12715 | decl (Decl
|
---|
12716 | n "X_50M"
|
---|
12717 | t "STD_LOGIC"
|
---|
12718 | preAdd 0
|
---|
12719 | posAdd 0
|
---|
12720 | o 17
|
---|
12721 | suid 67,0
|
---|
12722 | )
|
---|
12723 | )
|
---|
12724 | uid 3276,0
|
---|
12725 | )
|
---|
12726 | *409 (LeafLogPort
|
---|
12727 | port (LogicalPort
|
---|
12728 | decl (Decl
|
---|
12729 | n "TRG"
|
---|
12730 | t "STD_LOGIC"
|
---|
12731 | o 15
|
---|
12732 | suid 68,0
|
---|
12733 | )
|
---|
12734 | )
|
---|
12735 | uid 3278,0
|
---|
12736 | )
|
---|
12737 | *410 (LeafLogPort
|
---|
12738 | port (LogicalPort
|
---|
12739 | m 1
|
---|
12740 | decl (Decl
|
---|
12741 | n "A_CLK"
|
---|
12742 | t "std_logic_vector"
|
---|
12743 | b "(3 downto 0)"
|
---|
12744 | o 21
|
---|
12745 | suid 71,0
|
---|
12746 | )
|
---|
12747 | )
|
---|
12748 | uid 3280,0
|
---|
12749 | )
|
---|
12750 | *411 (LeafLogPort
|
---|
12751 | port (LogicalPort
|
---|
12752 | m 1
|
---|
12753 | decl (Decl
|
---|
12754 | n "OE_ADC"
|
---|
12755 | t "STD_LOGIC"
|
---|
12756 | preAdd 0
|
---|
12757 | posAdd 0
|
---|
12758 | o 32
|
---|
12759 | suid 73,0
|
---|
12760 | )
|
---|
12761 | )
|
---|
12762 | uid 3382,0
|
---|
12763 | )
|
---|
12764 | *412 (LeafLogPort
|
---|
12765 | port (LogicalPort
|
---|
12766 | decl (Decl
|
---|
12767 | n "A_OTR"
|
---|
12768 | t "std_logic_vector"
|
---|
12769 | b "(3 DOWNTO 0)"
|
---|
12770 | o 5
|
---|
12771 | suid 74,0
|
---|
12772 | )
|
---|
12773 | )
|
---|
12774 | uid 3384,0
|
---|
12775 | )
|
---|
12776 | *413 (LeafLogPort
|
---|
12777 | port (LogicalPort
|
---|
12778 | decl (Decl
|
---|
12779 | n "A0_D"
|
---|
12780 | t "std_logic_vector"
|
---|
12781 | b "(11 DOWNTO 0)"
|
---|
12782 | o 1
|
---|
12783 | suid 79,0
|
---|
12784 | )
|
---|
12785 | )
|
---|
12786 | uid 3386,0
|
---|
12787 | )
|
---|
12788 | *414 (LeafLogPort
|
---|
12789 | port (LogicalPort
|
---|
12790 | decl (Decl
|
---|
12791 | n "A1_D"
|
---|
12792 | t "std_logic_vector"
|
---|
12793 | b "(11 DOWNTO 0)"
|
---|
12794 | o 2
|
---|
12795 | suid 80,0
|
---|
12796 | )
|
---|
12797 | )
|
---|
12798 | uid 3388,0
|
---|
12799 | )
|
---|
12800 | *415 (LeafLogPort
|
---|
12801 | port (LogicalPort
|
---|
12802 | decl (Decl
|
---|
12803 | n "A2_D"
|
---|
12804 | t "std_logic_vector"
|
---|
12805 | b "(11 DOWNTO 0)"
|
---|
12806 | o 3
|
---|
12807 | suid 81,0
|
---|
12808 | )
|
---|
12809 | )
|
---|
12810 | uid 3390,0
|
---|
12811 | )
|
---|
12812 | *416 (LeafLogPort
|
---|
12813 | port (LogicalPort
|
---|
12814 | decl (Decl
|
---|
12815 | n "A3_D"
|
---|
12816 | t "std_logic_vector"
|
---|
12817 | b "(11 DOWNTO 0)"
|
---|
12818 | o 4
|
---|
12819 | suid 82,0
|
---|
12820 | )
|
---|
12821 | )
|
---|
12822 | uid 3392,0
|
---|
12823 | )
|
---|
12824 | *417 (LeafLogPort
|
---|
12825 | port (LogicalPort
|
---|
12826 | decl (Decl
|
---|
12827 | n "D0_SROUT"
|
---|
12828 | t "std_logic"
|
---|
12829 | o 6
|
---|
12830 | suid 91,0
|
---|
12831 | )
|
---|
12832 | )
|
---|
12833 | uid 3524,0
|
---|
12834 | )
|
---|
12835 | *418 (LeafLogPort
|
---|
12836 | port (LogicalPort
|
---|
12837 | decl (Decl
|
---|
12838 | n "D1_SROUT"
|
---|
12839 | t "std_logic"
|
---|
12840 | o 7
|
---|
12841 | suid 92,0
|
---|
12842 | )
|
---|
12843 | )
|
---|
12844 | uid 3526,0
|
---|
12845 | )
|
---|
12846 | *419 (LeafLogPort
|
---|
12847 | port (LogicalPort
|
---|
12848 | decl (Decl
|
---|
12849 | n "D2_SROUT"
|
---|
12850 | t "std_logic"
|
---|
12851 | o 8
|
---|
12852 | suid 93,0
|
---|
12853 | )
|
---|
12854 | )
|
---|
12855 | uid 3528,0
|
---|
12856 | )
|
---|
12857 | *420 (LeafLogPort
|
---|
12858 | port (LogicalPort
|
---|
12859 | decl (Decl
|
---|
12860 | n "D3_SROUT"
|
---|
12861 | t "std_logic"
|
---|
12862 | o 9
|
---|
12863 | suid 94,0
|
---|
12864 | )
|
---|
12865 | )
|
---|
12866 | uid 3530,0
|
---|
12867 | )
|
---|
12868 | *421 (LeafLogPort
|
---|
12869 | port (LogicalPort
|
---|
12870 | m 1
|
---|
12871 | decl (Decl
|
---|
12872 | n "D_A"
|
---|
12873 | t "std_logic_vector"
|
---|
12874 | b "(3 DOWNTO 0)"
|
---|
12875 | o 26
|
---|
12876 | suid 95,0
|
---|
12877 | i "(others => '0')"
|
---|
12878 | )
|
---|
12879 | )
|
---|
12880 | uid 3532,0
|
---|
12881 | )
|
---|
12882 | *422 (LeafLogPort
|
---|
12883 | port (LogicalPort
|
---|
12884 | m 1
|
---|
12885 | decl (Decl
|
---|
12886 | n "DWRITE"
|
---|
12887 | t "std_logic"
|
---|
12888 | o 25
|
---|
12889 | suid 96,0
|
---|
12890 | i "'0'"
|
---|
12891 | )
|
---|
12892 | )
|
---|
12893 | uid 3534,0
|
---|
12894 | )
|
---|
12895 | *423 (LeafLogPort
|
---|
12896 | port (LogicalPort
|
---|
12897 | m 1
|
---|
12898 | decl (Decl
|
---|
12899 | n "S_CLK"
|
---|
12900 | t "std_logic"
|
---|
12901 | o 42
|
---|
12902 | suid 105,0
|
---|
12903 | )
|
---|
12904 | )
|
---|
12905 | uid 3654,0
|
---|
12906 | )
|
---|
12907 | *424 (LeafLogPort
|
---|
12908 | port (LogicalPort
|
---|
12909 | m 1
|
---|
12910 | decl (Decl
|
---|
12911 | n "W_A"
|
---|
12912 | t "std_logic_vector"
|
---|
12913 | b "(9 DOWNTO 0)"
|
---|
12914 | o 45
|
---|
12915 | suid 106,0
|
---|
12916 | )
|
---|
12917 | )
|
---|
12918 | uid 3656,0
|
---|
12919 | )
|
---|
12920 | *425 (LeafLogPort
|
---|
12921 | port (LogicalPort
|
---|
12922 | m 2
|
---|
12923 | decl (Decl
|
---|
12924 | n "W_D"
|
---|
12925 | t "std_logic_vector"
|
---|
12926 | b "(15 DOWNTO 0)"
|
---|
12927 | o 52
|
---|
12928 | suid 107,0
|
---|
12929 | )
|
---|
12930 | )
|
---|
12931 | uid 3658,0
|
---|
12932 | )
|
---|
12933 | *426 (LeafLogPort
|
---|
12934 | port (LogicalPort
|
---|
12935 | m 1
|
---|
12936 | decl (Decl
|
---|
12937 | n "W_RES"
|
---|
12938 | t "std_logic"
|
---|
12939 | o 48
|
---|
12940 | suid 108,0
|
---|
12941 | i "'1'"
|
---|
12942 | )
|
---|
12943 | )
|
---|
12944 | uid 3660,0
|
---|
12945 | )
|
---|
12946 | *427 (LeafLogPort
|
---|
12947 | port (LogicalPort
|
---|
12948 | m 1
|
---|
12949 | decl (Decl
|
---|
12950 | n "W_RD"
|
---|
12951 | t "std_logic"
|
---|
12952 | o 47
|
---|
12953 | suid 109,0
|
---|
12954 | i "'1'"
|
---|
12955 | )
|
---|
12956 | )
|
---|
12957 | uid 3662,0
|
---|
12958 | )
|
---|
12959 | *428 (LeafLogPort
|
---|
12960 | port (LogicalPort
|
---|
12961 | m 1
|
---|
12962 | decl (Decl
|
---|
12963 | n "W_WR"
|
---|
12964 | t "std_logic"
|
---|
12965 | o 50
|
---|
12966 | suid 110,0
|
---|
12967 | i "'1'"
|
---|
12968 | )
|
---|
12969 | )
|
---|
12970 | uid 3664,0
|
---|
12971 | )
|
---|
12972 | *429 (LeafLogPort
|
---|
12973 | port (LogicalPort
|
---|
12974 | decl (Decl
|
---|
12975 | n "W_INT"
|
---|
12976 | t "std_logic"
|
---|
12977 | o 16
|
---|
12978 | suid 111,0
|
---|
12979 | )
|
---|
12980 | )
|
---|
12981 | uid 3666,0
|
---|
12982 | )
|
---|
12983 | *430 (LeafLogPort
|
---|
12984 | port (LogicalPort
|
---|
12985 | m 1
|
---|
12986 | decl (Decl
|
---|
12987 | n "W_CS"
|
---|
12988 | t "std_logic"
|
---|
12989 | o 46
|
---|
12990 | suid 112,0
|
---|
12991 | i "'1'"
|
---|
12992 | )
|
---|
12993 | )
|
---|
12994 | uid 3668,0
|
---|
12995 | )
|
---|
12996 | *431 (LeafLogPort
|
---|
12997 | port (LogicalPort
|
---|
12998 | m 1
|
---|
12999 | decl (Decl
|
---|
13000 | n "MOSI"
|
---|
13001 | t "std_logic"
|
---|
13002 | o 31
|
---|
13003 | suid 113,0
|
---|
13004 | i "'0'"
|
---|
13005 | )
|
---|
13006 | )
|
---|
13007 | uid 3696,0
|
---|
13008 | )
|
---|
13009 | *432 (LeafLogPort
|
---|
13010 | port (LogicalPort
|
---|
13011 | m 2
|
---|
13012 | decl (Decl
|
---|
13013 | n "MISO"
|
---|
13014 | t "std_logic"
|
---|
13015 | preAdd 0
|
---|
13016 | posAdd 0
|
---|
13017 | o 51
|
---|
13018 | suid 114,0
|
---|
13019 | )
|
---|
13020 | )
|
---|
13021 | uid 3698,0
|
---|
13022 | )
|
---|
13023 | *433 (LeafLogPort
|
---|
13024 | port (LogicalPort
|
---|
13025 | m 1
|
---|
13026 | decl (Decl
|
---|
13027 | n "RS485_C_RE"
|
---|
13028 | t "std_logic"
|
---|
13029 | o 36
|
---|
13030 | suid 127,0
|
---|
13031 | )
|
---|
13032 | )
|
---|
13033 | uid 3888,0
|
---|
13034 | )
|
---|
13035 | *434 (LeafLogPort
|
---|
13036 | port (LogicalPort
|
---|
13037 | m 1
|
---|
13038 | decl (Decl
|
---|
13039 | n "RS485_C_DE"
|
---|
13040 | t "std_logic"
|
---|
13041 | o 34
|
---|
13042 | suid 128,0
|
---|
13043 | )
|
---|
13044 | )
|
---|
13045 | uid 3890,0
|
---|
13046 | )
|
---|
13047 | *435 (LeafLogPort
|
---|
13048 | port (LogicalPort
|
---|
13049 | m 1
|
---|
13050 | decl (Decl
|
---|
13051 | n "RS485_E_RE"
|
---|
13052 | t "std_logic"
|
---|
13053 | o 39
|
---|
13054 | suid 129,0
|
---|
13055 | )
|
---|
13056 | )
|
---|
13057 | uid 3892,0
|
---|
13058 | )
|
---|
13059 | *436 (LeafLogPort
|
---|
13060 | port (LogicalPort
|
---|
13061 | m 1
|
---|
13062 | decl (Decl
|
---|
13063 | n "RS485_E_DE"
|
---|
13064 | t "std_logic"
|
---|
13065 | o 37
|
---|
13066 | suid 130,0
|
---|
13067 | )
|
---|
13068 | )
|
---|
13069 | uid 3894,0
|
---|
13070 | )
|
---|
13071 | *437 (LeafLogPort
|
---|
13072 | port (LogicalPort
|
---|
13073 | m 1
|
---|
13074 | decl (Decl
|
---|
13075 | n "DENABLE"
|
---|
13076 | t "std_logic"
|
---|
13077 | o 23
|
---|
13078 | suid 131,0
|
---|
13079 | i "'0'"
|
---|
13080 | )
|
---|
13081 | )
|
---|
13082 | uid 3896,0
|
---|
13083 | )
|
---|
13084 | *438 (LeafLogPort
|
---|
13085 | port (LogicalPort
|
---|
13086 | m 1
|
---|
13087 | decl (Decl
|
---|
13088 | n "EE_CS"
|
---|
13089 | t "std_logic"
|
---|
13090 | o 29
|
---|
13091 | suid 133,0
|
---|
13092 | )
|
---|
13093 | )
|
---|
13094 | uid 3900,0
|
---|
13095 | )
|
---|
13096 | *439 (LeafLogPort
|
---|
13097 | port (LogicalPort
|
---|
13098 | m 1
|
---|
13099 | decl (Decl
|
---|
13100 | n "D_T"
|
---|
13101 | t "std_logic_vector"
|
---|
13102 | b "(7 DOWNTO 0)"
|
---|
13103 | o 27
|
---|
13104 | suid 141,0
|
---|
13105 | i "(OTHERS => '0')"
|
---|
13106 | )
|
---|
13107 | )
|
---|
13108 | uid 5322,0
|
---|
13109 | )
|
---|
13110 | *440 (LeafLogPort
|
---|
13111 | port (LogicalPort
|
---|
13112 | m 1
|
---|
13113 | decl (Decl
|
---|
13114 | n "D_T2"
|
---|
13115 | t "std_logic_vector"
|
---|
13116 | b "(1 DOWNTO 0)"
|
---|
13117 | o 28
|
---|
13118 | suid 154,0
|
---|
13119 | i "(others => '0')"
|
---|
13120 | )
|
---|
13121 | )
|
---|
13122 | uid 6872,0
|
---|
13123 | scheme 0
|
---|
13124 | )
|
---|
13125 | *441 (LeafLogPort
|
---|
13126 | port (LogicalPort
|
---|
13127 | m 1
|
---|
13128 | decl (Decl
|
---|
13129 | n "A1_T"
|
---|
13130 | t "std_logic_vector"
|
---|
13131 | b "(7 DOWNTO 0)"
|
---|
13132 | o 19
|
---|
13133 | suid 155,0
|
---|
13134 | i "(OTHERS => '0')"
|
---|
13135 | )
|
---|
13136 | )
|
---|
13137 | uid 7134,0
|
---|
13138 | scheme 0
|
---|
13139 | )
|
---|
13140 | *442 (LeafLogPort
|
---|
13141 | port (LogicalPort
|
---|
13142 | m 4
|
---|
13143 | decl (Decl
|
---|
13144 | n "CLK_50"
|
---|
13145 | t "std_logic"
|
---|
13146 | o 54
|
---|
13147 | suid 163,0
|
---|
13148 | )
|
---|
13149 | )
|
---|
13150 | uid 9516,0
|
---|
13151 | )
|
---|
13152 | *443 (LeafLogPort
|
---|
13153 | port (LogicalPort
|
---|
13154 | m 1
|
---|
13155 | decl (Decl
|
---|
13156 | n "A0_T"
|
---|
13157 | t "std_logic_vector"
|
---|
13158 | b "(7 DOWNTO 0)"
|
---|
13159 | o 18
|
---|
13160 | suid 166,0
|
---|
13161 | i "(others => '0')"
|
---|
13162 | )
|
---|
13163 | )
|
---|
13164 | uid 10294,0
|
---|
13165 | scheme 0
|
---|
13166 | )
|
---|
13167 | *444 (LeafLogPort
|
---|
13168 | port (LogicalPort
|
---|
13169 | m 1
|
---|
13170 | decl (Decl
|
---|
13171 | n "RS485_C_DO"
|
---|
13172 | t "std_logic"
|
---|
13173 | o 35
|
---|
13174 | suid 198,0
|
---|
13175 | )
|
---|
13176 | )
|
---|
13177 | uid 11086,0
|
---|
13178 | scheme 0
|
---|
13179 | )
|
---|
13180 | *445 (LeafLogPort
|
---|
13181 | port (LogicalPort
|
---|
13182 | decl (Decl
|
---|
13183 | n "RS485_E_DI"
|
---|
13184 | t "std_logic"
|
---|
13185 | o 14
|
---|
13186 | suid 200,0
|
---|
13187 | )
|
---|
13188 | )
|
---|
13189 | uid 11504,0
|
---|
13190 | scheme 0
|
---|
13191 | )
|
---|
13192 | *446 (LeafLogPort
|
---|
13193 | port (LogicalPort
|
---|
13194 | m 1
|
---|
13195 | decl (Decl
|
---|
13196 | n "RS485_E_DO"
|
---|
13197 | t "std_logic"
|
---|
13198 | o 38
|
---|
13199 | suid 201,0
|
---|
13200 | )
|
---|
13201 | )
|
---|
13202 | uid 11506,0
|
---|
13203 | scheme 0
|
---|
13204 | )
|
---|
13205 | *447 (LeafLogPort
|
---|
13206 | port (LogicalPort
|
---|
13207 | m 1
|
---|
13208 | decl (Decl
|
---|
13209 | n "SRIN"
|
---|
13210 | t "std_logic"
|
---|
13211 | o 41
|
---|
13212 | suid 203,0
|
---|
13213 | i "'0'"
|
---|
13214 | )
|
---|
13215 | )
|
---|
13216 | uid 12336,0
|
---|
13217 | )
|
---|
13218 | *448 (LeafLogPort
|
---|
13219 | port (LogicalPort
|
---|
13220 | m 1
|
---|
13221 | decl (Decl
|
---|
13222 | n "AMBER_LED"
|
---|
13223 | t "std_logic"
|
---|
13224 | o 20
|
---|
13225 | suid 207,0
|
---|
13226 | )
|
---|
13227 | )
|
---|
13228 | uid 12768,0
|
---|
13229 | )
|
---|
13230 | *449 (LeafLogPort
|
---|
13231 | port (LogicalPort
|
---|
13232 | m 1
|
---|
13233 | decl (Decl
|
---|
13234 | n "GREEN_LED"
|
---|
13235 | t "std_logic"
|
---|
13236 | o 30
|
---|
13237 | suid 208,0
|
---|
13238 | )
|
---|
13239 | )
|
---|
13240 | uid 12770,0
|
---|
13241 | )
|
---|
13242 | *450 (LeafLogPort
|
---|
13243 | port (LogicalPort
|
---|
13244 | m 1
|
---|
13245 | decl (Decl
|
---|
13246 | n "RED_LED"
|
---|
13247 | t "std_logic"
|
---|
13248 | o 33
|
---|
13249 | suid 209,0
|
---|
13250 | )
|
---|
13251 | )
|
---|
13252 | uid 12772,0
|
---|
13253 | )
|
---|
13254 | *451 (LeafLogPort
|
---|
13255 | port (LogicalPort
|
---|
13256 | decl (Decl
|
---|
13257 | n "LINE"
|
---|
13258 | t "std_logic_vector"
|
---|
13259 | b "( 5 DOWNTO 0 )"
|
---|
13260 | o 12
|
---|
13261 | suid 210,0
|
---|
13262 | )
|
---|
13263 | )
|
---|
13264 | uid 13514,0
|
---|
13265 | scheme 0
|
---|
13266 | )
|
---|
13267 | *452 (LeafLogPort
|
---|
13268 | port (LogicalPort
|
---|
13269 | decl (Decl
|
---|
13270 | n "REFCLK"
|
---|
13271 | t "std_logic"
|
---|
13272 | o 13
|
---|
13273 | suid 211,0
|
---|
13274 | )
|
---|
13275 | )
|
---|
13276 | uid 13626,0
|
---|
13277 | scheme 0
|
---|
13278 | )
|
---|
13279 | *453 (LeafLogPort
|
---|
13280 | port (LogicalPort
|
---|
13281 | decl (Decl
|
---|
13282 | n "D_T_in"
|
---|
13283 | t "std_logic_vector"
|
---|
13284 | b "(1 DOWNTO 0)"
|
---|
13285 | o 11
|
---|
13286 | suid 213,0
|
---|
13287 | )
|
---|
13288 | )
|
---|
13289 | uid 14320,0
|
---|
13290 | scheme 0
|
---|
13291 | )
|
---|
13292 | *454 (LeafLogPort
|
---|
13293 | port (LogicalPort
|
---|
13294 | m 4
|
---|
13295 | decl (Decl
|
---|
13296 | n "led"
|
---|
13297 | t "std_logic_vector"
|
---|
13298 | b "(7 DOWNTO 0)"
|
---|
13299 | posAdd 0
|
---|
13300 | o 65
|
---|
13301 | suid 215,0
|
---|
13302 | i "(OTHERS => '0')"
|
---|
13303 | )
|
---|
13304 | )
|
---|
13305 | uid 15181,0
|
---|
13306 | )
|
---|
13307 | *455 (LeafLogPort
|
---|
13308 | port (LogicalPort
|
---|
13309 | decl (Decl
|
---|
13310 | n "D_PLLLCK"
|
---|
13311 | t "std_logic_vector"
|
---|
13312 | b "(3 DOWNTO 0)"
|
---|
13313 | o 10
|
---|
13314 | suid 216,0
|
---|
13315 | )
|
---|
13316 | )
|
---|
13317 | uid 15704,0
|
---|
13318 | scheme 0
|
---|
13319 | )
|
---|
13320 | *456 (LeafLogPort
|
---|
13321 | port (LogicalPort
|
---|
13322 | m 1
|
---|
13323 | decl (Decl
|
---|
13324 | n "TCS"
|
---|
13325 | t "std_logic_vector"
|
---|
13326 | b "(3 DOWNTO 0)"
|
---|
13327 | o 43
|
---|
13328 | suid 217,0
|
---|
13329 | )
|
---|
13330 | )
|
---|
13331 | uid 15843,0
|
---|
13332 | scheme 0
|
---|
13333 | )
|
---|
13334 | *457 (LeafLogPort
|
---|
13335 | port (LogicalPort
|
---|
13336 | m 1
|
---|
13337 | decl (Decl
|
---|
13338 | n "DSRCLK"
|
---|
13339 | t "std_logic_vector"
|
---|
13340 | b "(3 DOWNTO 0)"
|
---|
13341 | o 24
|
---|
13342 | suid 222,0
|
---|
13343 | i "(others => '0')"
|
---|
13344 | )
|
---|
13345 | )
|
---|
13346 | uid 16055,0
|
---|
13347 | scheme 0
|
---|
13348 | )
|
---|
13349 | *458 (LeafLogPort
|
---|
13350 | port (LogicalPort
|
---|
13351 | m 4
|
---|
13352 | decl (Decl
|
---|
13353 | n "SRCLK"
|
---|
13354 | t "std_logic"
|
---|
13355 | o 56
|
---|
13356 | suid 225,0
|
---|
13357 | i "'0'"
|
---|
13358 | )
|
---|
13359 | )
|
---|
13360 | uid 16253,0
|
---|
13361 | )
|
---|
13362 | *459 (LeafLogPort
|
---|
13363 | port (LogicalPort
|
---|
13364 | m 4
|
---|
13365 | decl (Decl
|
---|
13366 | n "alarm_refclk_too_high"
|
---|
13367 | t "std_logic"
|
---|
13368 | o 58
|
---|
13369 | suid 226,0
|
---|
13370 | i "'0'"
|
---|
13371 | )
|
---|
13372 | )
|
---|
13373 | uid 16582,0
|
---|
13374 | )
|
---|
13375 | *460 (LeafLogPort
|
---|
13376 | port (LogicalPort
|
---|
13377 | m 4
|
---|
13378 | decl (Decl
|
---|
13379 | n "alarm_refclk_too_low"
|
---|
13380 | t "std_logic"
|
---|
13381 | o 59
|
---|
13382 | suid 227,0
|
---|
13383 | i "'0'"
|
---|
13384 | )
|
---|
13385 | )
|
---|
13386 | uid 16584,0
|
---|
13387 | )
|
---|
13388 | *461 (LeafLogPort
|
---|
13389 | port (LogicalPort
|
---|
13390 | m 4
|
---|
13391 | decl (Decl
|
---|
13392 | n "counter_result"
|
---|
13393 | t "std_logic_vector"
|
---|
13394 | b "(11 downto 0)"
|
---|
13395 | o 61
|
---|
13396 | suid 230,0
|
---|
13397 | i "(others => '0')"
|
---|
13398 | )
|
---|
13399 | )
|
---|
13400 | uid 16586,0
|
---|
13401 | )
|
---|
13402 | *462 (LeafLogPort
|
---|
13403 | port (LogicalPort
|
---|
13404 | lang 2
|
---|
13405 | m 4
|
---|
13406 | decl (Decl
|
---|
13407 | n "ADC_CLK"
|
---|
13408 | t "std_logic"
|
---|
13409 | o 53
|
---|
13410 | suid 231,0
|
---|
13411 | )
|
---|
13412 | )
|
---|
13413 | uid 17310,0
|
---|
13414 | )
|
---|
13415 | *463 (LeafLogPort
|
---|
13416 | port (LogicalPort
|
---|
13417 | lang 2
|
---|
13418 | m 1
|
---|
13419 | decl (Decl
|
---|
13420 | n "TRG_V"
|
---|
13421 | t "std_logic"
|
---|
13422 | o 44
|
---|
13423 | suid 232,0
|
---|
13424 | i "'0'"
|
---|
13425 | )
|
---|
13426 | )
|
---|
13427 | uid 17399,0
|
---|
13428 | scheme 0
|
---|
13429 | )
|
---|
13430 | *464 (LeafLogPort
|
---|
13431 | port (LogicalPort
|
---|
13432 | m 4
|
---|
13433 | decl (Decl
|
---|
13434 | n "w5300_state"
|
---|
13435 | t "std_logic_vector"
|
---|
13436 | b "(7 DOWNTO 0)"
|
---|
13437 | eolc "-- state is encoded here ... useful for debugging."
|
---|
13438 | posAdd 0
|
---|
13439 | o 68
|
---|
13440 | suid 233,0
|
---|
13441 | )
|
---|
13442 | )
|
---|
13443 | uid 17854,0
|
---|
13444 | )
|
---|
13445 | *465 (LeafLogPort
|
---|
13446 | port (LogicalPort
|
---|
13447 | m 4
|
---|
13448 | decl (Decl
|
---|
13449 | n "debug_data_ram_empty"
|
---|
13450 | t "std_logic"
|
---|
13451 | o 63
|
---|
13452 | suid 234,0
|
---|
13453 | )
|
---|
13454 | )
|
---|
13455 | uid 18082,0
|
---|
13456 | )
|
---|
13457 | *466 (LeafLogPort
|
---|
13458 | port (LogicalPort
|
---|
13459 | m 4
|
---|
13460 | decl (Decl
|
---|
13461 | n "debug_data_valid"
|
---|
13462 | t "std_logic"
|
---|
13463 | o 64
|
---|
13464 | suid 235,0
|
---|
13465 | )
|
---|
13466 | )
|
---|
13467 | uid 18084,0
|
---|
13468 | )
|
---|
13469 | *467 (LeafLogPort
|
---|
13470 | port (LogicalPort
|
---|
13471 | lang 2
|
---|
13472 | m 4
|
---|
13473 | decl (Decl
|
---|
13474 | n "mem_manager_state"
|
---|
13475 | t "std_logic_vector"
|
---|
13476 | b "(3 DOWNTO 0)"
|
---|
13477 | eolc "-- state is encoded here ... useful for debugging."
|
---|
13478 | posAdd 0
|
---|
13479 | o 66
|
---|
13480 | suid 237,0
|
---|
13481 | )
|
---|
13482 | )
|
---|
13483 | uid 18213,0
|
---|
13484 | )
|
---|
13485 | *468 (LeafLogPort
|
---|
13486 | port (LogicalPort
|
---|
13487 | m 4
|
---|
13488 | decl (Decl
|
---|
13489 | n "DG_state"
|
---|
13490 | t "std_logic_vector"
|
---|
13491 | b "(7 downto 0)"
|
---|
13492 | prec "-- for debugging"
|
---|
13493 | preAdd 0
|
---|
13494 | o 55
|
---|
13495 | suid 238,0
|
---|
13496 | )
|
---|
13497 | )
|
---|
13498 | uid 18334,0
|
---|
13499 | )
|
---|
13500 | *469 (LeafLogPort
|
---|
13501 | port (LogicalPort
|
---|
13502 | m 4
|
---|
13503 | decl (Decl
|
---|
13504 | n "socket_tx_free_out"
|
---|
13505 | t "std_logic_vector"
|
---|
13506 | b "(16 DOWNTO 0)"
|
---|
13507 | eolc "-- 17bit value .. that's true"
|
---|
13508 | posAdd 0
|
---|
13509 | o 67
|
---|
13510 | suid 239,0
|
---|
13511 | )
|
---|
13512 | )
|
---|
13513 | uid 18483,0
|
---|
13514 | )
|
---|
13515 | *470 (LeafLogPort
|
---|
13516 | port (LogicalPort
|
---|
13517 | m 1
|
---|
13518 | decl (Decl
|
---|
13519 | n "W_T"
|
---|
13520 | t "std_logic_vector"
|
---|
13521 | b "( 3 DOWNTO 0 )"
|
---|
13522 | o 49
|
---|
13523 | suid 240,0
|
---|
13524 | i "(others => '0')"
|
---|
13525 | )
|
---|
13526 | )
|
---|
13527 | uid 18800,0
|
---|
13528 | scheme 0
|
---|
13529 | )
|
---|
13530 | *471 (LeafLogPort
|
---|
13531 | port (LogicalPort
|
---|
13532 | m 4
|
---|
13533 | decl (Decl
|
---|
13534 | n "dac_cs1"
|
---|
13535 | t "std_logic"
|
---|
13536 | o 69
|
---|
13537 | suid 241,0
|
---|
13538 | )
|
---|
13539 | )
|
---|
13540 | uid 19557,0
|
---|
13541 | )
|
---|
13542 | *472 (LeafLogPort
|
---|
13543 | port (LogicalPort
|
---|
13544 | m 4
|
---|
13545 | decl (Decl
|
---|
13546 | n "sensor_cs"
|
---|
13547 | t "std_logic_vector"
|
---|
13548 | b "(3 DOWNTO 0)"
|
---|
13549 | o 70
|
---|
13550 | suid 242,0
|
---|
13551 | )
|
---|
13552 | )
|
---|
13553 | uid 19559,0
|
---|
13554 | )
|
---|
13555 | *473 (LeafLogPort
|
---|
13556 | port (LogicalPort
|
---|
13557 | m 4
|
---|
13558 | decl (Decl
|
---|
13559 | n "sclk"
|
---|
13560 | t "std_logic"
|
---|
13561 | o 71
|
---|
13562 | suid 243,0
|
---|
13563 | )
|
---|
13564 | )
|
---|
13565 | uid 19561,0
|
---|
13566 | )
|
---|
13567 | *474 (LeafLogPort
|
---|
13568 | port (LogicalPort
|
---|
13569 | m 4
|
---|
13570 | decl (Decl
|
---|
13571 | n "mosi1"
|
---|
13572 | t "std_logic"
|
---|
13573 | o 72
|
---|
13574 | suid 245,0
|
---|
13575 | )
|
---|
13576 | )
|
---|
13577 | uid 19563,0
|
---|
13578 | )
|
---|
13579 | *475 (LeafLogPort
|
---|
13580 | port (LogicalPort
|
---|
13581 | m 4
|
---|
13582 | decl (Decl
|
---|
13583 | n "trigger_veto"
|
---|
13584 | t "std_logic"
|
---|
13585 | o 73
|
---|
13586 | suid 249,0
|
---|
13587 | i "'1'"
|
---|
13588 | )
|
---|
13589 | )
|
---|
13590 | uid 20225,0
|
---|
13591 | )
|
---|
13592 | ]
|
---|
13593 | )
|
---|
13594 | pdm (PhysicalDM
|
---|
13595 | displayShortBounds 1
|
---|
13596 | editShortBounds 1
|
---|
13597 | uid 67,0
|
---|
13598 | optionalChildren [
|
---|
13599 | *476 (Sheet
|
---|
13600 | sheetRow (SheetRow
|
---|
13601 | headerVa (MVa
|
---|
13602 | cellColor "49152,49152,49152"
|
---|
13603 | fontColor "0,0,0"
|
---|
13604 | font "Tahoma,10,0"
|
---|
13605 | )
|
---|
13606 | cellVa (MVa
|
---|
13607 | cellColor "65535,65535,65535"
|
---|
13608 | fontColor "0,0,0"
|
---|
13609 | font "Tahoma,10,0"
|
---|
13610 | )
|
---|
13611 | groupVa (MVa
|
---|
13612 | cellColor "39936,56832,65280"
|
---|
13613 | fontColor "0,0,0"
|
---|
13614 | font "Tahoma,10,0"
|
---|
13615 | )
|
---|
13616 | emptyMRCItem *477 (MRCItem
|
---|
13617 | litem &390
|
---|
13618 | pos 73
|
---|
13619 | dimension 20
|
---|
13620 | )
|
---|
13621 | uid 69,0
|
---|
13622 | optionalChildren [
|
---|
13623 | *478 (MRCItem
|
---|
13624 | litem &391
|
---|
13625 | pos 0
|
---|
13626 | dimension 20
|
---|
13627 | uid 70,0
|
---|
13628 | )
|
---|
13629 | *479 (MRCItem
|
---|
13630 | litem &392
|
---|
13631 | pos 1
|
---|
13632 | dimension 23
|
---|
13633 | uid 71,0
|
---|
13634 | )
|
---|
13635 | *480 (MRCItem
|
---|
13636 | litem &393
|
---|
13637 | pos 2
|
---|
13638 | hidden 1
|
---|
13639 | dimension 20
|
---|
13640 | uid 72,0
|
---|
13641 | )
|
---|
13642 | *481 (MRCItem
|
---|
13643 | litem &403
|
---|
13644 | pos 52
|
---|
13645 | dimension 20
|
---|
13646 | uid 328,0
|
---|
13647 | )
|
---|
13648 | *482 (MRCItem
|
---|
13649 | litem &404
|
---|
13650 | pos 53
|
---|
13651 | dimension 20
|
---|
13652 | uid 330,0
|
---|
13653 | )
|
---|
13654 | *483 (MRCItem
|
---|
13655 | litem &405
|
---|
13656 | pos 54
|
---|
13657 | dimension 20
|
---|
13658 | uid 1492,0
|
---|
13659 | )
|
---|
13660 | *484 (MRCItem
|
---|
13661 | litem &406
|
---|
13662 | pos 0
|
---|
13663 | dimension 20
|
---|
13664 | uid 2436,0
|
---|
13665 | )
|
---|
13666 | *485 (MRCItem
|
---|
13667 | litem &407
|
---|
13668 | pos 1
|
---|
13669 | dimension 20
|
---|
13670 | uid 3040,0
|
---|
13671 | )
|
---|
13672 | *486 (MRCItem
|
---|
13673 | litem &408
|
---|
13674 | pos 2
|
---|
13675 | dimension 20
|
---|
13676 | uid 3277,0
|
---|
13677 | )
|
---|
13678 | *487 (MRCItem
|
---|
13679 | litem &409
|
---|
13680 | pos 3
|
---|
13681 | dimension 20
|
---|
13682 | uid 3279,0
|
---|
13683 | )
|
---|
13684 | *488 (MRCItem
|
---|
13685 | litem &410
|
---|
13686 | pos 4
|
---|
13687 | dimension 20
|
---|
13688 | uid 3281,0
|
---|
13689 | )
|
---|
13690 | *489 (MRCItem
|
---|
13691 | litem &411
|
---|
13692 | pos 5
|
---|
13693 | dimension 20
|
---|
13694 | uid 3383,0
|
---|
13695 | )
|
---|
13696 | *490 (MRCItem
|
---|
13697 | litem &412
|
---|
13698 | pos 6
|
---|
13699 | dimension 20
|
---|
13700 | uid 3385,0
|
---|
13701 | )
|
---|
13702 | *491 (MRCItem
|
---|
13703 | litem &413
|
---|
13704 | pos 7
|
---|
13705 | dimension 20
|
---|
13706 | uid 3387,0
|
---|
13707 | )
|
---|
13708 | *492 (MRCItem
|
---|
13709 | litem &414
|
---|
13710 | pos 8
|
---|
13711 | dimension 20
|
---|
13712 | uid 3389,0
|
---|
13713 | )
|
---|
13714 | *493 (MRCItem
|
---|
13715 | litem &415
|
---|
13716 | pos 9
|
---|
13717 | dimension 20
|
---|
13718 | uid 3391,0
|
---|
13719 | )
|
---|
13720 | *494 (MRCItem
|
---|
13721 | litem &416
|
---|
13722 | pos 10
|
---|
13723 | dimension 20
|
---|
13724 | uid 3393,0
|
---|
13725 | )
|
---|
13726 | *495 (MRCItem
|
---|
13727 | litem &417
|
---|
13728 | pos 11
|
---|
13729 | dimension 20
|
---|
13730 | uid 3525,0
|
---|
13731 | )
|
---|
13732 | *496 (MRCItem
|
---|
13733 | litem &418
|
---|
13734 | pos 12
|
---|
13735 | dimension 20
|
---|
13736 | uid 3527,0
|
---|
13737 | )
|
---|
13738 | *497 (MRCItem
|
---|
13739 | litem &419
|
---|
13740 | pos 13
|
---|
13741 | dimension 20
|
---|
13742 | uid 3529,0
|
---|
13743 | )
|
---|
13744 | *498 (MRCItem
|
---|
13745 | litem &420
|
---|
13746 | pos 14
|
---|
13747 | dimension 20
|
---|
13748 | uid 3531,0
|
---|
13749 | )
|
---|
13750 | *499 (MRCItem
|
---|
13751 | litem &421
|
---|
13752 | pos 15
|
---|
13753 | dimension 20
|
---|
13754 | uid 3533,0
|
---|
13755 | )
|
---|
13756 | *500 (MRCItem
|
---|
13757 | litem &422
|
---|
13758 | pos 16
|
---|
13759 | dimension 20
|
---|
13760 | uid 3535,0
|
---|
13761 | )
|
---|
13762 | *501 (MRCItem
|
---|
13763 | litem &423
|
---|
13764 | pos 17
|
---|
13765 | dimension 20
|
---|
13766 | uid 3655,0
|
---|
13767 | )
|
---|
13768 | *502 (MRCItem
|
---|
13769 | litem &424
|
---|
13770 | pos 18
|
---|
13771 | dimension 20
|
---|
13772 | uid 3657,0
|
---|
13773 | )
|
---|
13774 | *503 (MRCItem
|
---|
13775 | litem &425
|
---|
13776 | pos 19
|
---|
13777 | dimension 20
|
---|
13778 | uid 3659,0
|
---|
13779 | )
|
---|
13780 | *504 (MRCItem
|
---|
13781 | litem &426
|
---|
13782 | pos 20
|
---|
13783 | dimension 20
|
---|
13784 | uid 3661,0
|
---|
13785 | )
|
---|
13786 | *505 (MRCItem
|
---|
13787 | litem &427
|
---|
13788 | pos 21
|
---|
13789 | dimension 20
|
---|
13790 | uid 3663,0
|
---|
13791 | )
|
---|
13792 | *506 (MRCItem
|
---|
13793 | litem &428
|
---|
13794 | pos 22
|
---|
13795 | dimension 20
|
---|
13796 | uid 3665,0
|
---|
13797 | )
|
---|
13798 | *507 (MRCItem
|
---|
13799 | litem &429
|
---|
13800 | pos 23
|
---|
13801 | dimension 20
|
---|
13802 | uid 3667,0
|
---|
13803 | )
|
---|
13804 | *508 (MRCItem
|
---|
13805 | litem &430
|
---|
13806 | pos 24
|
---|
13807 | dimension 20
|
---|
13808 | uid 3669,0
|
---|
13809 | )
|
---|
13810 | *509 (MRCItem
|
---|
13811 | litem &431
|
---|
13812 | pos 25
|
---|
13813 | dimension 20
|
---|
13814 | uid 3697,0
|
---|
13815 | )
|
---|
13816 | *510 (MRCItem
|
---|
13817 | litem &432
|
---|
13818 | pos 26
|
---|
13819 | dimension 20
|
---|
13820 | uid 3699,0
|
---|
13821 | )
|
---|
13822 | *511 (MRCItem
|
---|
13823 | litem &433
|
---|
13824 | pos 27
|
---|
13825 | dimension 20
|
---|
13826 | uid 3889,0
|
---|
13827 | )
|
---|
13828 | *512 (MRCItem
|
---|
13829 | litem &434
|
---|
13830 | pos 28
|
---|
13831 | dimension 20
|
---|
13832 | uid 3891,0
|
---|
13833 | )
|
---|
13834 | *513 (MRCItem
|
---|
13835 | litem &435
|
---|
13836 | pos 29
|
---|
13837 | dimension 20
|
---|
13838 | uid 3893,0
|
---|
13839 | )
|
---|
13840 | *514 (MRCItem
|
---|
13841 | litem &436
|
---|
13842 | pos 30
|
---|
13843 | dimension 20
|
---|
13844 | uid 3895,0
|
---|
13845 | )
|
---|
13846 | *515 (MRCItem
|
---|
13847 | litem &437
|
---|
13848 | pos 31
|
---|
13849 | dimension 20
|
---|
13850 | uid 3897,0
|
---|
13851 | )
|
---|
13852 | *516 (MRCItem
|
---|
13853 | litem &438
|
---|
13854 | pos 32
|
---|
13855 | dimension 20
|
---|
13856 | uid 3901,0
|
---|
13857 | )
|
---|
13858 | *517 (MRCItem
|
---|
13859 | litem &439
|
---|
13860 | pos 33
|
---|
13861 | dimension 20
|
---|
13862 | uid 5323,0
|
---|
13863 | )
|
---|
13864 | *518 (MRCItem
|
---|
13865 | litem &440
|
---|
13866 | pos 34
|
---|
13867 | dimension 20
|
---|
13868 | uid 6873,0
|
---|
13869 | )
|
---|
13870 | *519 (MRCItem
|
---|
13871 | litem &441
|
---|
13872 | pos 35
|
---|
13873 | dimension 20
|
---|
13874 | uid 7135,0
|
---|
13875 | )
|
---|
13876 | *520 (MRCItem
|
---|
13877 | litem &442
|
---|
13878 | pos 55
|
---|
13879 | dimension 20
|
---|
13880 | uid 9517,0
|
---|
13881 | )
|
---|
13882 | *521 (MRCItem
|
---|
13883 | litem &443
|
---|
13884 | pos 36
|
---|
13885 | dimension 20
|
---|
13886 | uid 10295,0
|
---|
13887 | )
|
---|
13888 | *522 (MRCItem
|
---|
13889 | litem &444
|
---|
13890 | pos 37
|
---|
13891 | dimension 20
|
---|
13892 | uid 11087,0
|
---|
13893 | )
|
---|
13894 | *523 (MRCItem
|
---|
13895 | litem &445
|
---|
13896 | pos 38
|
---|
13897 | dimension 20
|
---|
13898 | uid 11505,0
|
---|
13899 | )
|
---|
13900 | *524 (MRCItem
|
---|
13901 | litem &446
|
---|
13902 | pos 39
|
---|
13903 | dimension 20
|
---|
13904 | uid 11507,0
|
---|
13905 | )
|
---|
13906 | *525 (MRCItem
|
---|
13907 | litem &447
|
---|
13908 | pos 40
|
---|
13909 | dimension 20
|
---|
13910 | uid 12337,0
|
---|
13911 | )
|
---|
13912 | *526 (MRCItem
|
---|
13913 | litem &448
|
---|
13914 | pos 41
|
---|
13915 | dimension 20
|
---|
13916 | uid 12769,0
|
---|
13917 | )
|
---|
13918 | *527 (MRCItem
|
---|
13919 | litem &449
|
---|
13920 | pos 42
|
---|
13921 | dimension 20
|
---|
13922 | uid 12771,0
|
---|
13923 | )
|
---|
13924 | *528 (MRCItem
|
---|
13925 | litem &450
|
---|
13926 | pos 43
|
---|
13927 | dimension 20
|
---|
13928 | uid 12773,0
|
---|
13929 | )
|
---|
13930 | *529 (MRCItem
|
---|
13931 | litem &451
|
---|
13932 | pos 44
|
---|
13933 | dimension 20
|
---|
13934 | uid 13515,0
|
---|
13935 | )
|
---|
13936 | *530 (MRCItem
|
---|
13937 | litem &452
|
---|
13938 | pos 45
|
---|
13939 | dimension 20
|
---|
13940 | uid 13627,0
|
---|
13941 | )
|
---|
13942 | *531 (MRCItem
|
---|
13943 | litem &453
|
---|
13944 | pos 46
|
---|
13945 | dimension 20
|
---|
13946 | uid 14321,0
|
---|
13947 | )
|
---|
13948 | *532 (MRCItem
|
---|
13949 | litem &454
|
---|
13950 | pos 56
|
---|
13951 | dimension 20
|
---|
13952 | uid 15182,0
|
---|
13953 | )
|
---|
13954 | *533 (MRCItem
|
---|
13955 | litem &455
|
---|
13956 | pos 47
|
---|
13957 | dimension 20
|
---|
13958 | uid 15705,0
|
---|
13959 | )
|
---|
13960 | *534 (MRCItem
|
---|
13961 | litem &456
|
---|
13962 | pos 48
|
---|
13963 | dimension 20
|
---|
13964 | uid 15844,0
|
---|
13965 | )
|
---|
13966 | *535 (MRCItem
|
---|
13967 | litem &457
|
---|
13968 | pos 49
|
---|
13969 | dimension 20
|
---|
13970 | uid 16056,0
|
---|
13971 | )
|
---|
13972 | *536 (MRCItem
|
---|
13973 | litem &458
|
---|
13974 | pos 57
|
---|
13975 | dimension 20
|
---|
13976 | uid 16254,0
|
---|
13977 | )
|
---|
13978 | *537 (MRCItem
|
---|
13979 | litem &459
|
---|
13980 | pos 58
|
---|
13981 | dimension 20
|
---|
13982 | uid 16583,0
|
---|
13983 | )
|
---|
13984 | *538 (MRCItem
|
---|
13985 | litem &460
|
---|
13986 | pos 59
|
---|
13987 | dimension 20
|
---|
13988 | uid 16585,0
|
---|
13989 | )
|
---|
13990 | *539 (MRCItem
|
---|
13991 | litem &461
|
---|
13992 | pos 60
|
---|
13993 | dimension 20
|
---|
13994 | uid 16587,0
|
---|
13995 | )
|
---|
13996 | *540 (MRCItem
|
---|
13997 | litem &462
|
---|
13998 | pos 61
|
---|
13999 | dimension 20
|
---|
14000 | uid 17311,0
|
---|
14001 | )
|
---|
14002 | *541 (MRCItem
|
---|
14003 | litem &463
|
---|
14004 | pos 50
|
---|
14005 | dimension 20
|
---|
14006 | uid 17400,0
|
---|
14007 | )
|
---|
14008 | *542 (MRCItem
|
---|
14009 | litem &464
|
---|
14010 | pos 62
|
---|
14011 | dimension 20
|
---|
14012 | uid 17855,0
|
---|
14013 | )
|
---|
14014 | *543 (MRCItem
|
---|
14015 | litem &465
|
---|
14016 | pos 63
|
---|
14017 | dimension 20
|
---|
14018 | uid 18083,0
|
---|
14019 | )
|
---|
14020 | *544 (MRCItem
|
---|
14021 | litem &466
|
---|
14022 | pos 64
|
---|
14023 | dimension 20
|
---|
14024 | uid 18085,0
|
---|
14025 | )
|
---|
14026 | *545 (MRCItem
|
---|
14027 | litem &467
|
---|
14028 | pos 65
|
---|
14029 | dimension 20
|
---|
14030 | uid 18214,0
|
---|
14031 | )
|
---|
14032 | *546 (MRCItem
|
---|
14033 | litem &468
|
---|
14034 | pos 66
|
---|
14035 | dimension 20
|
---|
14036 | uid 18335,0
|
---|
14037 | )
|
---|
14038 | *547 (MRCItem
|
---|
14039 | litem &469
|
---|
14040 | pos 67
|
---|
14041 | dimension 20
|
---|
14042 | uid 18484,0
|
---|
14043 | )
|
---|
14044 | *548 (MRCItem
|
---|
14045 | litem &470
|
---|
14046 | pos 51
|
---|
14047 | dimension 20
|
---|
14048 | uid 18801,0
|
---|
14049 | )
|
---|
14050 | *549 (MRCItem
|
---|
14051 | litem &471
|
---|
14052 | pos 68
|
---|
14053 | dimension 20
|
---|
14054 | uid 19558,0
|
---|
14055 | )
|
---|
14056 | *550 (MRCItem
|
---|
14057 | litem &472
|
---|
14058 | pos 69
|
---|
14059 | dimension 20
|
---|
14060 | uid 19560,0
|
---|
14061 | )
|
---|
14062 | *551 (MRCItem
|
---|
14063 | litem &473
|
---|
14064 | pos 70
|
---|
14065 | dimension 20
|
---|
14066 | uid 19562,0
|
---|
14067 | )
|
---|
14068 | *552 (MRCItem
|
---|
14069 | litem &474
|
---|
14070 | pos 71
|
---|
14071 | dimension 20
|
---|
14072 | uid 19564,0
|
---|
14073 | )
|
---|
14074 | *553 (MRCItem
|
---|
14075 | litem &475
|
---|
14076 | pos 72
|
---|
14077 | dimension 20
|
---|
14078 | uid 20226,0
|
---|
14079 | )
|
---|
14080 | ]
|
---|
14081 | )
|
---|
14082 | sheetCol (SheetCol
|
---|
14083 | propVa (MVa
|
---|
14084 | cellColor "0,49152,49152"
|
---|
14085 | fontColor "0,0,0"
|
---|
14086 | font "Tahoma,10,0"
|
---|
14087 | textAngle 90
|
---|
14088 | )
|
---|
14089 | uid 73,0
|
---|
14090 | optionalChildren [
|
---|
14091 | *554 (MRCItem
|
---|
14092 | litem &394
|
---|
14093 | pos 0
|
---|
14094 | dimension 20
|
---|
14095 | uid 74,0
|
---|
14096 | )
|
---|
14097 | *555 (MRCItem
|
---|
14098 | litem &396
|
---|
14099 | pos 1
|
---|
14100 | dimension 50
|
---|
14101 | uid 75,0
|
---|
14102 | )
|
---|
14103 | *556 (MRCItem
|
---|
14104 | litem &397
|
---|
14105 | pos 2
|
---|
14106 | dimension 100
|
---|
14107 | uid 76,0
|
---|
14108 | )
|
---|
14109 | *557 (MRCItem
|
---|
14110 | litem &398
|
---|
14111 | pos 3
|
---|
14112 | dimension 50
|
---|
14113 | uid 77,0
|
---|
14114 | )
|
---|
14115 | *558 (MRCItem
|
---|
14116 | litem &399
|
---|
14117 | pos 4
|
---|
14118 | dimension 100
|
---|
14119 | uid 78,0
|
---|
14120 | )
|
---|
14121 | *559 (MRCItem
|
---|
14122 | litem &400
|
---|
14123 | pos 5
|
---|
14124 | dimension 100
|
---|
14125 | uid 79,0
|
---|
14126 | )
|
---|
14127 | *560 (MRCItem
|
---|
14128 | litem &401
|
---|
14129 | pos 6
|
---|
14130 | dimension 182
|
---|
14131 | uid 80,0
|
---|
14132 | )
|
---|
14133 | *561 (MRCItem
|
---|
14134 | litem &402
|
---|
14135 | pos 7
|
---|
14136 | dimension 80
|
---|
14137 | uid 81,0
|
---|
14138 | )
|
---|
14139 | ]
|
---|
14140 | )
|
---|
14141 | fixedCol 4
|
---|
14142 | fixedRow 2
|
---|
14143 | name "Ports"
|
---|
14144 | uid 68,0
|
---|
14145 | vaOverrides [
|
---|
14146 | ]
|
---|
14147 | )
|
---|
14148 | ]
|
---|
14149 | )
|
---|
14150 | uid 53,0
|
---|
14151 | )
|
---|
14152 | genericsCommonDM (CommonDM
|
---|
14153 | ldm (LogicalDM
|
---|
14154 | emptyRow *562 (LEmptyRow
|
---|
14155 | )
|
---|
14156 | uid 83,0
|
---|
14157 | optionalChildren [
|
---|
14158 | *563 (RefLabelRowHdr
|
---|
14159 | )
|
---|
14160 | *564 (TitleRowHdr
|
---|
14161 | )
|
---|
14162 | *565 (FilterRowHdr
|
---|
14163 | )
|
---|
14164 | *566 (RefLabelColHdr
|
---|
14165 | tm "RefLabelColHdrMgr"
|
---|
14166 | )
|
---|
14167 | *567 (RowExpandColHdr
|
---|
14168 | tm "RowExpandColHdrMgr"
|
---|
14169 | )
|
---|
14170 | *568 (GroupColHdr
|
---|
14171 | tm "GroupColHdrMgr"
|
---|
14172 | )
|
---|
14173 | *569 (NameColHdr
|
---|
14174 | tm "GenericNameColHdrMgr"
|
---|
14175 | )
|
---|
14176 | *570 (TypeColHdr
|
---|
14177 | tm "GenericTypeColHdrMgr"
|
---|
14178 | )
|
---|
14179 | *571 (InitColHdr
|
---|
14180 | tm "GenericValueColHdrMgr"
|
---|
14181 | )
|
---|
14182 | *572 (PragmaColHdr
|
---|
14183 | tm "GenericPragmaColHdrMgr"
|
---|
14184 | )
|
---|
14185 | *573 (EolColHdr
|
---|
14186 | tm "GenericEolColHdrMgr"
|
---|
14187 | )
|
---|
14188 | ]
|
---|
14189 | )
|
---|
14190 | pdm (PhysicalDM
|
---|
14191 | displayShortBounds 1
|
---|
14192 | editShortBounds 1
|
---|
14193 | uid 95,0
|
---|
14194 | optionalChildren [
|
---|
14195 | *574 (Sheet
|
---|
14196 | sheetRow (SheetRow
|
---|
14197 | headerVa (MVa
|
---|
14198 | cellColor "49152,49152,49152"
|
---|
14199 | fontColor "0,0,0"
|
---|
14200 | font "Tahoma,10,0"
|
---|
14201 | )
|
---|
14202 | cellVa (MVa
|
---|
14203 | cellColor "65535,65535,65535"
|
---|
14204 | fontColor "0,0,0"
|
---|
14205 | font "Tahoma,10,0"
|
---|
14206 | )
|
---|
14207 | groupVa (MVa
|
---|
14208 | cellColor "39936,56832,65280"
|
---|
14209 | fontColor "0,0,0"
|
---|
14210 | font "Tahoma,10,0"
|
---|
14211 | )
|
---|
14212 | emptyMRCItem *575 (MRCItem
|
---|
14213 | litem &562
|
---|
14214 | pos 0
|
---|
14215 | dimension 20
|
---|
14216 | )
|
---|
14217 | uid 97,0
|
---|
14218 | optionalChildren [
|
---|
14219 | *576 (MRCItem
|
---|
14220 | litem &563
|
---|
14221 | pos 0
|
---|
14222 | dimension 20
|
---|
14223 | uid 98,0
|
---|
14224 | )
|
---|
14225 | *577 (MRCItem
|
---|
14226 | litem &564
|
---|
14227 | pos 1
|
---|
14228 | dimension 23
|
---|
14229 | uid 99,0
|
---|
14230 | )
|
---|
14231 | *578 (MRCItem
|
---|
14232 | litem &565
|
---|
14233 | pos 2
|
---|
14234 | hidden 1
|
---|
14235 | dimension 20
|
---|
14236 | uid 100,0
|
---|
14237 | )
|
---|
14238 | ]
|
---|
14239 | )
|
---|
14240 | sheetCol (SheetCol
|
---|
14241 | propVa (MVa
|
---|
14242 | cellColor "0,49152,49152"
|
---|
14243 | fontColor "0,0,0"
|
---|
14244 | font "Tahoma,10,0"
|
---|
14245 | textAngle 90
|
---|
14246 | )
|
---|
14247 | uid 101,0
|
---|
14248 | optionalChildren [
|
---|
14249 | *579 (MRCItem
|
---|
14250 | litem &566
|
---|
14251 | pos 0
|
---|
14252 | dimension 20
|
---|
14253 | uid 102,0
|
---|
14254 | )
|
---|
14255 | *580 (MRCItem
|
---|
14256 | litem &568
|
---|
14257 | pos 1
|
---|
14258 | dimension 50
|
---|
14259 | uid 103,0
|
---|
14260 | )
|
---|
14261 | *581 (MRCItem
|
---|
14262 | litem &569
|
---|
14263 | pos 2
|
---|
14264 | dimension 100
|
---|
14265 | uid 104,0
|
---|
14266 | )
|
---|
14267 | *582 (MRCItem
|
---|
14268 | litem &570
|
---|
14269 | pos 3
|
---|
14270 | dimension 100
|
---|
14271 | uid 105,0
|
---|
14272 | )
|
---|
14273 | *583 (MRCItem
|
---|
14274 | litem &571
|
---|
14275 | pos 4
|
---|
14276 | dimension 50
|
---|
14277 | uid 106,0
|
---|
14278 | )
|
---|
14279 | *584 (MRCItem
|
---|
14280 | litem &572
|
---|
14281 | pos 5
|
---|
14282 | dimension 50
|
---|
14283 | uid 107,0
|
---|
14284 | )
|
---|
14285 | *585 (MRCItem
|
---|
14286 | litem &573
|
---|
14287 | pos 6
|
---|
14288 | dimension 80
|
---|
14289 | uid 108,0
|
---|
14290 | )
|
---|
14291 | ]
|
---|
14292 | )
|
---|
14293 | fixedCol 3
|
---|
14294 | fixedRow 2
|
---|
14295 | name "Ports"
|
---|
14296 | uid 96,0
|
---|
14297 | vaOverrides [
|
---|
14298 | ]
|
---|
14299 | )
|
---|
14300 | ]
|
---|
14301 | )
|
---|
14302 | uid 82,0
|
---|
14303 | type 1
|
---|
14304 | )
|
---|
14305 | activeModelName "BlockDiag"
|
---|
14306 | )
|
---|