source: firmware/FAD/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak@ 20115

Last change on this file since 20115 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 179.0 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "IEEE"
15unitName "NUMERIC_STD"
16)
17(DmPackageRef
18library "ieee"
19unitName "std_logic_unsigned"
20)
21(DmPackageRef
22library "FACT_FAD_lib"
23unitName "fad_definitions"
24)
25]
26instances [
27(Instance
28name "I_board_main"
29duLibraryName "FACT_FAD_lib"
30duName "FAD_main"
31elements [
32(GiElement
33name "RAMADDRWIDTH64b"
34type "integer"
35value "LOG2_OF_RAM_SIZE_64B"
36)
37]
38mwi 0
39uid 17195,0
40)
41(Instance
42name "I0"
43duLibraryName "moduleware"
44duName "assignment"
45elements [
46]
47mwi 1
48uid 19427,0
49)
50(Instance
51name "I1"
52duLibraryName "moduleware"
53duName "assignment"
54elements [
55]
56mwi 1
57uid 19438,0
58)
59(Instance
60name "I2"
61duLibraryName "moduleware"
62duName "assignment"
63elements [
64]
65mwi 1
66uid 19469,0
67)
68(Instance
69name "I3"
70duLibraryName "moduleware"
71duName "assignment"
72elements [
73]
74mwi 1
75uid 19500,0
76)
77(Instance
78name "I4"
79duLibraryName "moduleware"
80duName "assignment"
81elements [
82]
83mwi 1
84uid 20188,0
85)
86]
87embeddedInstances [
88(EmbeddedInstance
89name "eb_ID"
90number "4"
91)
92(EmbeddedInstance
93name "ADC_CLK"
94number "2"
95)
96(EmbeddedInstance
97name "ADC_DATA"
98number "3"
99)
100(EmbeddedInstance
101name "eb3"
102number "9"
103)
104(EmbeddedInstance
105name "SRCLK"
106number "1"
107)
108]
109libraryRefs [
110"ieee"
111"FACT_FAD_lib"
112]
113)
114version "29.1"
115appVersion "2009.1 (Build 12)"
116noEmbeddedEditors 1
117model (BlockDiag
118VExpander (VariableExpander
119vvMap [
120(vvPair
121variable "HDLDir"
122value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
123)
124(vvPair
125variable "HDSDir"
126value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
127)
128(vvPair
129variable "SideDataDesignDir"
130value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
131)
132(vvPair
133variable "SideDataUserDir"
134value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
135)
136(vvPair
137variable "SourceDir"
138value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
139)
140(vvPair
141variable "appl"
142value "HDL Designer"
143)
144(vvPair
145variable "arch_name"
146value "struct"
147)
148(vvPair
149variable "config"
150value "%(unit)_%(view)_config"
151)
152(vvPair
153variable "d"
154value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
155)
156(vvPair
157variable "d_logical"
158value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
159)
160(vvPair
161variable "date"
162value "01.07.2011"
163)
164(vvPair
165variable "day"
166value "Fr"
167)
168(vvPair
169variable "day_long"
170value "Freitag"
171)
172(vvPair
173variable "dd"
174value "01"
175)
176(vvPair
177variable "entity_name"
178value "FAD_Board"
179)
180(vvPair
181variable "ext"
182value "<TBD>"
183)
184(vvPair
185variable "f"
186value "struct.bd"
187)
188(vvPair
189variable "f_logical"
190value "struct.bd"
191)
192(vvPair
193variable "f_noext"
194value "struct"
195)
196(vvPair
197variable "group"
198value "UNKNOWN"
199)
200(vvPair
201variable "host"
202value "IHP110"
203)
204(vvPair
205variable "language"
206value "VHDL"
207)
208(vvPair
209variable "library"
210value "FACT_FAD_lib"
211)
212(vvPair
213variable "library_downstream_HdsLintPlugin"
214value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
215)
216(vvPair
217variable "library_downstream_ISEPARInvoke"
218value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
219)
220(vvPair
221variable "library_downstream_ImpactInvoke"
222value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
223)
224(vvPair
225variable "library_downstream_ModelSimCompiler"
226value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
227)
228(vvPair
229variable "library_downstream_XSTDataPrep"
230value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
231)
232(vvPair
233variable "mm"
234value "07"
235)
236(vvPair
237variable "module_name"
238value "FAD_Board"
239)
240(vvPair
241variable "month"
242value "Jul"
243)
244(vvPair
245variable "month_long"
246value "Juli"
247)
248(vvPair
249variable "p"
250value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
251)
252(vvPair
253variable "p_logical"
254value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
255)
256(vvPair
257variable "package_name"
258value "<Undefined Variable>"
259)
260(vvPair
261variable "project_name"
262value "FACT_FAD"
263)
264(vvPair
265variable "series"
266value "HDL Designer Series"
267)
268(vvPair
269variable "task_DesignCompilerPath"
270value "<TBD>"
271)
272(vvPair
273variable "task_LeonardoPath"
274value "<TBD>"
275)
276(vvPair
277variable "task_ModelSimPath"
278value "D:\\modeltech_6.5e\\win32"
279)
280(vvPair
281variable "task_NC-SimPath"
282value "<TBD>"
283)
284(vvPair
285variable "task_PrecisionRTLPath"
286value "<TBD>"
287)
288(vvPair
289variable "task_QuestaSimPath"
290value "<TBD>"
291)
292(vvPair
293variable "task_VCSPath"
294value "<TBD>"
295)
296(vvPair
297variable "this_ext"
298value "bd"
299)
300(vvPair
301variable "this_file"
302value "struct"
303)
304(vvPair
305variable "this_file_logical"
306value "struct"
307)
308(vvPair
309variable "time"
310value "15:43:11"
311)
312(vvPair
313variable "unit"
314value "FAD_Board"
315)
316(vvPair
317variable "user"
318value "daqct3"
319)
320(vvPair
321variable "version"
322value "2009.1 (Build 12)"
323)
324(vvPair
325variable "view"
326value "struct"
327)
328(vvPair
329variable "year"
330value "2011"
331)
332(vvPair
333variable "yy"
334value "11"
335)
336]
337)
338LanguageMgr "VhdlLangMgr"
339uid 52,0
340optionalChildren [
341*1 (Grouping
342uid 9,0
343optionalChildren [
344*2 (CommentText
345uid 11,0
346shape (Rectangle
347uid 12,0
348sl 0
349va (VaSet
350vasetType 1
351fg "65280,65280,46080"
352)
353xt "99000,4000,116000,5000"
354)
355oxt "18000,70000,35000,71000"
356text (MLText
357uid 13,0
358va (VaSet
359fg "0,0,32768"
360bg "0,0,32768"
361)
362xt "99200,4000,109900,5000"
363st "
364by %user on %dd %month %year
365"
366tm "CommentText"
367wrapOption 3
368visibleHeight 1000
369visibleWidth 17000
370)
371position 1
372ignorePrefs 1
373titleBlock 1
374)
375*3 (CommentText
376uid 14,0
377shape (Rectangle
378uid 15,0
379sl 0
380va (VaSet
381vasetType 1
382fg "65280,65280,46080"
383)
384xt "116000,0,120000,1000"
385)
386oxt "35000,66000,39000,67000"
387text (MLText
388uid 16,0
389va (VaSet
390fg "0,0,32768"
391bg "0,0,32768"
392)
393xt "116200,0,119500,1000"
394st "
395Project:
396"
397tm "CommentText"
398wrapOption 3
399visibleHeight 1000
400visibleWidth 4000
401)
402position 1
403ignorePrefs 1
404titleBlock 1
405)
406*4 (CommentText
407uid 17,0
408shape (Rectangle
409uid 18,0
410sl 0
411va (VaSet
412vasetType 1
413fg "65280,65280,46080"
414)
415xt "99000,2000,116000,3000"
416)
417oxt "18000,68000,35000,69000"
418text (MLText
419uid 19,0
420va (VaSet
421fg "0,0,32768"
422bg "0,0,32768"
423)
424xt "99200,2000,110100,3000"
425st "
426<enter diagram title here>
427"
428tm "CommentText"
429wrapOption 3
430visibleHeight 1000
431visibleWidth 17000
432)
433position 1
434ignorePrefs 1
435titleBlock 1
436)
437*5 (CommentText
438uid 20,0
439shape (Rectangle
440uid 21,0
441sl 0
442va (VaSet
443vasetType 1
444fg "65280,65280,46080"
445)
446xt "95000,2000,99000,3000"
447)
448oxt "14000,68000,18000,69000"
449text (MLText
450uid 22,0
451va (VaSet
452fg "0,0,32768"
453bg "0,0,32768"
454)
455xt "95200,2000,97500,3000"
456st "
457Title:
458"
459tm "CommentText"
460wrapOption 3
461visibleHeight 1000
462visibleWidth 4000
463)
464position 1
465ignorePrefs 1
466titleBlock 1
467)
468*6 (CommentText
469uid 23,0
470shape (Rectangle
471uid 24,0
472sl 0
473va (VaSet
474vasetType 1
475fg "65280,65280,46080"
476)
477xt "116000,1000,136000,5000"
478)
479oxt "35000,67000,55000,71000"
480text (MLText
481uid 25,0
482va (VaSet
483fg "0,0,32768"
484bg "0,0,32768"
485)
486xt "116200,1200,126000,2200"
487st "
488<enter comments here>
489"
490tm "CommentText"
491wrapOption 3
492visibleHeight 4000
493visibleWidth 20000
494)
495ignorePrefs 1
496titleBlock 1
497)
498*7 (CommentText
499uid 26,0
500shape (Rectangle
501uid 27,0
502sl 0
503va (VaSet
504vasetType 1
505fg "65280,65280,46080"
506)
507xt "120000,0,136000,1000"
508)
509oxt "39000,66000,55000,67000"
510text (MLText
511uid 28,0
512va (VaSet
513fg "0,0,32768"
514bg "0,0,32768"
515)
516xt "120200,0,124900,1000"
517st "
518%project_name
519"
520tm "CommentText"
521wrapOption 3
522visibleHeight 1000
523visibleWidth 16000
524)
525position 1
526ignorePrefs 1
527titleBlock 1
528)
529*8 (CommentText
530uid 29,0
531shape (Rectangle
532uid 30,0
533sl 0
534va (VaSet
535vasetType 1
536fg "65280,65280,46080"
537)
538xt "95000,0,116000,2000"
539)
540oxt "14000,66000,35000,68000"
541text (MLText
542uid 31,0
543va (VaSet
544fg "32768,0,0"
545)
546xt "102450,0,108550,2000"
547st "
548TU Dortmund
549Physik / EE
550"
551ju 0
552tm "CommentText"
553wrapOption 3
554visibleHeight 2000
555visibleWidth 21000
556)
557position 1
558ignorePrefs 1
559titleBlock 1
560)
561*9 (CommentText
562uid 32,0
563shape (Rectangle
564uid 33,0
565sl 0
566va (VaSet
567vasetType 1
568fg "65280,65280,46080"
569)
570xt "95000,3000,99000,4000"
571)
572oxt "14000,69000,18000,70000"
573text (MLText
574uid 34,0
575va (VaSet
576fg "0,0,32768"
577bg "0,0,32768"
578)
579xt "95200,3000,97500,4000"
580st "
581Path:
582"
583tm "CommentText"
584wrapOption 3
585visibleHeight 1000
586visibleWidth 4000
587)
588position 1
589ignorePrefs 1
590titleBlock 1
591)
592*10 (CommentText
593uid 35,0
594shape (Rectangle
595uid 36,0
596sl 0
597va (VaSet
598vasetType 1
599fg "65280,65280,46080"
600)
601xt "95000,4000,99000,5000"
602)
603oxt "14000,70000,18000,71000"
604text (MLText
605uid 37,0
606va (VaSet
607fg "0,0,32768"
608bg "0,0,32768"
609)
610xt "95200,4000,98300,5000"
611st "
612Edited:
613"
614tm "CommentText"
615wrapOption 3
616visibleHeight 1000
617visibleWidth 4000
618)
619position 1
620ignorePrefs 1
621titleBlock 1
622)
623*11 (CommentText
624uid 38,0
625shape (Rectangle
626uid 39,0
627sl 0
628va (VaSet
629vasetType 1
630fg "65280,65280,46080"
631)
632xt "99000,3000,116000,4000"
633)
634oxt "18000,69000,35000,70000"
635text (MLText
636uid 40,0
637va (VaSet
638fg "0,0,32768"
639bg "0,0,32768"
640)
641xt "99200,3000,113900,4000"
642st "
643%library/%unit/%view
644"
645tm "CommentText"
646wrapOption 3
647visibleHeight 1000
648visibleWidth 17000
649)
650position 1
651ignorePrefs 1
652titleBlock 1
653)
654]
655shape (GroupingShape
656uid 10,0
657va (VaSet
658vasetType 1
659fg "65535,65535,65535"
660lineStyle 2
661lineWidth 2
662)
663xt "95000,0,136000,5000"
664)
665oxt "14000,66000,55000,71000"
666)
667*12 (PortIoIn
668uid 231,0
669shape (CompositeShape
670uid 232,0
671va (VaSet
672vasetType 1
673fg "0,0,32768"
674)
675optionalChildren [
676(Pentagon
677uid 233,0
678sl 0
679ro 270
680xt "20000,77625,21500,78375"
681)
682(Line
683uid 234,0
684sl 0
685ro 270
686xt "21500,78000,22000,78000"
687pts [
688"21500,78000"
689"22000,78000"
690]
691)
692]
693)
694stc 0
695sf 1
696tg (WTG
697uid 235,0
698ps "PortIoTextPlaceStrategy"
699stg "STSignalDisplayStrategy"
700f (Text
701uid 236,0
702va (VaSet
703)
704xt "17100,77500,19000,78500"
705st "TRG"
706ju 2
707blo "19000,78300"
708tm "WireNameMgr"
709)
710)
711)
712*13 (PortIoIn
713uid 251,0
714shape (CompositeShape
715uid 252,0
716va (VaSet
717vasetType 1
718fg "0,0,32768"
719)
720optionalChildren [
721(Pentagon
722uid 253,0
723sl 0
724ro 270
725xt "19000,67625,20500,68375"
726)
727(Line
728uid 254,0
729sl 0
730ro 270
731xt "20500,68000,21000,68000"
732pts [
733"20500,68000"
734"21000,68000"
735]
736)
737]
738)
739stc 0
740sf 1
741tg (WTG
742uid 255,0
743ps "PortIoTextPlaceStrategy"
744stg "STSignalDisplayStrategy"
745f (Text
746uid 256,0
747va (VaSet
748)
749xt "14800,67500,18000,68500"
750st "X_50M"
751ju 2
752blo "18000,68300"
753tm "WireNameMgr"
754)
755)
756)
757*14 (HdlText
758uid 265,0
759optionalChildren [
760*15 (EmbeddedText
761uid 271,0
762commentText (CommentText
763uid 272,0
764ps "CenterOffsetStrategy"
765shape (Rectangle
766uid 273,0
767va (VaSet
768vasetType 1
769fg "65535,65535,65535"
770lineColor "0,0,32768"
771lineWidth 2
772)
773xt "32000,83000,44000,87000"
774)
775oxt "12000,27000,20000,31000"
776text (MLText
777uid 274,0
778va (VaSet
779)
780xt "32200,83200,43900,87200"
781st "
782-- hard-wired IDs
783board_id <= LINE(5 downto 2);
784crate_id <= LINE(1 downto 0);
785"
786tm "HdlTextMgr"
787wrapOption 3
788visibleHeight 4000
789visibleWidth 12000
790)
791)
792)
793]
794shape (Rectangle
795uid 266,0
796va (VaSet
797vasetType 1
798fg "65535,65535,37120"
799lineColor "0,0,32768"
800lineWidth 2
801)
802xt "28000,80000,32000,83000"
803)
804oxt "12000,23000,17000,27000"
805ttg (MlTextGroup
806uid 267,0
807ps "CenterOffsetStrategy"
808stg "VerticalLayoutStrategy"
809textVec [
810*16 (Text
811uid 268,0
812va (VaSet
813font "Arial,8,1"
814)
815xt "29150,80000,31650,81000"
816st "eb_ID"
817blo "29150,80800"
818tm "HdlTextNameMgr"
819)
820*17 (Text
821uid 269,0
822va (VaSet
823font "Arial,8,1"
824)
825xt "29150,81000,29950,82000"
826st "4"
827blo "29150,81800"
828tm "HdlTextNumberMgr"
829)
830]
831)
832viewicon (ZoomableIcon
833uid 270,0
834sl 0
835va (VaSet
836vasetType 1
837fg "49152,49152,49152"
838)
839xt "28250,81250,29750,82750"
840iconName "TextFile.png"
841iconMaskName "TextFile.msk"
842ftype 21
843)
844viewiconposition 0
845)
846*18 (Net
847uid 275,0
848decl (Decl
849n "board_id"
850t "std_logic_vector"
851b "(3 downto 0)"
852preAdd 0
853posAdd 0
854o 60
855suid 5,0
856)
857declText (MLText
858uid 276,0
859va (VaSet
860font "Courier New,8,0"
861)
862xt "39000,52800,70500,53600"
863st "SIGNAL board_id : std_logic_vector(3 downto 0)
864"
865)
866)
867*19 (Net
868uid 283,0
869decl (Decl
870n "crate_id"
871t "std_logic_vector"
872b "(1 downto 0)"
873o 62
874suid 6,0
875)
876declText (MLText
877uid 284,0
878va (VaSet
879font "Courier New,8,0"
880)
881xt "39000,54400,70500,55200"
882st "SIGNAL crate_id : std_logic_vector(1 downto 0)
883"
884)
885)
886*20 (PortIoOut
887uid 472,0
888shape (CompositeShape
889uid 473,0
890va (VaSet
891vasetType 1
892fg "0,0,32768"
893)
894optionalChildren [
895(Pentagon
896uid 474,0
897sl 0
898ro 270
899xt "90500,70625,92000,71375"
900)
901(Line
902uid 475,0
903sl 0
904ro 270
905xt "90000,71000,90500,71000"
906pts [
907"90000,71000"
908"90500,71000"
909]
910)
911]
912)
913stc 0
914sf 1
915tg (WTG
916uid 476,0
917ps "PortIoTextPlaceStrategy"
918stg "STSignalDisplayStrategy"
919f (Text
920uid 477,0
921va (VaSet
922)
923xt "93000,70500,96400,71500"
924st "W_RES"
925blo "93000,71300"
926tm "WireNameMgr"
927)
928)
929)
930*21 (PortIoOut
931uid 478,0
932shape (CompositeShape
933uid 479,0
934va (VaSet
935vasetType 1
936fg "0,0,32768"
937)
938optionalChildren [
939(Pentagon
940uid 480,0
941sl 0
942ro 270
943xt "90500,67625,92000,68375"
944)
945(Line
946uid 481,0
947sl 0
948ro 270
949xt "90000,68000,90500,68000"
950pts [
951"90000,68000"
952"90500,68000"
953]
954)
955]
956)
957stc 0
958sf 1
959tg (WTG
960uid 482,0
961ps "PortIoTextPlaceStrategy"
962stg "STSignalDisplayStrategy"
963f (Text
964uid 483,0
965va (VaSet
966)
967xt "93000,67500,95400,68500"
968st "W_A"
969blo "93000,68300"
970tm "WireNameMgr"
971)
972)
973)
974*22 (PortIoOut
975uid 484,0
976shape (CompositeShape
977uid 485,0
978va (VaSet
979vasetType 1
980fg "0,0,32768"
981)
982optionalChildren [
983(Pentagon
984uid 486,0
985sl 0
986ro 270
987xt "90500,74625,92000,75375"
988)
989(Line
990uid 487,0
991sl 0
992ro 270
993xt "90000,75000,90500,75000"
994pts [
995"90000,75000"
996"90500,75000"
997]
998)
999]
1000)
1001stc 0
1002sf 1
1003tg (WTG
1004uid 488,0
1005ps "PortIoTextPlaceStrategy"
1006stg "STSignalDisplayStrategy"
1007f (Text
1008uid 489,0
1009va (VaSet
1010)
1011xt "93000,74500,95900,75500"
1012st "W_CS"
1013blo "93000,75300"
1014tm "WireNameMgr"
1015)
1016)
1017)
1018*23 (PortIoInOut
1019uid 490,0
1020shape (CompositeShape
1021uid 491,0
1022va (VaSet
1023vasetType 1
1024fg "0,0,32768"
1025)
1026optionalChildren [
1027(Hexagon
1028uid 492,0
1029sl 0
1030xt "90500,68625,92000,69375"
1031)
1032(Line
1033uid 493,0
1034sl 0
1035xt "90000,69000,90500,69000"
1036pts [
1037"90000,69000"
1038"90500,69000"
1039]
1040)
1041]
1042)
1043stc 0
1044sf 1
1045tg (WTG
1046uid 494,0
1047ps "PortIoTextPlaceStrategy"
1048stg "STSignalDisplayStrategy"
1049f (Text
1050uid 495,0
1051va (VaSet
1052)
1053xt "93000,68500,95400,69500"
1054st "W_D"
1055blo "93000,69300"
1056tm "WireNameMgr"
1057)
1058)
1059)
1060*24 (PortIoIn
1061uid 496,0
1062shape (CompositeShape
1063uid 497,0
1064va (VaSet
1065vasetType 1
1066fg "0,0,32768"
1067)
1068optionalChildren [
1069(Pentagon
1070uid 498,0
1071sl 0
1072ro 90
1073xt "90500,73625,92000,74375"
1074)
1075(Line
1076uid 499,0
1077sl 0
1078ro 90
1079xt "90000,74000,90500,74000"
1080pts [
1081"90500,74000"
1082"90000,74000"
1083]
1084)
1085]
1086)
1087stc 0
1088sf 1
1089tg (WTG
1090uid 500,0
1091ps "PortIoTextPlaceStrategy"
1092stg "STSignalDisplayStrategy"
1093f (Text
1094uid 501,0
1095va (VaSet
1096)
1097xt "93000,73500,96300,74500"
1098st "W_INT"
1099blo "93000,74300"
1100tm "WireNameMgr"
1101)
1102)
1103)
1104*25 (PortIoOut
1105uid 502,0
1106shape (CompositeShape
1107uid 503,0
1108va (VaSet
1109vasetType 1
1110fg "0,0,32768"
1111)
1112optionalChildren [
1113(Pentagon
1114uid 504,0
1115sl 0
1116ro 270
1117xt "90500,71625,92000,72375"
1118)
1119(Line
1120uid 505,0
1121sl 0
1122ro 270
1123xt "90000,72000,90500,72000"
1124pts [
1125"90000,72000"
1126"90500,72000"
1127]
1128)
1129]
1130)
1131stc 0
1132sf 1
1133tg (WTG
1134uid 506,0
1135ps "PortIoTextPlaceStrategy"
1136stg "STSignalDisplayStrategy"
1137f (Text
1138uid 507,0
1139va (VaSet
1140)
1141xt "93000,71500,95900,72500"
1142st "W_RD"
1143blo "93000,72300"
1144tm "WireNameMgr"
1145)
1146)
1147)
1148*26 (PortIoOut
1149uid 508,0
1150shape (CompositeShape
1151uid 509,0
1152va (VaSet
1153vasetType 1
1154fg "0,0,32768"
1155)
1156optionalChildren [
1157(Pentagon
1158uid 510,0
1159sl 0
1160ro 270
1161xt "90500,72625,92000,73375"
1162)
1163(Line
1164uid 511,0
1165sl 0
1166ro 270
1167xt "90000,73000,90500,73000"
1168pts [
1169"90000,73000"
1170"90500,73000"
1171]
1172)
1173]
1174)
1175stc 0
1176sf 1
1177tg (WTG
1178uid 512,0
1179ps "PortIoTextPlaceStrategy"
1180stg "STSignalDisplayStrategy"
1181f (Text
1182uid 513,0
1183va (VaSet
1184)
1185xt "93000,72500,96200,73500"
1186st "W_WR"
1187blo "93000,73300"
1188tm "WireNameMgr"
1189)
1190)
1191)
1192*27 (Net
1193uid 1465,0
1194decl (Decl
1195n "adc_data_array"
1196t "adc_data_array_type"
1197o 57
1198suid 29,0
1199)
1200declText (MLText
1201uid 1466,0
1202va (VaSet
1203font "Courier New,8,0"
1204)
1205xt "39000,50400,66000,51200"
1206st "SIGNAL adc_data_array : adc_data_array_type
1207"
1208)
1209)
1210*28 (Net
1211uid 2407,0
1212decl (Decl
1213n "RSRLOAD"
1214t "std_logic"
1215o 40
1216suid 57,0
1217i "'0'"
1218)
1219declText (MLText
1220uid 2408,0
1221va (VaSet
1222font "Courier New,8,0"
1223)
1224xt "39000,35000,71500,35800"
1225st "RSRLOAD : std_logic := '0'
1226"
1227)
1228)
1229*29 (PortIoOut
1230uid 2415,0
1231shape (CompositeShape
1232uid 2416,0
1233va (VaSet
1234vasetType 1
1235fg "0,0,32768"
1236)
1237optionalChildren [
1238(Pentagon
1239uid 2417,0
1240sl 0
1241ro 90
1242xt "19000,110625,20500,111375"
1243)
1244(Line
1245uid 2418,0
1246sl 0
1247ro 90
1248xt "20500,111000,21000,111000"
1249pts [
1250"21000,111000"
1251"20500,111000"
1252]
1253)
1254]
1255)
1256stc 0
1257sf 1
1258tg (WTG
1259uid 2419,0
1260ps "PortIoTextPlaceStrategy"
1261stg "STSignalDisplayStrategy"
1262f (Text
1263uid 2420,0
1264va (VaSet
1265)
1266xt "13800,110500,18000,111500"
1267st "RSRLOAD"
1268ju 2
1269blo "18000,111300"
1270tm "WireNameMgr"
1271)
1272)
1273)
1274*30 (Net
1275uid 3025,0
1276decl (Decl
1277n "DAC_CS"
1278t "std_logic"
1279o 22
1280suid 66,0
1281)
1282declText (MLText
1283uid 3026,0
1284va (VaSet
1285font "Courier New,8,0"
1286)
1287xt "39000,20600,57000,21400"
1288st "DAC_CS : std_logic
1289"
1290)
1291)
1292*31 (PortIoOut
1293uid 3153,0
1294shape (CompositeShape
1295uid 3154,0
1296va (VaSet
1297vasetType 1
1298fg "0,0,32768"
1299)
1300optionalChildren [
1301(Pentagon
1302uid 3155,0
1303sl 0
1304ro 90
1305xt "-3000,70625,-1500,71375"
1306)
1307(Line
1308uid 3156,0
1309sl 0
1310ro 90
1311xt "-1500,71000,-1000,71000"
1312pts [
1313"-1000,71000"
1314"-1500,71000"
1315]
1316)
1317]
1318)
1319stc 0
1320sf 1
1321tg (WTG
1322uid 3157,0
1323ps "PortIoTextPlaceStrategy"
1324stg "STSignalDisplayStrategy"
1325f (Text
1326uid 3158,0
1327va (VaSet
1328)
1329xt "-6900,70500,-4000,71500"
1330st "A_CLK"
1331ju 2
1332blo "-4000,71300"
1333tm "WireNameMgr"
1334)
1335)
1336)
1337*32 (Net
1338uid 3216,0
1339decl (Decl
1340n "X_50M"
1341t "STD_LOGIC"
1342preAdd 0
1343posAdd 0
1344o 17
1345suid 67,0
1346)
1347declText (MLText
1348uid 3217,0
1349va (VaSet
1350font "Courier New,8,0"
1351)
1352xt "39000,16600,57000,17400"
1353st "X_50M : STD_LOGIC
1354"
1355)
1356)
1357*33 (Net
1358uid 3226,0
1359decl (Decl
1360n "TRG"
1361t "STD_LOGIC"
1362o 15
1363suid 68,0
1364)
1365declText (MLText
1366uid 3227,0
1367va (VaSet
1368font "Courier New,8,0"
1369)
1370xt "39000,15000,57000,15800"
1371st "TRG : STD_LOGIC
1372"
1373)
1374)
1375*34 (HdlText
1376uid 3248,0
1377optionalChildren [
1378*35 (EmbeddedText
1379uid 3254,0
1380commentText (CommentText
1381uid 3255,0
1382ps "CenterOffsetStrategy"
1383shape (Rectangle
1384uid 3256,0
1385va (VaSet
1386vasetType 1
1387fg "65535,65535,65535"
1388lineColor "0,0,32768"
1389lineWidth 2
1390)
1391xt "-14000,63000,12000,69000"
1392)
1393oxt "0,0,18000,5000"
1394text (MLText
1395uid 3257,0
1396va (VaSet
1397)
1398xt "-13800,63200,-9000,69200"
1399st "
1400A_CLK <= (
1401ADC_CLK,
1402ADC_CLK,
1403ADC_CLK,
1404ADC_CLK
1405);
1406
1407"
1408tm "HdlTextMgr"
1409wrapOption 3
1410visibleHeight 6000
1411visibleWidth 26000
1412)
1413)
1414)
1415]
1416shape (Rectangle
1417uid 3249,0
1418va (VaSet
1419vasetType 1
1420fg "65535,65535,37120"
1421lineColor "0,0,32768"
1422lineWidth 2
1423)
1424xt "5000,70000,13000,73000"
1425)
1426oxt "0,0,8000,10000"
1427ttg (MlTextGroup
1428uid 3250,0
1429ps "CenterOffsetStrategy"
1430stg "VerticalLayoutStrategy"
1431textVec [
1432*36 (Text
1433uid 3251,0
1434va (VaSet
1435font "Arial,8,1"
1436)
1437xt "6150,70000,10350,71000"
1438st "ADC_CLK"
1439blo "6150,70800"
1440tm "HdlTextNameMgr"
1441)
1442*37 (Text
1443uid 3252,0
1444va (VaSet
1445font "Arial,8,1"
1446)
1447xt "6150,71000,6950,72000"
1448st "2"
1449blo "6150,71800"
1450tm "HdlTextNumberMgr"
1451)
1452]
1453)
1454viewicon (ZoomableIcon
1455uid 3253,0
1456sl 0
1457va (VaSet
1458vasetType 1
1459fg "49152,49152,49152"
1460)
1461xt "5250,71250,6750,72750"
1462iconName "TextFile.png"
1463iconMaskName "TextFile.msk"
1464ftype 21
1465)
1466viewiconposition 0
1467)
1468*38 (Net
1469uid 3266,0
1470decl (Decl
1471n "A_CLK"
1472t "std_logic_vector"
1473b "(3 downto 0)"
1474o 21
1475suid 71,0
1476)
1477declText (MLText
1478uid 3267,0
1479va (VaSet
1480font "Courier New,8,0"
1481)
1482xt "39000,19800,67000,20600"
1483st "A_CLK : std_logic_vector(3 downto 0)
1484"
1485)
1486)
1487*39 (PortIoOut
1488uid 3284,0
1489shape (CompositeShape
1490uid 3285,0
1491va (VaSet
1492vasetType 1
1493fg "0,0,32768"
1494)
1495optionalChildren [
1496(Pentagon
1497uid 3286,0
1498sl 0
1499ro 90
1500xt "19000,89625,20500,90375"
1501)
1502(Line
1503uid 3287,0
1504sl 0
1505ro 90
1506xt "20500,90000,21000,90000"
1507pts [
1508"21000,90000"
1509"20500,90000"
1510]
1511)
1512]
1513)
1514stc 0
1515sf 1
1516tg (WTG
1517uid 3288,0
1518ps "PortIoTextPlaceStrategy"
1519stg "STSignalDisplayStrategy"
1520f (Text
1521uid 3289,0
1522va (VaSet
1523)
1524xt "14300,89500,18000,90500"
1525st "OE_ADC"
1526ju 2
1527blo "18000,90300"
1528tm "WireNameMgr"
1529)
1530)
1531)
1532*40 (Net
1533uid 3290,0
1534decl (Decl
1535n "OE_ADC"
1536t "STD_LOGIC"
1537preAdd 0
1538posAdd 0
1539o 32
1540suid 73,0
1541)
1542declText (MLText
1543uid 3291,0
1544va (VaSet
1545font "Courier New,8,0"
1546)
1547xt "39000,28600,57000,29400"
1548st "OE_ADC : STD_LOGIC
1549"
1550)
1551)
1552*41 (PortIoIn
1553uid 3292,0
1554shape (CompositeShape
1555uid 3293,0
1556va (VaSet
1557vasetType 1
1558fg "0,0,32768"
1559)
1560optionalChildren [
1561(Pentagon
1562uid 3294,0
1563sl 0
1564ro 270
1565xt "19000,88625,20500,89375"
1566)
1567(Line
1568uid 3295,0
1569sl 0
1570ro 270
1571xt "20500,89000,21000,89000"
1572pts [
1573"20500,89000"
1574"21000,89000"
1575]
1576)
1577]
1578)
1579stc 0
1580sf 1
1581tg (WTG
1582uid 3296,0
1583ps "PortIoTextPlaceStrategy"
1584stg "STSignalDisplayStrategy"
1585f (Text
1586uid 3297,0
1587va (VaSet
1588)
1589xt "14900,88500,18000,89500"
1590st "A_OTR"
1591ju 2
1592blo "18000,89300"
1593tm "WireNameMgr"
1594)
1595)
1596)
1597*42 (Net
1598uid 3298,0
1599decl (Decl
1600n "A_OTR"
1601t "std_logic_vector"
1602b "(3 DOWNTO 0)"
1603o 5
1604suid 74,0
1605)
1606declText (MLText
1607uid 3299,0
1608va (VaSet
1609font "Courier New,8,0"
1610)
1611xt "39000,7000,67000,7800"
1612st "A_OTR : std_logic_vector(3 DOWNTO 0)
1613"
1614)
1615)
1616*43 (HdlText
1617uid 3300,0
1618optionalChildren [
1619*44 (EmbeddedText
1620uid 3306,0
1621commentText (CommentText
1622uid 3307,0
1623ps "CenterOffsetStrategy"
1624shape (Rectangle
1625uid 3308,0
1626va (VaSet
1627vasetType 1
1628fg "65535,65535,65535"
1629lineColor "0,0,32768"
1630lineWidth 2
1631)
1632xt "19000,99000,38000,101000"
1633)
1634oxt "0,0,18000,5000"
1635text (MLText
1636uid 3309,0
1637va (VaSet
1638)
1639xt "19200,99200,35900,101200"
1640st "
1641adc_data_array <= ( A0_D, A1_D, A2_D, A3_D );
1642
1643"
1644tm "HdlTextMgr"
1645wrapOption 3
1646visibleHeight 2000
1647visibleWidth 19000
1648)
1649)
1650)
1651]
1652shape (Rectangle
1653uid 3301,0
1654va (VaSet
1655vasetType 1
1656fg "65535,65535,37120"
1657lineColor "0,0,32768"
1658lineWidth 2
1659)
1660xt "24000,94000,30000,99000"
1661)
1662oxt "0,0,8000,10000"
1663ttg (MlTextGroup
1664uid 3302,0
1665ps "CenterOffsetStrategy"
1666stg "VerticalLayoutStrategy"
1667textVec [
1668*45 (Text
1669uid 3303,0
1670va (VaSet
1671font "Arial,8,1"
1672)
1673xt "27150,95000,31750,96000"
1674st "ADC_DATA"
1675blo "27150,95800"
1676tm "HdlTextNameMgr"
1677)
1678*46 (Text
1679uid 3304,0
1680va (VaSet
1681font "Arial,8,1"
1682)
1683xt "27150,96000,27950,97000"
1684st "3"
1685blo "27150,96800"
1686tm "HdlTextNumberMgr"
1687)
1688]
1689)
1690viewicon (ZoomableIcon
1691uid 3305,0
1692sl 0
1693va (VaSet
1694vasetType 1
1695fg "49152,49152,49152"
1696)
1697xt "24250,97250,25750,98750"
1698iconName "TextFile.png"
1699iconMaskName "TextFile.msk"
1700ftype 21
1701)
1702viewiconposition 0
1703)
1704*47 (PortIoIn
1705uid 3310,0
1706shape (CompositeShape
1707uid 3311,0
1708va (VaSet
1709vasetType 1
1710fg "0,0,32768"
1711)
1712optionalChildren [
1713(Pentagon
1714uid 3312,0
1715sl 0
1716ro 270
1717xt "19000,94625,20500,95375"
1718)
1719(Line
1720uid 3313,0
1721sl 0
1722ro 270
1723xt "20500,95000,21000,95000"
1724pts [
1725"20500,95000"
1726"21000,95000"
1727]
1728)
1729]
1730)
1731stc 0
1732sf 1
1733tg (WTG
1734uid 3314,0
1735ps "PortIoTextPlaceStrategy"
1736stg "STSignalDisplayStrategy"
1737f (Text
1738uid 3315,0
1739va (VaSet
1740)
1741xt "15400,94500,18000,95500"
1742st "A0_D"
1743ju 2
1744blo "18000,95300"
1745tm "WireNameMgr"
1746)
1747)
1748)
1749*48 (PortIoIn
1750uid 3332,0
1751shape (CompositeShape
1752uid 3333,0
1753va (VaSet
1754vasetType 1
1755fg "0,0,32768"
1756)
1757optionalChildren [
1758(Pentagon
1759uid 3334,0
1760sl 0
1761ro 270
1762xt "19000,95625,20500,96375"
1763)
1764(Line
1765uid 3335,0
1766sl 0
1767ro 270
1768xt "20500,96000,21000,96000"
1769pts [
1770"20500,96000"
1771"21000,96000"
1772]
1773)
1774]
1775)
1776stc 0
1777sf 1
1778tg (WTG
1779uid 3336,0
1780ps "PortIoTextPlaceStrategy"
1781stg "STSignalDisplayStrategy"
1782f (Text
1783uid 3337,0
1784va (VaSet
1785)
1786xt "15500,95500,18000,96500"
1787st "A1_D"
1788ju 2
1789blo "18000,96300"
1790tm "WireNameMgr"
1791)
1792)
1793)
1794*49 (PortIoIn
1795uid 3338,0
1796shape (CompositeShape
1797uid 3339,0
1798va (VaSet
1799vasetType 1
1800fg "0,0,32768"
1801)
1802optionalChildren [
1803(Pentagon
1804uid 3340,0
1805sl 0
1806ro 270
1807xt "19000,96625,20500,97375"
1808)
1809(Line
1810uid 3341,0
1811sl 0
1812ro 270
1813xt "20500,97000,21000,97000"
1814pts [
1815"20500,97000"
1816"21000,97000"
1817]
1818)
1819]
1820)
1821stc 0
1822sf 1
1823tg (WTG
1824uid 3342,0
1825ps "PortIoTextPlaceStrategy"
1826stg "STSignalDisplayStrategy"
1827f (Text
1828uid 3343,0
1829va (VaSet
1830)
1831xt "15400,96500,18000,97500"
1832st "A2_D"
1833ju 2
1834blo "18000,97300"
1835tm "WireNameMgr"
1836)
1837)
1838)
1839*50 (PortIoIn
1840uid 3344,0
1841shape (CompositeShape
1842uid 3345,0
1843va (VaSet
1844vasetType 1
1845fg "0,0,32768"
1846)
1847optionalChildren [
1848(Pentagon
1849uid 3346,0
1850sl 0
1851ro 270
1852xt "19000,97625,20500,98375"
1853)
1854(Line
1855uid 3347,0
1856sl 0
1857ro 270
1858xt "20500,98000,21000,98000"
1859pts [
1860"20500,98000"
1861"21000,98000"
1862]
1863)
1864]
1865)
1866stc 0
1867sf 1
1868tg (WTG
1869uid 3348,0
1870ps "PortIoTextPlaceStrategy"
1871stg "STSignalDisplayStrategy"
1872f (Text
1873uid 3349,0
1874va (VaSet
1875)
1876xt "15400,97500,18000,98500"
1877st "A3_D"
1878ju 2
1879blo "18000,98300"
1880tm "WireNameMgr"
1881)
1882)
1883)
1884*51 (Net
1885uid 3374,0
1886decl (Decl
1887n "A0_D"
1888t "std_logic_vector"
1889b "(11 DOWNTO 0)"
1890o 1
1891suid 79,0
1892)
1893declText (MLText
1894uid 3375,0
1895va (VaSet
1896font "Courier New,8,0"
1897)
1898xt "39000,3800,67500,4600"
1899st "A0_D : std_logic_vector(11 DOWNTO 0)
1900"
1901)
1902)
1903*52 (Net
1904uid 3376,0
1905decl (Decl
1906n "A1_D"
1907t "std_logic_vector"
1908b "(11 DOWNTO 0)"
1909o 2
1910suid 80,0
1911)
1912declText (MLText
1913uid 3377,0
1914va (VaSet
1915font "Courier New,8,0"
1916)
1917xt "39000,4600,67500,5400"
1918st "A1_D : std_logic_vector(11 DOWNTO 0)
1919"
1920)
1921)
1922*53 (Net
1923uid 3378,0
1924decl (Decl
1925n "A2_D"
1926t "std_logic_vector"
1927b "(11 DOWNTO 0)"
1928o 3
1929suid 81,0
1930)
1931declText (MLText
1932uid 3379,0
1933va (VaSet
1934font "Courier New,8,0"
1935)
1936xt "39000,5400,67500,6200"
1937st "A2_D : std_logic_vector(11 DOWNTO 0)
1938"
1939)
1940)
1941*54 (Net
1942uid 3380,0
1943decl (Decl
1944n "A3_D"
1945t "std_logic_vector"
1946b "(11 DOWNTO 0)"
1947o 4
1948suid 82,0
1949)
1950declText (MLText
1951uid 3381,0
1952va (VaSet
1953font "Courier New,8,0"
1954)
1955xt "39000,6200,67500,7000"
1956st "A3_D : std_logic_vector(11 DOWNTO 0)
1957"
1958)
1959)
1960*55 (PortIoIn
1961uid 3476,0
1962shape (CompositeShape
1963uid 3477,0
1964va (VaSet
1965vasetType 1
1966fg "0,0,32768"
1967)
1968optionalChildren [
1969(Pentagon
1970uid 3478,0
1971sl 0
1972ro 270
1973xt "19000,104625,20500,105375"
1974)
1975(Line
1976uid 3479,0
1977sl 0
1978ro 270
1979xt "20500,105000,21000,105000"
1980pts [
1981"20500,105000"
1982"21000,105000"
1983]
1984)
1985]
1986)
1987stc 0
1988sf 1
1989tg (WTG
1990uid 3480,0
1991ps "PortIoTextPlaceStrategy"
1992stg "STSignalDisplayStrategy"
1993f (Text
1994uid 3481,0
1995va (VaSet
1996)
1997xt "13200,104500,18000,105500"
1998st "D0_SROUT"
1999ju 2
2000blo "18000,105300"
2001tm "WireNameMgr"
2002)
2003)
2004)
2005*56 (PortIoIn
2006uid 3482,0
2007shape (CompositeShape
2008uid 3483,0
2009va (VaSet
2010vasetType 1
2011fg "0,0,32768"
2012)
2013optionalChildren [
2014(Pentagon
2015uid 3484,0
2016sl 0
2017ro 270
2018xt "19000,105625,20500,106375"
2019)
2020(Line
2021uid 3485,0
2022sl 0
2023ro 270
2024xt "20500,106000,21000,106000"
2025pts [
2026"20500,106000"
2027"21000,106000"
2028]
2029)
2030]
2031)
2032stc 0
2033sf 1
2034tg (WTG
2035uid 3486,0
2036ps "PortIoTextPlaceStrategy"
2037stg "STSignalDisplayStrategy"
2038f (Text
2039uid 3487,0
2040va (VaSet
2041)
2042xt "13300,105500,18000,106500"
2043st "D1_SROUT"
2044ju 2
2045blo "18000,106300"
2046tm "WireNameMgr"
2047)
2048)
2049)
2050*57 (PortIoIn
2051uid 3488,0
2052shape (CompositeShape
2053uid 3489,0
2054va (VaSet
2055vasetType 1
2056fg "0,0,32768"
2057)
2058optionalChildren [
2059(Pentagon
2060uid 3490,0
2061sl 0
2062ro 270
2063xt "19000,106625,20500,107375"
2064)
2065(Line
2066uid 3491,0
2067sl 0
2068ro 270
2069xt "20500,107000,21000,107000"
2070pts [
2071"20500,107000"
2072"21000,107000"
2073]
2074)
2075]
2076)
2077stc 0
2078sf 1
2079tg (WTG
2080uid 3492,0
2081ps "PortIoTextPlaceStrategy"
2082stg "STSignalDisplayStrategy"
2083f (Text
2084uid 3493,0
2085va (VaSet
2086)
2087xt "13200,106500,18000,107500"
2088st "D2_SROUT"
2089ju 2
2090blo "18000,107300"
2091tm "WireNameMgr"
2092)
2093)
2094)
2095*58 (PortIoIn
2096uid 3494,0
2097shape (CompositeShape
2098uid 3495,0
2099va (VaSet
2100vasetType 1
2101fg "0,0,32768"
2102)
2103optionalChildren [
2104(Pentagon
2105uid 3496,0
2106sl 0
2107ro 270
2108xt "19000,107625,20500,108375"
2109)
2110(Line
2111uid 3497,0
2112sl 0
2113ro 270
2114xt "20500,108000,21000,108000"
2115pts [
2116"20500,108000"
2117"21000,108000"
2118]
2119)
2120]
2121)
2122stc 0
2123sf 1
2124tg (WTG
2125uid 3498,0
2126ps "PortIoTextPlaceStrategy"
2127stg "STSignalDisplayStrategy"
2128f (Text
2129uid 3499,0
2130va (VaSet
2131)
2132xt "13200,107500,18000,108500"
2133st "D3_SROUT"
2134ju 2
2135blo "18000,108300"
2136tm "WireNameMgr"
2137)
2138)
2139)
2140*59 (Net
2141uid 3500,0
2142decl (Decl
2143n "D0_SROUT"
2144t "std_logic"
2145o 6
2146suid 91,0
2147)
2148declText (MLText
2149uid 3501,0
2150va (VaSet
2151font "Courier New,8,0"
2152)
2153xt "39000,7800,57000,8600"
2154st "D0_SROUT : std_logic
2155"
2156)
2157)
2158*60 (Net
2159uid 3502,0
2160decl (Decl
2161n "D1_SROUT"
2162t "std_logic"
2163o 7
2164suid 92,0
2165)
2166declText (MLText
2167uid 3503,0
2168va (VaSet
2169font "Courier New,8,0"
2170)
2171xt "39000,8600,57000,9400"
2172st "D1_SROUT : std_logic
2173"
2174)
2175)
2176*61 (Net
2177uid 3504,0
2178decl (Decl
2179n "D2_SROUT"
2180t "std_logic"
2181o 8
2182suid 93,0
2183)
2184declText (MLText
2185uid 3505,0
2186va (VaSet
2187font "Courier New,8,0"
2188)
2189xt "39000,9400,57000,10200"
2190st "D2_SROUT : std_logic
2191"
2192)
2193)
2194*62 (Net
2195uid 3506,0
2196decl (Decl
2197n "D3_SROUT"
2198t "std_logic"
2199o 9
2200suid 94,0
2201)
2202declText (MLText
2203uid 3507,0
2204va (VaSet
2205font "Courier New,8,0"
2206)
2207xt "39000,10200,57000,11000"
2208st "D3_SROUT : std_logic
2209"
2210)
2211)
2212*63 (PortIoOut
2213uid 3508,0
2214shape (CompositeShape
2215uid 3509,0
2216va (VaSet
2217vasetType 1
2218fg "0,0,32768"
2219)
2220optionalChildren [
2221(Pentagon
2222uid 3510,0
2223sl 0
2224ro 90
2225xt "19000,108625,20500,109375"
2226)
2227(Line
2228uid 3511,0
2229sl 0
2230ro 90
2231xt "20500,109000,21000,109000"
2232pts [
2233"21000,109000"
2234"20500,109000"
2235]
2236)
2237]
2238)
2239stc 0
2240sf 1
2241tg (WTG
2242uid 3512,0
2243ps "PortIoTextPlaceStrategy"
2244stg "STSignalDisplayStrategy"
2245f (Text
2246uid 3513,0
2247va (VaSet
2248)
2249xt "15900,108500,18000,109500"
2250st "D_A"
2251ju 2
2252blo "18000,109300"
2253tm "WireNameMgr"
2254)
2255)
2256)
2257*64 (Net
2258uid 3514,0
2259decl (Decl
2260n "D_A"
2261t "std_logic_vector"
2262b "(3 DOWNTO 0)"
2263o 26
2264suid 95,0
2265i "(others => '0')"
2266)
2267declText (MLText
2268uid 3515,0
2269va (VaSet
2270font "Courier New,8,0"
2271)
2272xt "39000,23800,77500,24600"
2273st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0')
2274"
2275)
2276)
2277*65 (PortIoOut
2278uid 3516,0
2279shape (CompositeShape
2280uid 3517,0
2281va (VaSet
2282vasetType 1
2283fg "0,0,32768"
2284)
2285optionalChildren [
2286(Pentagon
2287uid 3518,0
2288sl 0
2289ro 90
2290xt "19000,109625,20500,110375"
2291)
2292(Line
2293uid 3519,0
2294sl 0
2295ro 90
2296xt "20500,110000,21000,110000"
2297pts [
2298"21000,110000"
2299"20500,110000"
2300]
2301)
2302]
2303)
2304stc 0
2305sf 1
2306tg (WTG
2307uid 3520,0
2308ps "PortIoTextPlaceStrategy"
2309stg "STSignalDisplayStrategy"
2310f (Text
2311uid 3521,0
2312va (VaSet
2313)
2314xt "14200,109500,18000,110500"
2315st "DWRITE"
2316ju 2
2317blo "18000,110300"
2318tm "WireNameMgr"
2319)
2320)
2321)
2322*66 (Net
2323uid 3522,0
2324decl (Decl
2325n "DWRITE"
2326t "std_logic"
2327o 25
2328suid 96,0
2329i "'0'"
2330)
2331declText (MLText
2332uid 3523,0
2333va (VaSet
2334font "Courier New,8,0"
2335)
2336xt "39000,23000,71500,23800"
2337st "DWRITE : std_logic := '0'
2338"
2339)
2340)
2341*67 (PortIoOut
2342uid 3536,0
2343shape (CompositeShape
2344uid 3537,0
2345va (VaSet
2346vasetType 1
2347fg "0,0,32768"
2348)
2349optionalChildren [
2350(Pentagon
2351uid 3538,0
2352sl 0
2353ro 270
2354xt "97500,83625,99000,84375"
2355)
2356(Line
2357uid 3539,0
2358sl 0
2359ro 270
2360xt "97000,84000,97500,84000"
2361pts [
2362"97000,84000"
2363"97500,84000"
2364]
2365)
2366]
2367)
2368stc 0
2369sf 1
2370tg (WTG
2371uid 3540,0
2372ps "PortIoTextPlaceStrategy"
2373stg "STSignalDisplayStrategy"
2374f (Text
2375uid 3541,0
2376va (VaSet
2377)
2378xt "100000,83500,103700,84500"
2379st "DAC_CS"
2380blo "100000,84300"
2381tm "WireNameMgr"
2382)
2383)
2384)
2385*68 (PortIoOut
2386uid 3624,0
2387shape (CompositeShape
2388uid 3625,0
2389va (VaSet
2390vasetType 1
2391fg "0,0,32768"
2392)
2393optionalChildren [
2394(Pentagon
2395uid 3626,0
2396sl 0
2397ro 270
2398xt "99500,96625,101000,97375"
2399)
2400(Line
2401uid 3627,0
2402sl 0
2403ro 270
2404xt "99000,97000,99500,97000"
2405pts [
2406"99000,97000"
2407"99500,97000"
2408]
2409)
2410]
2411)
2412stc 0
2413sf 1
2414tg (WTG
2415uid 3628,0
2416ps "PortIoTextPlaceStrategy"
2417stg "STSignalDisplayStrategy"
2418f (Text
2419uid 3629,0
2420va (VaSet
2421)
2422xt "101750,96500,104650,97500"
2423st "S_CLK"
2424blo "101750,97300"
2425tm "WireNameMgr"
2426)
2427)
2428)
2429*69 (Net
2430uid 3630,0
2431decl (Decl
2432n "S_CLK"
2433t "std_logic"
2434o 42
2435suid 105,0
2436)
2437declText (MLText
2438uid 3631,0
2439va (VaSet
2440font "Courier New,8,0"
2441)
2442xt "39000,36600,57000,37400"
2443st "S_CLK : std_logic
2444"
2445)
2446)
2447*70 (Net
2448uid 3632,0
2449decl (Decl
2450n "W_A"
2451t "std_logic_vector"
2452b "(9 DOWNTO 0)"
2453o 45
2454suid 106,0
2455)
2456declText (MLText
2457uid 3633,0
2458va (VaSet
2459font "Courier New,8,0"
2460)
2461xt "39000,39000,67000,39800"
2462st "W_A : std_logic_vector(9 DOWNTO 0)
2463"
2464)
2465)
2466*71 (Net
2467uid 3634,0
2468decl (Decl
2469n "W_D"
2470t "std_logic_vector"
2471b "(15 DOWNTO 0)"
2472o 52
2473suid 107,0
2474)
2475declText (MLText
2476uid 3635,0
2477va (VaSet
2478font "Courier New,8,0"
2479)
2480xt "39000,44600,67500,45400"
2481st "W_D : std_logic_vector(15 DOWNTO 0)
2482"
2483)
2484)
2485*72 (Net
2486uid 3636,0
2487decl (Decl
2488n "W_RES"
2489t "std_logic"
2490o 48
2491suid 108,0
2492i "'1'"
2493)
2494declText (MLText
2495uid 3637,0
2496va (VaSet
2497font "Courier New,8,0"
2498)
2499xt "39000,41400,71500,42200"
2500st "W_RES : std_logic := '1'
2501"
2502)
2503)
2504*73 (Net
2505uid 3638,0
2506decl (Decl
2507n "W_RD"
2508t "std_logic"
2509o 47
2510suid 109,0
2511i "'1'"
2512)
2513declText (MLText
2514uid 3639,0
2515va (VaSet
2516font "Courier New,8,0"
2517)
2518xt "39000,40600,71500,41400"
2519st "W_RD : std_logic := '1'
2520"
2521)
2522)
2523*74 (Net
2524uid 3640,0
2525decl (Decl
2526n "W_WR"
2527t "std_logic"
2528o 50
2529suid 110,0
2530i "'1'"
2531)
2532declText (MLText
2533uid 3641,0
2534va (VaSet
2535font "Courier New,8,0"
2536)
2537xt "39000,43000,71500,43800"
2538st "W_WR : std_logic := '1'
2539"
2540)
2541)
2542*75 (Net
2543uid 3642,0
2544decl (Decl
2545n "W_INT"
2546t "std_logic"
2547o 16
2548suid 111,0
2549)
2550declText (MLText
2551uid 3643,0
2552va (VaSet
2553font "Courier New,8,0"
2554)
2555xt "39000,15800,57000,16600"
2556st "W_INT : std_logic
2557"
2558)
2559)
2560*76 (Net
2561uid 3644,0
2562decl (Decl
2563n "W_CS"
2564t "std_logic"
2565o 46
2566suid 112,0
2567i "'1'"
2568)
2569declText (MLText
2570uid 3645,0
2571va (VaSet
2572font "Courier New,8,0"
2573)
2574xt "39000,39800,71500,40600"
2575st "W_CS : std_logic := '1'
2576"
2577)
2578)
2579*77 (PortIoInOut
2580uid 3674,0
2581shape (CompositeShape
2582uid 3675,0
2583va (VaSet
2584vasetType 1
2585fg "0,0,32768"
2586)
2587optionalChildren [
2588(Hexagon
2589uid 3676,0
2590sl 0
2591xt "90500,98625,92000,99375"
2592)
2593(Line
2594uid 3677,0
2595sl 0
2596xt "90000,99000,90500,99000"
2597pts [
2598"90000,99000"
2599"90500,99000"
2600]
2601)
2602]
2603)
2604stc 0
2605sf 1
2606tg (WTG
2607uid 3678,0
2608ps "PortIoTextPlaceStrategy"
2609stg "STSignalDisplayStrategy"
2610f (Text
2611uid 3679,0
2612va (VaSet
2613)
2614xt "93000,98500,95700,99500"
2615st "MISO"
2616blo "93000,99300"
2617tm "WireNameMgr"
2618)
2619)
2620)
2621*78 (Net
2622uid 3680,0
2623decl (Decl
2624n "MOSI"
2625t "std_logic"
2626o 31
2627suid 113,0
2628i "'0'"
2629)
2630declText (MLText
2631uid 3681,0
2632va (VaSet
2633font "Courier New,8,0"
2634)
2635xt "39000,27800,71500,28600"
2636st "MOSI : std_logic := '0'
2637"
2638)
2639)
2640*79 (PortIoOut
2641uid 3688,0
2642shape (CompositeShape
2643uid 3689,0
2644va (VaSet
2645vasetType 1
2646fg "0,0,32768"
2647)
2648optionalChildren [
2649(Pentagon
2650uid 3690,0
2651sl 0
2652ro 270
2653xt "99500,99625,101000,100375"
2654)
2655(Line
2656uid 3691,0
2657sl 0
2658ro 270
2659xt "99000,100000,99500,100000"
2660pts [
2661"99000,100000"
2662"99500,100000"
2663]
2664)
2665]
2666)
2667stc 0
2668sf 1
2669tg (WTG
2670uid 3692,0
2671ps "PortIoTextPlaceStrategy"
2672stg "STSignalDisplayStrategy"
2673f (Text
2674uid 3693,0
2675va (VaSet
2676)
2677xt "102000,99500,104700,100500"
2678st "MOSI"
2679blo "102000,100300"
2680tm "WireNameMgr"
2681)
2682)
2683)
2684*80 (Net
2685uid 3694,0
2686decl (Decl
2687n "MISO"
2688t "std_logic"
2689preAdd 0
2690posAdd 0
2691o 51
2692suid 114,0
2693)
2694declText (MLText
2695uid 3695,0
2696va (VaSet
2697font "Courier New,8,0"
2698)
2699xt "39000,43800,57000,44600"
2700st "MISO : std_logic
2701"
2702)
2703)
2704*81 (PortIoOut
2705uid 3716,0
2706shape (CompositeShape
2707uid 3717,0
2708va (VaSet
2709vasetType 1
2710fg "0,0,32768"
2711)
2712optionalChildren [
2713(Pentagon
2714uid 3718,0
2715sl 0
2716ro 270
2717xt "176500,127625,178000,128375"
2718)
2719(Line
2720uid 3719,0
2721sl 0
2722ro 270
2723xt "176000,128000,176500,128000"
2724pts [
2725"176000,128000"
2726"176500,128000"
2727]
2728)
2729]
2730)
2731stc 0
2732sf 1
2733tg (WTG
2734uid 3720,0
2735ps "PortIoTextPlaceStrategy"
2736stg "STSignalDisplayStrategy"
2737f (Text
2738uid 3721,0
2739va (VaSet
2740)
2741xt "179000,127500,185100,128500"
2742st "RS485_C_DE"
2743blo "179000,128300"
2744tm "WireNameMgr"
2745)
2746)
2747)
2748*82 (PortIoOut
2749uid 3722,0
2750shape (CompositeShape
2751uid 3723,0
2752va (VaSet
2753vasetType 1
2754fg "0,0,32768"
2755)
2756optionalChildren [
2757(Pentagon
2758uid 3724,0
2759sl 0
2760ro 270
2761xt "176500,128625,178000,129375"
2762)
2763(Line
2764uid 3725,0
2765sl 0
2766ro 270
2767xt "176000,129000,176500,129000"
2768pts [
2769"176000,129000"
2770"176500,129000"
2771]
2772)
2773]
2774)
2775stc 0
2776sf 1
2777tg (WTG
2778uid 3726,0
2779ps "PortIoTextPlaceStrategy"
2780stg "STSignalDisplayStrategy"
2781f (Text
2782uid 3727,0
2783va (VaSet
2784)
2785xt "179000,128500,185200,129500"
2786st "RS485_C_DO"
2787blo "179000,129300"
2788tm "WireNameMgr"
2789)
2790)
2791)
2792*83 (PortIoOut
2793uid 3728,0
2794shape (CompositeShape
2795uid 3729,0
2796va (VaSet
2797vasetType 1
2798fg "0,0,32768"
2799)
2800optionalChildren [
2801(Pentagon
2802uid 3730,0
2803sl 0
2804ro 270
2805xt "85500,147625,87000,148375"
2806)
2807(Line
2808uid 3731,0
2809sl 0
2810ro 270
2811xt "85000,148000,85500,148000"
2812pts [
2813"85000,148000"
2814"85500,148000"
2815]
2816)
2817]
2818)
2819stc 0
2820sf 1
2821tg (WTG
2822uid 3732,0
2823ps "PortIoTextPlaceStrategy"
2824stg "STSignalDisplayStrategy"
2825f (Text
2826uid 3733,0
2827va (VaSet
2828)
2829xt "88000,147500,94000,148500"
2830st "RS485_E_RE"
2831blo "88000,148300"
2832tm "WireNameMgr"
2833)
2834)
2835)
2836*84 (PortIoOut
2837uid 3734,0
2838shape (CompositeShape
2839uid 3735,0
2840va (VaSet
2841vasetType 1
2842fg "0,0,32768"
2843)
2844optionalChildren [
2845(Pentagon
2846uid 3736,0
2847sl 0
2848ro 270
2849xt "85500,146625,87000,147375"
2850)
2851(Line
2852uid 3737,0
2853sl 0
2854ro 270
2855xt "85000,147000,85500,147000"
2856pts [
2857"85000,147000"
2858"85500,147000"
2859]
2860)
2861]
2862)
2863stc 0
2864sf 1
2865tg (WTG
2866uid 3738,0
2867ps "PortIoTextPlaceStrategy"
2868stg "STSignalDisplayStrategy"
2869f (Text
2870uid 3739,0
2871va (VaSet
2872)
2873xt "88000,146500,94100,147500"
2874st "RS485_E_DE"
2875blo "88000,147300"
2876tm "WireNameMgr"
2877)
2878)
2879)
2880*85 (PortIoOut
2881uid 3740,0
2882shape (CompositeShape
2883uid 3741,0
2884va (VaSet
2885vasetType 1
2886fg "0,0,32768"
2887)
2888optionalChildren [
2889(Pentagon
2890uid 3742,0
2891sl 0
2892ro 270
2893xt "82500,120625,84000,121375"
2894)
2895(Line
2896uid 3743,0
2897sl 0
2898ro 270
2899xt "82000,121000,82500,121000"
2900pts [
2901"82000,121000"
2902"82500,121000"
2903]
2904)
2905]
2906)
2907stc 0
2908sf 1
2909tg (WTG
2910uid 3744,0
2911ps "PortIoTextPlaceStrategy"
2912stg "STSignalDisplayStrategy"
2913f (Text
2914uid 3745,0
2915va (VaSet
2916)
2917xt "85000,120500,89100,121500"
2918st "DENABLE"
2919blo "85000,121300"
2920tm "WireNameMgr"
2921)
2922)
2923)
2924*86 (PortIoOut
2925uid 3752,0
2926shape (CompositeShape
2927uid 3753,0
2928va (VaSet
2929vasetType 1
2930fg "0,0,32768"
2931)
2932optionalChildren [
2933(Pentagon
2934uid 3754,0
2935sl 0
2936ro 270
2937xt "176500,135625,178000,136375"
2938)
2939(Line
2940uid 3755,0
2941sl 0
2942ro 270
2943xt "176000,136000,176500,136000"
2944pts [
2945"176000,136000"
2946"176500,136000"
2947]
2948)
2949]
2950)
2951stc 0
2952sf 1
2953tg (WTG
2954uid 3756,0
2955ps "PortIoTextPlaceStrategy"
2956stg "STSignalDisplayStrategy"
2957f (Text
2958uid 3757,0
2959va (VaSet
2960)
2961xt "179000,135500,182000,136500"
2962st "EE_CS"
2963blo "179000,136300"
2964tm "WireNameMgr"
2965)
2966)
2967)
2968*87 (Net
2969uid 3866,0
2970decl (Decl
2971n "RS485_C_RE"
2972t "std_logic"
2973o 36
2974suid 127,0
2975)
2976declText (MLText
2977uid 3867,0
2978va (VaSet
2979font "Courier New,8,0"
2980)
2981xt "39000,31800,57000,32600"
2982st "RS485_C_RE : std_logic
2983"
2984)
2985)
2986*88 (Net
2987uid 3868,0
2988decl (Decl
2989n "RS485_C_DE"
2990t "std_logic"
2991o 34
2992suid 128,0
2993)
2994declText (MLText
2995uid 3869,0
2996va (VaSet
2997font "Courier New,8,0"
2998)
2999xt "39000,30200,57000,31000"
3000st "RS485_C_DE : std_logic
3001"
3002)
3003)
3004*89 (Net
3005uid 3870,0
3006decl (Decl
3007n "RS485_E_RE"
3008t "std_logic"
3009o 39
3010suid 129,0
3011)
3012declText (MLText
3013uid 3871,0
3014va (VaSet
3015font "Courier New,8,0"
3016)
3017xt "39000,34200,57000,35000"
3018st "RS485_E_RE : std_logic
3019"
3020)
3021)
3022*90 (Net
3023uid 3872,0
3024decl (Decl
3025n "RS485_E_DE"
3026t "std_logic"
3027o 37
3028suid 130,0
3029)
3030declText (MLText
3031uid 3873,0
3032va (VaSet
3033font "Courier New,8,0"
3034)
3035xt "39000,32600,57000,33400"
3036st "RS485_E_DE : std_logic
3037"
3038)
3039)
3040*91 (Net
3041uid 3874,0
3042decl (Decl
3043n "DENABLE"
3044t "std_logic"
3045o 23
3046suid 131,0
3047i "'0'"
3048)
3049declText (MLText
3050uid 3875,0
3051va (VaSet
3052font "Courier New,8,0"
3053)
3054xt "39000,21400,71500,22200"
3055st "DENABLE : std_logic := '0'
3056"
3057)
3058)
3059*92 (Net
3060uid 3878,0
3061decl (Decl
3062n "EE_CS"
3063t "std_logic"
3064o 29
3065suid 133,0
3066)
3067declText (MLText
3068uid 3879,0
3069va (VaSet
3070font "Courier New,8,0"
3071)
3072xt "39000,26200,57000,27000"
3073st "EE_CS : std_logic
3074"
3075)
3076)
3077*93 (PortIoOut
3078uid 4916,0
3079shape (CompositeShape
3080uid 4917,0
3081va (VaSet
3082vasetType 1
3083fg "0,0,32768"
3084)
3085optionalChildren [
3086(Pentagon
3087uid 4918,0
3088sl 0
3089ro 270
3090xt "176500,114625,178000,115375"
3091)
3092(Line
3093uid 4919,0
3094sl 0
3095ro 270
3096xt "176000,115000,176500,115000"
3097pts [
3098"176000,115000"
3099"176500,115000"
3100]
3101)
3102]
3103)
3104stc 0
3105sf 1
3106tg (WTG
3107uid 4920,0
3108ps "PortIoTextPlaceStrategy"
3109stg "STSignalDisplayStrategy"
3110f (Text
3111uid 4921,0
3112va (VaSet
3113)
3114xt "179000,114500,181000,115500"
3115st "D_T"
3116blo "179000,115300"
3117tm "WireNameMgr"
3118)
3119)
3120)
3121*94 (Net
3122uid 5320,0
3123decl (Decl
3124n "D_T"
3125t "std_logic_vector"
3126b "(7 DOWNTO 0)"
3127o 27
3128suid 141,0
3129i "(OTHERS => '0')"
3130)
3131declText (MLText
3132uid 5321,0
3133va (VaSet
3134font "Courier New,8,0"
3135)
3136xt "39000,24600,77500,25400"
3137st "D_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
3138"
3139)
3140)
3141*95 (PortIoOut
3142uid 6874,0
3143shape (CompositeShape
3144uid 6875,0
3145va (VaSet
3146vasetType 1
3147fg "0,0,32768"
3148)
3149optionalChildren [
3150(Pentagon
3151uid 6876,0
3152sl 0
3153ro 270
3154xt "176500,124625,178000,125375"
3155)
3156(Line
3157uid 6877,0
3158sl 0
3159ro 270
3160xt "176000,125000,176500,125000"
3161pts [
3162"176000,125000"
3163"176500,125000"
3164]
3165)
3166]
3167)
3168stc 0
3169sf 1
3170tg (WTG
3171uid 6878,0
3172ps "PortIoTextPlaceStrategy"
3173stg "STSignalDisplayStrategy"
3174f (Text
3175uid 6879,0
3176va (VaSet
3177)
3178xt "179000,124500,181500,125500"
3179st "D_T2"
3180blo "179000,125300"
3181tm "WireNameMgr"
3182)
3183)
3184)
3185*96 (Net
3186uid 6886,0
3187decl (Decl
3188n "D_T2"
3189t "std_logic_vector"
3190b "(1 DOWNTO 0)"
3191o 28
3192suid 154,0
3193i "(others => '0')"
3194)
3195declText (MLText
3196uid 6887,0
3197va (VaSet
3198font "Courier New,8,0"
3199)
3200xt "39000,25400,77500,26200"
3201st "D_T2 : std_logic_vector(1 DOWNTO 0) := (others => '0')
3202"
3203)
3204)
3205*97 (PortIoOut
3206uid 7138,0
3207shape (CompositeShape
3208uid 7139,0
3209va (VaSet
3210vasetType 1
3211fg "0,0,32768"
3212)
3213optionalChildren [
3214(Pentagon
3215uid 7140,0
3216sl 0
3217ro 270
3218xt "176500,117625,178000,118375"
3219)
3220(Line
3221uid 7141,0
3222sl 0
3223ro 270
3224xt "176000,118000,176500,118000"
3225pts [
3226"176000,118000"
3227"176500,118000"
3228]
3229)
3230]
3231)
3232stc 0
3233sf 1
3234tg (WTG
3235uid 7142,0
3236ps "PortIoTextPlaceStrategy"
3237stg "STSignalDisplayStrategy"
3238f (Text
3239uid 7143,0
3240va (VaSet
3241)
3242xt "179000,117500,181400,118500"
3243st "A1_T"
3244blo "179000,118300"
3245tm "WireNameMgr"
3246)
3247)
3248)
3249*98 (Net
3250uid 7150,0
3251decl (Decl
3252n "A1_T"
3253t "std_logic_vector"
3254b "(7 DOWNTO 0)"
3255o 19
3256suid 155,0
3257i "(OTHERS => '0')"
3258)
3259declText (MLText
3260uid 7151,0
3261va (VaSet
3262font "Courier New,8,0"
3263)
3264xt "39000,18200,77500,19000"
3265st "A1_T : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
3266"
3267)
3268)
3269*99 (Net
3270uid 9500,0
3271decl (Decl
3272n "CLK_50"
3273t "std_logic"
3274o 54
3275suid 163,0
3276)
3277declText (MLText
3278uid 9501,0
3279va (VaSet
3280font "Courier New,8,0"
3281)
3282xt "39000,47200,61000,48000"
3283st "SIGNAL CLK_50 : std_logic
3284"
3285)
3286)
3287*100 (PortIoOut
3288uid 10296,0
3289shape (CompositeShape
3290uid 10297,0
3291va (VaSet
3292vasetType 1
3293fg "0,0,32768"
3294)
3295optionalChildren [
3296(Pentagon
3297uid 10298,0
3298sl 0
3299ro 270
3300xt "176500,116625,178000,117375"
3301)
3302(Line
3303uid 10299,0
3304sl 0
3305ro 270
3306xt "176000,117000,176500,117000"
3307pts [
3308"176000,117000"
3309"176500,117000"
3310]
3311)
3312]
3313)
3314stc 0
3315sf 1
3316tg (WTG
3317uid 10300,0
3318ps "PortIoTextPlaceStrategy"
3319stg "STSignalDisplayStrategy"
3320f (Text
3321uid 10301,0
3322va (VaSet
3323)
3324xt "179000,116500,181500,117500"
3325st "A0_T"
3326blo "179000,117300"
3327tm "WireNameMgr"
3328)
3329)
3330)
3331*101 (Net
3332uid 10308,0
3333decl (Decl
3334n "A0_T"
3335t "std_logic_vector"
3336b "(7 DOWNTO 0)"
3337o 18
3338suid 166,0
3339i "(others => '0')"
3340)
3341declText (MLText
3342uid 10309,0
3343va (VaSet
3344font "Courier New,8,0"
3345)
3346xt "39000,17400,77500,18200"
3347st "A0_T : std_logic_vector(7 DOWNTO 0) := (others => '0')
3348"
3349)
3350)
3351*102 (HdlText
3352uid 10310,0
3353optionalChildren [
3354*103 (EmbeddedText
3355uid 10316,0
3356commentText (CommentText
3357uid 10317,0
3358ps "CenterOffsetStrategy"
3359shape (Rectangle
3360uid 10318,0
3361va (VaSet
3362vasetType 1
3363fg "65535,65535,65535"
3364lineColor "0,0,32768"
3365lineWidth 2
3366)
3367xt "114000,57000,146000,99000"
3368)
3369oxt "0,0,18000,5000"
3370text (MLText
3371uid 10319,0
3372va (VaSet
3373)
3374xt "114200,57200,135600,99200"
3375st "
3376-- testpins D_T2 are used as MAX3485 outputs.
3377
3378--D_T <= (others => '0');
3379D_T <= w5300_state;
3380--D_T2(0) <= debug_data_valid;
3381D_T2(0) <= debug_data_ram_empty;
3382--D_T2(1) <= socket_tx_free_out(16);
3383
3384D_T2(1) <= TRG_V;
3385--D_T2 <= ( others => '0' );
3386
3387
3388A0_T <= (others => '0');
3389A1_T <= (others => '1');
3390
3391
3392--A0_T <= DG_state;
3393W_T(3 downto 0) <= mem_manager_state;
3394--A1_T(7 downto 4) <= \"1100\";
3395
3396--A0_T <= socket_tx_free_out(7 downto 0);
3397--A0_T <= spi_debug_16bit(7 downto 0);
3398--A1_T <= spi_debug_16bit(15 downto 8);
3399--A1_T <= socket_tx_free_out(15 downto 8);
3400
3401-- check SPI interfac
3402--A1_T(7) <= sclk;
3403--A1_T(6) <= MISO;
3404--A1_T(5) <= mosi1;
3405
3406--A1_T(4) <= dac_cs1;
3407--A1_T( 3 downto 0) <= sensor_cs;
3408
3409
3410--D_T(3 downto 0) <= counter_result ( 11 downto 8);
3411--D_T(4) <= alarm_refclk_too_low;
3412--D_T(5) <= alarm_refclk_too_high;
3413--D_T(6) <= '0';
3414--D_T(7) <= '0';
3415
3416
3417
3418-- additional MAX3485 is switched to shutdown mode
3419RS485_C_RE <= '1'; --inverted logic
3420RS485_C_DE <= '0';
3421RS485_C_DO <= '0';
3422-- MAX3485 receiver out pit is fed out... should be HIGH-Z
3423
3424
3425-- EEPROM is not used on FAD. CS is always high.
3426EE_CS <= '1';
3427"
3428tm "HdlTextMgr"
3429wrapOption 3
3430visibleHeight 42000
3431visibleWidth 32000
3432)
3433)
3434)
3435]
3436shape (Rectangle
3437uid 10311,0
3438va (VaSet
3439vasetType 1
3440fg "65535,65535,37120"
3441lineColor "0,0,32768"
3442lineWidth 2
3443)
3444xt "165000,104000,171000,140000"
3445)
3446oxt "0,0,8000,10000"
3447ttg (MlTextGroup
3448uid 10312,0
3449ps "CenterOffsetStrategy"
3450stg "VerticalLayoutStrategy"
3451textVec [
3452*104 (Text
3453uid 10313,0
3454va (VaSet
3455font "Arial,8,1"
3456)
3457xt "168150,107000,169850,108000"
3458st "eb3"
3459blo "168150,107800"
3460tm "HdlTextNameMgr"
3461)
3462*105 (Text
3463uid 10314,0
3464va (VaSet
3465font "Arial,8,1"
3466)
3467xt "168150,108000,168950,109000"
3468st "9"
3469blo "168150,108800"
3470tm "HdlTextNumberMgr"
3471)
3472]
3473)
3474viewicon (ZoomableIcon
3475uid 10315,0
3476sl 0
3477va (VaSet
3478vasetType 1
3479fg "49152,49152,49152"
3480)
3481xt "165250,138250,166750,139750"
3482iconName "TextFile.png"
3483iconMaskName "TextFile.msk"
3484ftype 21
3485)
3486viewiconposition 0
3487)
3488*106 (PortIoOut
3489uid 11104,0
3490shape (CompositeShape
3491uid 11105,0
3492va (VaSet
3493vasetType 1
3494fg "0,0,32768"
3495)
3496optionalChildren [
3497(Pentagon
3498uid 11106,0
3499sl 0
3500ro 270
3501xt "176500,129625,178000,130375"
3502)
3503(Line
3504uid 11107,0
3505sl 0
3506ro 270
3507xt "176000,130000,176500,130000"
3508pts [
3509"176000,130000"
3510"176500,130000"
3511]
3512)
3513]
3514)
3515stc 0
3516sf 1
3517tg (WTG
3518uid 11108,0
3519ps "PortIoTextPlaceStrategy"
3520stg "STSignalDisplayStrategy"
3521f (Text
3522uid 11109,0
3523va (VaSet
3524)
3525xt "179000,129500,185000,130500"
3526st "RS485_C_RE"
3527blo "179000,130300"
3528tm "WireNameMgr"
3529)
3530)
3531)
3532*107 (Net
3533uid 11116,0
3534decl (Decl
3535n "RS485_C_DO"
3536t "std_logic"
3537o 35
3538suid 198,0
3539)
3540declText (MLText
3541uid 11117,0
3542va (VaSet
3543font "Courier New,8,0"
3544)
3545xt "39000,31000,57000,31800"
3546st "RS485_C_DO : std_logic
3547"
3548)
3549)
3550*108 (PortIoIn
3551uid 11508,0
3552shape (CompositeShape
3553uid 11509,0
3554va (VaSet
3555vasetType 1
3556fg "0,0,32768"
3557)
3558optionalChildren [
3559(Pentagon
3560uid 11510,0
3561sl 0
3562ro 90
3563xt "85500,149625,87000,150375"
3564)
3565(Line
3566uid 11511,0
3567sl 0
3568ro 90
3569xt "85000,150000,85500,150000"
3570pts [
3571"85500,150000"
3572"85000,150000"
3573]
3574)
3575]
3576)
3577stc 0
3578sf 1
3579tg (WTG
3580uid 11512,0
3581ps "PortIoTextPlaceStrategy"
3582stg "STSignalDisplayStrategy"
3583f (Text
3584uid 11513,0
3585va (VaSet
3586)
3587xt "88000,149500,94000,150500"
3588st "RS485_E_DI"
3589blo "88000,150300"
3590tm "WireNameMgr"
3591)
3592)
3593)
3594*109 (Net
3595uid 11520,0
3596decl (Decl
3597n "RS485_E_DI"
3598t "std_logic"
3599o 14
3600suid 200,0
3601)
3602declText (MLText
3603uid 11521,0
3604va (VaSet
3605font "Courier New,8,0"
3606)
3607xt "39000,14200,57000,15000"
3608st "RS485_E_DI : std_logic
3609"
3610)
3611)
3612*110 (Net
3613uid 11534,0
3614decl (Decl
3615n "RS485_E_DO"
3616t "std_logic"
3617o 38
3618suid 201,0
3619)
3620declText (MLText
3621uid 11535,0
3622va (VaSet
3623font "Courier New,8,0"
3624)
3625xt "39000,33400,57000,34200"
3626st "RS485_E_DO : std_logic
3627"
3628)
3629)
3630*111 (PortIoOut
3631uid 12326,0
3632shape (CompositeShape
3633uid 12327,0
3634va (VaSet
3635vasetType 1
3636fg "0,0,32768"
3637)
3638optionalChildren [
3639(Pentagon
3640uid 12328,0
3641sl 0
3642ro 270
3643xt "87500,139625,89000,140375"
3644)
3645(Line
3646uid 12329,0
3647sl 0
3648ro 270
3649xt "87000,140000,87500,140000"
3650pts [
3651"87000,140000"
3652"87500,140000"
3653]
3654)
3655]
3656)
3657stc 0
3658sf 1
3659tg (WTG
3660uid 12330,0
3661ps "PortIoTextPlaceStrategy"
3662stg "STSignalDisplayStrategy"
3663f (Text
3664uid 12331,0
3665va (VaSet
3666)
3667xt "89000,139500,91500,140500"
3668st "SRIN"
3669blo "89000,140300"
3670tm "WireNameMgr"
3671)
3672)
3673)
3674*112 (Net
3675uid 12334,0
3676decl (Decl
3677n "SRIN"
3678t "std_logic"
3679o 41
3680suid 203,0
3681i "'0'"
3682)
3683declText (MLText
3684uid 12335,0
3685va (VaSet
3686font "Courier New,8,0"
3687)
3688xt "39000,35800,71500,36600"
3689st "SRIN : std_logic := '0'
3690"
3691)
3692)
3693*113 (PortIoOut
3694uid 12539,0
3695shape (CompositeShape
3696uid 12540,0
3697va (VaSet
3698vasetType 1
3699fg "0,0,32768"
3700)
3701optionalChildren [
3702(Pentagon
3703uid 12541,0
3704sl 0
3705ro 270
3706xt "87500,134625,89000,135375"
3707)
3708(Line
3709uid 12542,0
3710sl 0
3711ro 270
3712xt "87000,135000,87500,135000"
3713pts [
3714"87000,135000"
3715"87500,135000"
3716]
3717)
3718]
3719)
3720stc 0
3721sf 1
3722tg (WTG
3723uid 12543,0
3724ps "PortIoTextPlaceStrategy"
3725stg "STSignalDisplayStrategy"
3726f (Text
3727uid 12544,0
3728va (VaSet
3729)
3730xt "90000,134500,95200,135500"
3731st "AMBER_LED"
3732blo "90000,135300"
3733tm "WireNameMgr"
3734)
3735)
3736)
3737*114 (PortIoOut
3738uid 12553,0
3739shape (CompositeShape
3740uid 12554,0
3741va (VaSet
3742vasetType 1
3743fg "0,0,32768"
3744)
3745optionalChildren [
3746(Pentagon
3747uid 12555,0
3748sl 0
3749ro 270
3750xt "87500,133625,89000,134375"
3751)
3752(Line
3753uid 12556,0
3754sl 0
3755ro 270
3756xt "87000,134000,87500,134000"
3757pts [
3758"87000,134000"
3759"87500,134000"
3760]
3761)
3762]
3763)
3764stc 0
3765sf 1
3766tg (WTG
3767uid 12557,0
3768ps "PortIoTextPlaceStrategy"
3769stg "STSignalDisplayStrategy"
3770f (Text
3771uid 12558,0
3772va (VaSet
3773)
3774xt "90000,133500,95000,134500"
3775st "GREEN_LED"
3776blo "90000,134300"
3777tm "WireNameMgr"
3778)
3779)
3780)
3781*115 (PortIoOut
3782uid 12567,0
3783shape (CompositeShape
3784uid 12568,0
3785va (VaSet
3786vasetType 1
3787fg "0,0,32768"
3788)
3789optionalChildren [
3790(Pentagon
3791uid 12569,0
3792sl 0
3793ro 270
3794xt "87500,135625,89000,136375"
3795)
3796(Line
3797uid 12570,0
3798sl 0
3799ro 270
3800xt "87000,136000,87500,136000"
3801pts [
3802"87000,136000"
3803"87500,136000"
3804]
3805)
3806]
3807)
3808stc 0
3809sf 1
3810tg (WTG
3811uid 12571,0
3812ps "PortIoTextPlaceStrategy"
3813stg "STSignalDisplayStrategy"
3814f (Text
3815uid 12572,0
3816va (VaSet
3817)
3818xt "90000,135500,94000,136500"
3819st "RED_LED"
3820blo "90000,136300"
3821tm "WireNameMgr"
3822)
3823)
3824)
3825*116 (Net
3826uid 12762,0
3827decl (Decl
3828n "AMBER_LED"
3829t "std_logic"
3830o 20
3831suid 207,0
3832)
3833declText (MLText
3834uid 12763,0
3835va (VaSet
3836font "Courier New,8,0"
3837)
3838xt "39000,19000,57000,19800"
3839st "AMBER_LED : std_logic
3840"
3841)
3842)
3843*117 (Net
3844uid 12764,0
3845decl (Decl
3846n "GREEN_LED"
3847t "std_logic"
3848o 30
3849suid 208,0
3850)
3851declText (MLText
3852uid 12765,0
3853va (VaSet
3854font "Courier New,8,0"
3855)
3856xt "39000,27000,57000,27800"
3857st "GREEN_LED : std_logic
3858"
3859)
3860)
3861*118 (Net
3862uid 12766,0
3863decl (Decl
3864n "RED_LED"
3865t "std_logic"
3866o 33
3867suid 209,0
3868)
3869declText (MLText
3870uid 12767,0
3871va (VaSet
3872font "Courier New,8,0"
3873)
3874xt "39000,29400,57000,30200"
3875st "RED_LED : std_logic
3876"
3877)
3878)
3879*119 (PortIoIn
3880uid 13516,0
3881shape (CompositeShape
3882uid 13517,0
3883va (VaSet
3884vasetType 1
3885fg "0,0,32768"
3886)
3887optionalChildren [
3888(Pentagon
3889uid 13518,0
3890sl 0
3891ro 270
3892xt "20000,80625,21500,81375"
3893)
3894(Line
3895uid 13519,0
3896sl 0
3897ro 270
3898xt "21500,81000,22000,81000"
3899pts [
3900"21500,81000"
3901"22000,81000"
3902]
3903)
3904]
3905)
3906stc 0
3907sf 1
3908tg (WTG
3909uid 13520,0
3910ps "PortIoTextPlaceStrategy"
3911stg "STSignalDisplayStrategy"
3912f (Text
3913uid 13521,0
3914va (VaSet
3915)
3916xt "16700,80500,19000,81500"
3917st "LINE"
3918ju 2
3919blo "19000,81300"
3920tm "WireNameMgr"
3921)
3922)
3923)
3924*120 (Net
3925uid 13528,0
3926decl (Decl
3927n "LINE"
3928t "std_logic_vector"
3929b "( 5 DOWNTO 0 )"
3930o 12
3931suid 210,0
3932)
3933declText (MLText
3934uid 13529,0
3935va (VaSet
3936font "Courier New,8,0"
3937)
3938xt "39000,12600,68000,13400"
3939st "LINE : std_logic_vector( 5 DOWNTO 0 )
3940"
3941)
3942)
3943*121 (PortIoIn
3944uid 13628,0
3945shape (CompositeShape
3946uid 13629,0
3947va (VaSet
3948vasetType 1
3949fg "0,0,32768"
3950)
3951optionalChildren [
3952(Pentagon
3953uid 13630,0
3954sl 0
3955ro 270
3956xt "47000,132625,48500,133375"
3957)
3958(Line
3959uid 13631,0
3960sl 0
3961ro 270
3962xt "48500,133000,49000,133000"
3963pts [
3964"48500,133000"
3965"49000,133000"
3966]
3967)
3968]
3969)
3970stc 0
3971sf 1
3972tg (WTG
3973uid 13632,0
3974ps "PortIoTextPlaceStrategy"
3975stg "STSignalDisplayStrategy"
3976f (Text
3977uid 13633,0
3978va (VaSet
3979)
3980xt "42700,132500,46000,133500"
3981st "REFCLK"
3982ju 2
3983blo "46000,133300"
3984tm "WireNameMgr"
3985)
3986)
3987)
3988*122 (Net
3989uid 13640,0
3990decl (Decl
3991n "REFCLK"
3992t "std_logic"
3993o 13
3994suid 211,0
3995)
3996declText (MLText
3997uid 13641,0
3998va (VaSet
3999font "Courier New,8,0"
4000)
4001xt "39000,13400,57000,14200"
4002st "REFCLK : std_logic
4003"
4004)
4005)
4006*123 (PortIoIn
4007uid 14322,0
4008shape (CompositeShape
4009uid 14323,0
4010va (VaSet
4011vasetType 1
4012fg "0,0,32768"
4013)
4014optionalChildren [
4015(Pentagon
4016uid 14324,0
4017sl 0
4018ro 270
4019xt "47000,131625,48500,132375"
4020)
4021(Line
4022uid 14325,0
4023sl 0
4024ro 270
4025xt "48500,132000,49000,132000"
4026pts [
4027"48500,132000"
4028"49000,132000"
4029]
4030)
4031]
4032)
4033stc 0
4034sf 1
4035tg (WTG
4036uid 14326,0
4037ps "PortIoTextPlaceStrategy"
4038stg "STSignalDisplayStrategy"
4039f (Text
4040uid 14327,0
4041va (VaSet
4042)
4043xt "42900,131500,46000,132500"
4044st "D_T_in"
4045ju 2
4046blo "46000,132300"
4047tm "WireNameMgr"
4048)
4049)
4050)
4051*124 (Net
4052uid 14334,0
4053decl (Decl
4054n "D_T_in"
4055t "std_logic_vector"
4056b "(1 DOWNTO 0)"
4057o 11
4058suid 213,0
4059)
4060declText (MLText
4061uid 14335,0
4062va (VaSet
4063font "Courier New,8,0"
4064)
4065xt "39000,11800,67000,12600"
4066st "D_T_in : std_logic_vector(1 DOWNTO 0)
4067"
4068)
4069)
4070*125 (Net
4071uid 15173,0
4072decl (Decl
4073n "led"
4074t "std_logic_vector"
4075b "(7 DOWNTO 0)"
4076posAdd 0
4077o 65
4078suid 215,0
4079i "(OTHERS => '0')"
4080)
4081declText (MLText
4082uid 15174,0
4083va (VaSet
4084font "Courier New,8,0"
4085)
4086xt "39000,57600,81000,58400"
4087st "SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
4088"
4089)
4090)
4091*126 (PortIoOut
4092uid 15557,0
4093shape (CompositeShape
4094uid 15558,0
4095va (VaSet
4096vasetType 1
4097fg "0,0,32768"
4098)
4099optionalChildren [
4100(Pentagon
4101uid 15559,0
4102sl 0
4103ro 270
4104xt "85500,148625,87000,149375"
4105)
4106(Line
4107uid 15560,0
4108sl 0
4109ro 270
4110xt "85000,149000,85500,149000"
4111pts [
4112"85000,149000"
4113"85500,149000"
4114]
4115)
4116]
4117)
4118stc 0
4119sf 1
4120tg (WTG
4121uid 15561,0
4122ps "PortIoTextPlaceStrategy"
4123stg "STSignalDisplayStrategy"
4124f (Text
4125uid 15562,0
4126va (VaSet
4127)
4128xt "88000,148500,94200,149500"
4129st "RS485_E_DO"
4130blo "88000,149300"
4131tm "WireNameMgr"
4132)
4133)
4134)
4135*127 (PortIoIn
4136uid 15706,0
4137shape (CompositeShape
4138uid 15707,0
4139va (VaSet
4140vasetType 1
4141fg "0,0,32768"
4142)
4143optionalChildren [
4144(Pentagon
4145uid 15708,0
4146sl 0
4147ro 270
4148xt "47000,136625,48500,137375"
4149)
4150(Line
4151uid 15709,0
4152sl 0
4153ro 270
4154xt "48500,137000,49000,137000"
4155pts [
4156"48500,137000"
4157"49000,137000"
4158]
4159)
4160]
4161)
4162stc 0
4163sf 1
4164tg (WTG
4165uid 15710,0
4166ps "PortIoTextPlaceStrategy"
4167stg "STSignalDisplayStrategy"
4168f (Text
4169uid 15711,0
4170va (VaSet
4171)
4172xt "41900,136500,46000,137500"
4173st "D_PLLLCK"
4174ju 2
4175blo "46000,137300"
4176tm "WireNameMgr"
4177)
4178)
4179)
4180*128 (Net
4181uid 15718,0
4182decl (Decl
4183n "D_PLLLCK"
4184t "std_logic_vector"
4185b "(3 DOWNTO 0)"
4186o 10
4187suid 216,0
4188)
4189declText (MLText
4190uid 15719,0
4191va (VaSet
4192font "Courier New,8,0"
4193)
4194xt "39000,11000,67000,11800"
4195st "D_PLLLCK : std_logic_vector(3 DOWNTO 0)
4196"
4197)
4198)
4199*129 (PortIoOut
4200uid 15845,0
4201shape (CompositeShape
4202uid 15846,0
4203va (VaSet
4204vasetType 1
4205fg "0,0,32768"
4206)
4207optionalChildren [
4208(Pentagon
4209uid 15847,0
4210sl 0
4211ro 270
4212xt "95500,87625,97000,88375"
4213)
4214(Line
4215uid 15848,0
4216sl 0
4217ro 270
4218xt "95000,88000,95500,88000"
4219pts [
4220"95000,88000"
4221"95500,88000"
4222]
4223)
4224]
4225)
4226stc 0
4227sf 1
4228tg (WTG
4229uid 15849,0
4230ps "PortIoTextPlaceStrategy"
4231stg "STSignalDisplayStrategy"
4232f (Text
4233uid 15850,0
4234va (VaSet
4235)
4236xt "98000,87500,100000,88500"
4237st "TCS"
4238blo "98000,88300"
4239tm "WireNameMgr"
4240)
4241)
4242)
4243*130 (Net
4244uid 15857,0
4245decl (Decl
4246n "TCS"
4247t "std_logic_vector"
4248b "(3 DOWNTO 0)"
4249o 43
4250suid 217,0
4251)
4252declText (MLText
4253uid 15858,0
4254va (VaSet
4255font "Courier New,8,0"
4256)
4257xt "39000,37400,67000,38200"
4258st "TCS : std_logic_vector(3 DOWNTO 0)
4259"
4260)
4261)
4262*131 (PortIoOut
4263uid 16057,0
4264shape (CompositeShape
4265uid 16058,0
4266va (VaSet
4267vasetType 1
4268fg "0,0,32768"
4269)
4270optionalChildren [
4271(Pentagon
4272uid 16059,0
4273sl 0
4274ro 90
4275xt "19000,112625,20500,113375"
4276)
4277(Line
4278uid 16060,0
4279sl 0
4280ro 90
4281xt "20500,113000,21000,113000"
4282pts [
4283"21000,113000"
4284"20500,113000"
4285]
4286)
4287]
4288)
4289stc 0
4290sf 1
4291tg (WTG
4292uid 16061,0
4293ps "PortIoTextPlaceStrategy"
4294stg "STSignalDisplayStrategy"
4295f (Text
4296uid 16062,0
4297va (VaSet
4298)
4299xt "14500,112500,18000,113500"
4300st "DSRCLK"
4301ju 2
4302blo "18000,113300"
4303tm "WireNameMgr"
4304)
4305)
4306)
4307*132 (Net
4308uid 16069,0
4309decl (Decl
4310n "DSRCLK"
4311t "std_logic_vector"
4312b "(3 DOWNTO 0)"
4313o 24
4314suid 222,0
4315i "(others => '0')"
4316)
4317declText (MLText
4318uid 16070,0
4319va (VaSet
4320font "Courier New,8,0"
4321)
4322xt "39000,22200,77500,23000"
4323st "DSRCLK : std_logic_vector(3 DOWNTO 0) := (others => '0')
4324"
4325)
4326)
4327*133 (Net
4328uid 16245,0
4329decl (Decl
4330n "SRCLK"
4331t "std_logic"
4332o 56
4333suid 225,0
4334i "'0'"
4335)
4336declText (MLText
4337uid 16246,0
4338va (VaSet
4339font "Courier New,8,0"
4340)
4341xt "39000,49600,75000,50400"
4342st "SIGNAL SRCLK : std_logic := '0'
4343"
4344)
4345)
4346*134 (HdlText
4347uid 16336,0
4348optionalChildren [
4349*135 (EmbeddedText
4350uid 16342,0
4351commentText (CommentText
4352uid 16343,0
4353ps "CenterOffsetStrategy"
4354shape (Rectangle
4355uid 16344,0
4356va (VaSet
4357vasetType 1
4358fg "65535,65535,65535"
4359lineColor "0,0,32768"
4360lineWidth 2
4361)
4362xt "23000,116000,42000,118000"
4363)
4364oxt "0,0,18000,5000"
4365text (MLText
4366uid 16345,0
4367va (VaSet
4368)
4369xt "23200,116200,40600,117200"
4370st "
4371DSRCLK <= ( SRCLK, SRCLK,SRCLK,SRCLK);
4372"
4373tm "HdlTextMgr"
4374wrapOption 3
4375visibleHeight 2000
4376visibleWidth 19000
4377)
4378)
4379)
4380]
4381shape (Rectangle
4382uid 16337,0
4383va (VaSet
4384vasetType 1
4385fg "65535,65535,37120"
4386lineColor "0,0,32768"
4387lineWidth 2
4388)
4389xt "30000,112000,34000,116000"
4390)
4391oxt "0,0,8000,10000"
4392ttg (MlTextGroup
4393uid 16338,0
4394ps "CenterOffsetStrategy"
4395stg "VerticalLayoutStrategy"
4396textVec [
4397*136 (Text
4398uid 16339,0
4399va (VaSet
4400font "Arial,8,1"
4401)
4402xt "30150,112000,33350,113000"
4403st "SRCLK"
4404blo "30150,112800"
4405tm "HdlTextNameMgr"
4406)
4407*137 (Text
4408uid 16340,0
4409va (VaSet
4410font "Arial,8,1"
4411)
4412xt "30150,113000,30950,114000"
4413st "1"
4414blo "30150,113800"
4415tm "HdlTextNumberMgr"
4416)
4417]
4418)
4419viewicon (ZoomableIcon
4420uid 16341,0
4421sl 0
4422va (VaSet
4423vasetType 1
4424fg "49152,49152,49152"
4425)
4426xt "30250,114250,31750,115750"
4427iconName "TextFile.png"
4428iconMaskName "TextFile.msk"
4429ftype 21
4430)
4431viewiconposition 0
4432)
4433*138 (Net
4434uid 16536,0
4435decl (Decl
4436n "alarm_refclk_too_high"
4437t "std_logic"
4438o 58
4439suid 226,0
4440i "'0'"
4441)
4442declText (MLText
4443uid 16537,0
4444va (VaSet
4445font "Courier New,8,0"
4446)
4447xt "39000,51200,75000,52000"
4448st "SIGNAL alarm_refclk_too_high : std_logic := '0'
4449"
4450)
4451)
4452*139 (Net
4453uid 16544,0
4454decl (Decl
4455n "alarm_refclk_too_low"
4456t "std_logic"
4457o 59
4458suid 227,0
4459i "'0'"
4460)
4461declText (MLText
4462uid 16545,0
4463va (VaSet
4464font "Courier New,8,0"
4465)
4466xt "39000,52000,75000,52800"
4467st "SIGNAL alarm_refclk_too_low : std_logic := '0'
4468"
4469)
4470)
4471*140 (Net
4472uid 16574,0
4473decl (Decl
4474n "counter_result"
4475t "std_logic_vector"
4476b "(11 downto 0)"
4477o 61
4478suid 230,0
4479i "(others => '0')"
4480)
4481declText (MLText
4482uid 16575,0
4483va (VaSet
4484font "Courier New,8,0"
4485)
4486xt "39000,53600,81000,54400"
4487st "SIGNAL counter_result : std_logic_vector(11 downto 0) := (others => '0')
4488"
4489)
4490)
4491*141 (SaComponent
4492uid 17195,0
4493optionalChildren [
4494*142 (CptPort
4495uid 17027,0
4496ps "OnEdgeStrategy"
4497shape (Triangle
4498uid 17028,0
4499ro 90
4500va (VaSet
4501vasetType 1
4502fg "0,65535,0"
4503)
4504xt "80000,70625,80750,71375"
4505)
4506tg (CPTG
4507uid 17029,0
4508ps "CptPortTextPlaceStrategy"
4509stg "RightVerticalLayoutStrategy"
4510f (Text
4511uid 17030,0
4512va (VaSet
4513)
4514xt "74800,70500,79000,71500"
4515st "wiz_reset"
4516ju 2
4517blo "79000,71300"
4518)
4519)
4520thePort (LogicalPort
4521m 1
4522decl (Decl
4523n "wiz_reset"
4524t "std_logic"
4525o 50
4526suid 2,0
4527i "'1'"
4528)
4529)
4530)
4531*143 (CptPort
4532uid 17031,0
4533ps "OnEdgeStrategy"
4534shape (Triangle
4535uid 17032,0
4536ro 90
4537va (VaSet
4538vasetType 1
4539fg "0,65535,0"
4540)
4541xt "80000,119625,80750,120375"
4542)
4543tg (CPTG
4544uid 17033,0
4545ps "CptPortTextPlaceStrategy"
4546stg "RightVerticalLayoutStrategy"
4547f (Text
4548uid 17034,0
4549va (VaSet
4550)
4551xt "74600,119500,79000,120500"
4552st "led : (7:0)"
4553ju 2
4554blo "79000,120300"
4555)
4556)
4557thePort (LogicalPort
4558m 1
4559decl (Decl
4560n "led"
4561t "std_logic_vector"
4562b "(7 DOWNTO 0)"
4563posAdd 0
4564o 38
4565suid 7,0
4566i "(OTHERS => '0')"
4567)
4568)
4569)
4570*144 (CptPort
4571uid 17035,0
4572ps "OnEdgeStrategy"
4573shape (Triangle
4574uid 17036,0
4575ro 90
4576va (VaSet
4577vasetType 1
4578fg "0,65535,0"
4579)
4580xt "51250,77625,52000,78375"
4581)
4582tg (CPTG
4583uid 17037,0
4584ps "CptPortTextPlaceStrategy"
4585stg "VerticalLayoutStrategy"
4586f (Text
4587uid 17038,0
4588va (VaSet
4589)
4590xt "53000,77500,56000,78500"
4591st "trigger"
4592blo "53000,78300"
4593)
4594)
4595thePort (LogicalPort
4596decl (Decl
4597n "trigger"
4598t "std_logic"
4599preAdd 0
4600posAdd 0
4601o 14
4602suid 18,0
4603)
4604)
4605)
4606*145 (CptPort
4607uid 17039,0
4608ps "OnEdgeStrategy"
4609shape (Triangle
4610uid 17040,0
4611ro 270
4612va (VaSet
4613vasetType 1
4614fg "0,65535,0"
4615)
4616xt "51250,89625,52000,90375"
4617)
4618tg (CPTG
4619uid 17041,0
4620ps "CptPortTextPlaceStrategy"
4621stg "VerticalLayoutStrategy"
4622f (Text
4623uid 17042,0
4624va (VaSet
4625)
4626xt "53000,89500,56500,90500"
4627st "adc_oeb"
4628blo "53000,90300"
4629)
4630)
4631thePort (LogicalPort
4632m 1
4633decl (Decl
4634n "adc_oeb"
4635t "std_logic"
4636o 26
4637suid 21,0
4638i "'1'"
4639)
4640)
4641)
4642*146 (CptPort
4643uid 17043,0
4644ps "OnEdgeStrategy"
4645shape (Triangle
4646uid 17044,0
4647ro 90
4648va (VaSet
4649vasetType 1
4650fg "0,65535,0"
4651)
4652xt "51250,80625,52000,81375"
4653)
4654tg (CPTG
4655uid 17045,0
4656ps "CptPortTextPlaceStrategy"
4657stg "VerticalLayoutStrategy"
4658f (Text
4659uid 17046,0
4660va (VaSet
4661)
4662xt "53000,80500,59700,81500"
4663st "board_id : (3:0)"
4664blo "53000,81300"
4665)
4666)
4667thePort (LogicalPort
4668decl (Decl
4669n "board_id"
4670t "std_logic_vector"
4671b "(3 DOWNTO 0)"
4672o 10
4673suid 24,0
4674)
4675)
4676)
4677*147 (CptPort
4678uid 17047,0
4679ps "OnEdgeStrategy"
4680shape (Triangle
4681uid 17048,0
4682ro 90
4683va (VaSet
4684vasetType 1
4685fg "0,65535,0"
4686)
4687xt "51250,81625,52000,82375"
4688)
4689tg (CPTG
4690uid 17049,0
4691ps "CptPortTextPlaceStrategy"
4692stg "VerticalLayoutStrategy"
4693f (Text
4694uid 17050,0
4695va (VaSet
4696)
4697xt "53000,81500,59400,82500"
4698st "crate_id : (1:0)"
4699blo "53000,82300"
4700)
4701)
4702thePort (LogicalPort
4703decl (Decl
4704n "crate_id"
4705t "std_logic_vector"
4706b "(1 DOWNTO 0)"
4707o 11
4708suid 25,0
4709)
4710)
4711)
4712*148 (CptPort
4713uid 17051,0
4714ps "OnEdgeStrategy"
4715shape (Triangle
4716uid 17052,0
4717ro 90
4718va (VaSet
4719vasetType 1
4720fg "0,65535,0"
4721)
4722xt "80000,67625,80750,68375"
4723)
4724tg (CPTG
4725uid 17053,0
4726ps "CptPortTextPlaceStrategy"
4727stg "RightVerticalLayoutStrategy"
4728f (Text
4729uid 17054,0
4730va (VaSet
4731)
4732xt "72100,67500,79000,68500"
4733st "wiz_addr : (9:0)"
4734ju 2
4735blo "79000,68300"
4736)
4737)
4738thePort (LogicalPort
4739m 1
4740decl (Decl
4741n "wiz_addr"
4742t "std_logic_vector"
4743b "(9 DOWNTO 0)"
4744o 47
4745suid 26,0
4746)
4747)
4748)
4749*149 (CptPort
4750uid 17055,0
4751ps "OnEdgeStrategy"
4752shape (Diamond
4753uid 17056,0
4754ro 90
4755va (VaSet
4756vasetType 1
4757fg "0,65535,0"
4758)
4759xt "80000,68625,80750,69375"
4760)
4761tg (CPTG
4762uid 17057,0
4763ps "CptPortTextPlaceStrategy"
4764stg "RightVerticalLayoutStrategy"
4765f (Text
4766uid 17058,0
4767va (VaSet
4768)
4769xt "71800,68500,79000,69500"
4770st "wiz_data : (15:0)"
4771ju 2
4772blo "79000,69300"
4773)
4774)
4775thePort (LogicalPort
4776m 2
4777decl (Decl
4778n "wiz_data"
4779t "std_logic_vector"
4780b "(15 DOWNTO 0)"
4781o 53
4782suid 27,0
4783)
4784)
4785)
4786*150 (CptPort
4787uid 17059,0
4788ps "OnEdgeStrategy"
4789shape (Triangle
4790uid 17060,0
4791ro 90
4792va (VaSet
4793vasetType 1
4794fg "0,65535,0"
4795)
4796xt "80000,74625,80750,75375"
4797)
4798tg (CPTG
4799uid 17061,0
4800ps "CptPortTextPlaceStrategy"
4801stg "RightVerticalLayoutStrategy"
4802f (Text
4803uid 17062,0
4804va (VaSet
4805)
4806xt "76000,74500,79000,75500"
4807st "wiz_cs"
4808ju 2
4809blo "79000,75300"
4810)
4811)
4812thePort (LogicalPort
4813m 1
4814decl (Decl
4815n "wiz_cs"
4816t "std_logic"
4817o 48
4818suid 28,0
4819i "'1'"
4820)
4821)
4822)
4823*151 (CptPort
4824uid 17063,0
4825ps "OnEdgeStrategy"
4826shape (Triangle
4827uid 17064,0
4828ro 90
4829va (VaSet
4830vasetType 1
4831fg "0,65535,0"
4832)
4833xt "80000,72625,80750,73375"
4834)
4835tg (CPTG
4836uid 17065,0
4837ps "CptPortTextPlaceStrategy"
4838stg "RightVerticalLayoutStrategy"
4839f (Text
4840uid 17066,0
4841va (VaSet
4842)
4843xt "75800,72500,79000,73500"
4844st "wiz_wr"
4845ju 2
4846blo "79000,73300"
4847)
4848)
4849thePort (LogicalPort
4850m 1
4851decl (Decl
4852n "wiz_wr"
4853t "std_logic"
4854o 51
4855suid 29,0
4856i "'1'"
4857)
4858)
4859)
4860*152 (CptPort
4861uid 17067,0
4862ps "OnEdgeStrategy"
4863shape (Triangle
4864uid 17068,0
4865ro 90
4866va (VaSet
4867vasetType 1
4868fg "0,65535,0"
4869)
4870xt "80000,71625,80750,72375"
4871)
4872tg (CPTG
4873uid 17069,0
4874ps "CptPortTextPlaceStrategy"
4875stg "RightVerticalLayoutStrategy"
4876f (Text
4877uid 17070,0
4878va (VaSet
4879)
4880xt "75900,71500,79000,72500"
4881st "wiz_rd"
4882ju 2
4883blo "79000,72300"
4884)
4885)
4886thePort (LogicalPort
4887m 1
4888decl (Decl
4889n "wiz_rd"
4890t "std_logic"
4891o 49
4892suid 30,0
4893i "'1'"
4894)
4895)
4896)
4897*153 (CptPort
4898uid 17071,0
4899ps "OnEdgeStrategy"
4900shape (Triangle
4901uid 17072,0
4902ro 270
4903va (VaSet
4904vasetType 1
4905fg "0,65535,0"
4906)
4907xt "80000,73625,80750,74375"
4908)
4909tg (CPTG
4910uid 17073,0
4911ps "CptPortTextPlaceStrategy"
4912stg "RightVerticalLayoutStrategy"
4913f (Text
4914uid 17074,0
4915va (VaSet
4916)
4917xt "75800,73500,79000,74500"
4918st "wiz_int"
4919ju 2
4920blo "79000,74300"
4921)
4922)
4923thePort (LogicalPort
4924decl (Decl
4925n "wiz_int"
4926t "std_logic"
4927o 15
4928suid 31,0
4929)
4930)
4931)
4932*154 (CptPort
4933uid 17075,0
4934ps "OnEdgeStrategy"
4935shape (Triangle
4936uid 17076,0
4937ro 270
4938va (VaSet
4939vasetType 1
4940fg "0,65535,0"
4941)
4942xt "51250,73625,52000,74375"
4943)
4944tg (CPTG
4945uid 17077,0
4946ps "CptPortTextPlaceStrategy"
4947stg "VerticalLayoutStrategy"
4948f (Text
4949uid 17078,0
4950va (VaSet
4951)
4952xt "53000,73500,57800,74500"
4953st "CLK_25_PS"
4954blo "53000,74300"
4955)
4956)
4957thePort (LogicalPort
4958m 1
4959decl (Decl
4960n "CLK_25_PS"
4961t "std_logic"
4962o 17
4963suid 35,0
4964)
4965)
4966)
4967*155 (CptPort
4968uid 17079,0
4969ps "OnEdgeStrategy"
4970shape (Triangle
4971uid 17080,0
4972ro 90
4973va (VaSet
4974vasetType 1
4975fg "0,65535,0"
4976)
4977xt "80000,115625,80750,116375"
4978)
4979tg (CPTG
4980uid 17081,0
4981ps "CptPortTextPlaceStrategy"
4982stg "RightVerticalLayoutStrategy"
4983f (Text
4984uid 17082,0
4985va (VaSet
4986)
4987xt "75700,115500,79000,116500"
4988st "CLK_50"
4989ju 2
4990blo "79000,116300"
4991)
4992)
4993thePort (LogicalPort
4994m 1
4995decl (Decl
4996n "CLK_50"
4997t "std_logic"
4998preAdd 0
4999posAdd 0
5000o 18
5001suid 37,0
5002)
5003)
5004)
5005*156 (CptPort
5006uid 17083,0
5007ps "OnEdgeStrategy"
5008shape (Triangle
5009uid 17084,0
5010ro 90
5011va (VaSet
5012vasetType 1
5013fg "0,65535,0"
5014)
5015xt "51250,67625,52000,68375"
5016)
5017tg (CPTG
5018uid 17085,0
5019ps "CptPortTextPlaceStrategy"
5020stg "VerticalLayoutStrategy"
5021f (Text
5022uid 17086,0
5023va (VaSet
5024)
5025xt "53000,67500,54900,68500"
5026st "CLK"
5027blo "53000,68300"
5028)
5029)
5030thePort (LogicalPort
5031decl (Decl
5032n "CLK"
5033t "std_logic"
5034o 1
5035suid 38,0
5036)
5037)
5038)
5039*157 (CptPort
5040uid 17087,0
5041ps "OnEdgeStrategy"
5042shape (Triangle
5043uid 17088,0
5044ro 90
5045va (VaSet
5046vasetType 1
5047fg "0,65535,0"
5048)
5049xt "51250,88625,52000,89375"
5050)
5051tg (CPTG
5052uid 17089,0
5053ps "CptPortTextPlaceStrategy"
5054stg "VerticalLayoutStrategy"
5055f (Text
5056uid 17090,0
5057va (VaSet
5058)
5059xt "53000,88500,62300,89500"
5060st "adc_otr_array : (3:0)"
5061blo "53000,89300"
5062)
5063)
5064thePort (LogicalPort
5065decl (Decl
5066n "adc_otr_array"
5067t "std_logic_vector"
5068b "(3 DOWNTO 0)"
5069o 9
5070suid 40,0
5071)
5072)
5073)
5074*158 (CptPort
5075uid 17091,0
5076ps "OnEdgeStrategy"
5077shape (Triangle
5078uid 17092,0
5079ro 90
5080va (VaSet
5081vasetType 1
5082fg "0,65535,0"
5083)
5084xt "51250,94625,52000,95375"
5085)
5086tg (CPTG
5087uid 17093,0
5088ps "CptPortTextPlaceStrategy"
5089stg "VerticalLayoutStrategy"
5090f (Text
5091uid 17094,0
5092va (VaSet
5093)
5094xt "53000,94500,59900,95500"
5095st "adc_data_array"
5096blo "53000,95300"
5097)
5098)
5099thePort (LogicalPort
5100decl (Decl
5101n "adc_data_array"
5102t "adc_data_array_type"
5103o 8
5104suid 41,0
5105)
5106)
5107)
5108*159 (CptPort
5109uid 17095,0
5110ps "OnEdgeStrategy"
5111shape (Triangle
5112uid 17096,0
5113ro 270
5114va (VaSet
5115vasetType 1
5116fg "0,65535,0"
5117)
5118xt "51250,108625,52000,109375"
5119)
5120tg (CPTG
5121uid 17097,0
5122ps "CptPortTextPlaceStrategy"
5123stg "VerticalLayoutStrategy"
5124f (Text
5125uid 17098,0
5126va (VaSet
5127)
5128xt "53000,108500,62500,109500"
5129st "drs_channel_id : (3:0)"
5130blo "53000,109300"
5131)
5132)
5133thePort (LogicalPort
5134m 1
5135decl (Decl
5136n "drs_channel_id"
5137t "std_logic_vector"
5138b "(3 downto 0)"
5139o 35
5140suid 48,0
5141i "(others => '0')"
5142)
5143)
5144)
5145*160 (CptPort
5146uid 17099,0
5147ps "OnEdgeStrategy"
5148shape (Triangle
5149uid 17100,0
5150ro 270
5151va (VaSet
5152vasetType 1
5153fg "0,65535,0"
5154)
5155xt "51250,109625,52000,110375"
5156)
5157tg (CPTG
5158uid 17101,0
5159ps "CptPortTextPlaceStrategy"
5160stg "VerticalLayoutStrategy"
5161f (Text
5162uid 17102,0
5163va (VaSet
5164)
5165xt "53000,109500,58200,110500"
5166st "drs_dwrite"
5167blo "53000,110300"
5168)
5169)
5170thePort (LogicalPort
5171m 1
5172decl (Decl
5173n "drs_dwrite"
5174t "std_logic"
5175o 36
5176suid 49,0
5177i "'1'"
5178)
5179)
5180)
5181*161 (CptPort
5182uid 17103,0
5183ps "OnEdgeStrategy"
5184shape (Triangle
5185uid 17104,0
5186ro 90
5187va (VaSet
5188vasetType 1
5189fg "0,65535,0"
5190)
5191xt "51250,104625,52000,105375"
5192)
5193tg (CPTG
5194uid 17105,0
5195ps "CptPortTextPlaceStrategy"
5196stg "VerticalLayoutStrategy"
5197f (Text
5198uid 17106,0
5199va (VaSet
5200)
5201xt "53000,104500,58800,105500"
5202st "SROUT_in_0"
5203blo "53000,105300"
5204)
5205)
5206thePort (LogicalPort
5207decl (Decl
5208n "SROUT_in_0"
5209t "std_logic"
5210o 4
5211suid 52,0
5212)
5213)
5214)
5215*162 (CptPort
5216uid 17107,0
5217ps "OnEdgeStrategy"
5218shape (Triangle
5219uid 17108,0
5220ro 90
5221va (VaSet
5222vasetType 1
5223fg "0,65535,0"
5224)
5225xt "51250,105625,52000,106375"
5226)
5227tg (CPTG
5228uid 17109,0
5229ps "CptPortTextPlaceStrategy"
5230stg "VerticalLayoutStrategy"
5231f (Text
5232uid 17110,0
5233va (VaSet
5234)
5235xt "53000,105500,58700,106500"
5236st "SROUT_in_1"
5237blo "53000,106300"
5238)
5239)
5240thePort (LogicalPort
5241decl (Decl
5242n "SROUT_in_1"
5243t "std_logic"
5244o 5
5245suid 53,0
5246)
5247)
5248)
5249*163 (CptPort
5250uid 17111,0
5251ps "OnEdgeStrategy"
5252shape (Triangle
5253uid 17112,0
5254ro 90
5255va (VaSet
5256vasetType 1
5257fg "0,65535,0"
5258)
5259xt "51250,106625,52000,107375"
5260)
5261tg (CPTG
5262uid 17113,0
5263ps "CptPortTextPlaceStrategy"
5264stg "VerticalLayoutStrategy"
5265f (Text
5266uid 17114,0
5267va (VaSet
5268)
5269xt "53000,106500,58800,107500"
5270st "SROUT_in_2"
5271blo "53000,107300"
5272)
5273)
5274thePort (LogicalPort
5275decl (Decl
5276n "SROUT_in_2"
5277t "std_logic"
5278o 6
5279suid 54,0
5280)
5281)
5282)
5283*164 (CptPort
5284uid 17115,0
5285ps "OnEdgeStrategy"
5286shape (Triangle
5287uid 17116,0
5288ro 90
5289va (VaSet
5290vasetType 1
5291fg "0,65535,0"
5292)
5293xt "51250,107625,52000,108375"
5294)
5295tg (CPTG
5296uid 17117,0
5297ps "CptPortTextPlaceStrategy"
5298stg "VerticalLayoutStrategy"
5299f (Text
5300uid 17118,0
5301va (VaSet
5302)
5303xt "53000,107500,58800,108500"
5304st "SROUT_in_3"
5305blo "53000,108300"
5306)
5307)
5308thePort (LogicalPort
5309decl (Decl
5310n "SROUT_in_3"
5311t "std_logic"
5312o 7
5313suid 55,0
5314)
5315)
5316)
5317*165 (CptPort
5318uid 17119,0
5319ps "OnEdgeStrategy"
5320shape (Triangle
5321uid 17120,0
5322ro 270
5323va (VaSet
5324vasetType 1
5325fg "0,65535,0"
5326)
5327xt "51250,110625,52000,111375"
5328)
5329tg (CPTG
5330uid 17121,0
5331ps "CptPortTextPlaceStrategy"
5332stg "VerticalLayoutStrategy"
5333f (Text
5334uid 17122,0
5335va (VaSet
5336)
5337xt "53000,110500,57200,111500"
5338st "RSRLOAD"
5339blo "53000,111300"
5340)
5341)
5342thePort (LogicalPort
5343m 1
5344decl (Decl
5345n "RSRLOAD"
5346t "std_logic"
5347o 23
5348suid 56,0
5349i "'0'"
5350)
5351)
5352)
5353*166 (CptPort
5354uid 17123,0
5355ps "OnEdgeStrategy"
5356shape (Triangle
5357uid 17124,0
5358ro 270
5359va (VaSet
5360vasetType 1
5361fg "0,65535,0"
5362)
5363xt "51250,112625,52000,113375"
5364)
5365tg (CPTG
5366uid 17125,0
5367ps "CptPortTextPlaceStrategy"
5368stg "VerticalLayoutStrategy"
5369f (Text
5370uid 17126,0
5371va (VaSet
5372)
5373xt "53000,112500,55900,113500"
5374st "SRCLK"
5375blo "53000,113300"
5376)
5377)
5378thePort (LogicalPort
5379m 1
5380decl (Decl
5381n "SRCLK"
5382t "std_logic"
5383o 24
5384suid 57,0
5385i "'0'"
5386)
5387)
5388)
5389*167 (CptPort
5390uid 17127,0
5391ps "OnEdgeStrategy"
5392shape (Triangle
5393uid 17128,0
5394ro 90
5395va (VaSet
5396vasetType 1
5397fg "0,65535,0"
5398)
5399xt "80000,97625,80750,98375"
5400)
5401tg (CPTG
5402uid 17129,0
5403ps "CptPortTextPlaceStrategy"
5404stg "RightVerticalLayoutStrategy"
5405f (Text
5406uid 17130,0
5407va (VaSet
5408)
5409xt "77100,97500,79000,98500"
5410st "sclk"
5411ju 2
5412blo "79000,98300"
5413)
5414)
5415thePort (LogicalPort
5416m 1
5417decl (Decl
5418n "sclk"
5419t "std_logic"
5420o 42
5421suid 62,0
5422)
5423)
5424)
5425*168 (CptPort
5426uid 17131,0
5427ps "OnEdgeStrategy"
5428shape (Diamond
5429uid 17132,0
5430ro 90
5431va (VaSet
5432vasetType 1
5433fg "0,65535,0"
5434)
5435xt "80000,98625,80750,99375"
5436)
5437tg (CPTG
5438uid 17133,0
5439ps "CptPortTextPlaceStrategy"
5440stg "RightVerticalLayoutStrategy"
5441f (Text
5442uid 17134,0
5443va (VaSet
5444)
5445xt "77600,98500,79000,99500"
5446st "sio"
5447ju 2
5448blo "79000,99300"
5449)
5450)
5451thePort (LogicalPort
5452m 2
5453decl (Decl
5454n "sio"
5455t "std_logic"
5456preAdd 0
5457posAdd 0
5458o 52
5459suid 63,0
5460)
5461)
5462)
5463*169 (CptPort
5464uid 17135,0
5465ps "OnEdgeStrategy"
5466shape (Triangle
5467uid 17136,0
5468ro 90
5469va (VaSet
5470vasetType 1
5471fg "0,65535,0"
5472)
5473xt "80000,86625,80750,87375"
5474)
5475tg (CPTG
5476uid 17137,0
5477ps "CptPortTextPlaceStrategy"
5478stg "RightVerticalLayoutStrategy"
5479f (Text
5480uid 17138,0
5481va (VaSet
5482)
5483xt "76000,86500,79000,87500"
5484st "dac_cs"
5485ju 2
5486blo "79000,87300"
5487)
5488)
5489thePort (LogicalPort
5490m 1
5491decl (Decl
5492n "dac_cs"
5493t "std_logic"
5494o 31
5495suid 64,0
5496)
5497)
5498)
5499*170 (CptPort
5500uid 17139,0
5501ps "OnEdgeStrategy"
5502shape (Triangle
5503uid 17140,0
5504ro 90
5505va (VaSet
5506vasetType 1
5507fg "0,65535,0"
5508)
5509xt "80000,88625,80750,89375"
5510)
5511tg (CPTG
5512uid 17141,0
5513ps "CptPortTextPlaceStrategy"
5514stg "RightVerticalLayoutStrategy"
5515f (Text
5516uid 17142,0
5517va (VaSet
5518)
5519xt "72000,88500,79000,89500"
5520st "sensor_cs : (3:0)"
5521ju 2
5522blo "79000,89300"
5523)
5524)
5525thePort (LogicalPort
5526m 1
5527decl (Decl
5528n "sensor_cs"
5529t "std_logic_vector"
5530b "(3 DOWNTO 0)"
5531o 43
5532suid 65,0
5533)
5534)
5535)
5536*171 (CptPort
5537uid 17143,0
5538ps "OnEdgeStrategy"
5539shape (Triangle
5540uid 17144,0
5541ro 90
5542va (VaSet
5543vasetType 1
5544fg "0,65535,0"
5545)
5546xt "80000,99625,80750,100375"
5547)
5548tg (CPTG
5549uid 17145,0
5550ps "CptPortTextPlaceStrategy"
5551stg "RightVerticalLayoutStrategy"
5552f (Text
5553uid 17146,0
5554va (VaSet
5555)
5556xt "77000,99500,79000,100500"
5557st "mosi"
5558ju 2
5559blo "79000,100300"
5560)
5561)
5562thePort (LogicalPort
5563m 1
5564decl (Decl
5565n "mosi"
5566t "std_logic"
5567o 40
5568suid 66,0
5569i "'0'"
5570)
5571)
5572)
5573*172 (CptPort
5574uid 17147,0
5575ps "OnEdgeStrategy"
5576shape (Triangle
5577uid 17148,0
5578ro 90
5579va (VaSet
5580vasetType 1
5581fg "0,65535,0"
5582)
5583xt "80000,120625,80750,121375"
5584)
5585tg (CPTG
5586uid 17149,0
5587ps "CptPortTextPlaceStrategy"
5588stg "RightVerticalLayoutStrategy"
5589f (Text
5590uid 17150,0
5591va (VaSet
5592)
5593xt "75800,120500,79000,121500"
5594st "denable"
5595ju 2
5596blo "79000,121300"
5597)
5598)
5599thePort (LogicalPort
5600m 1
5601decl (Decl
5602n "denable"
5603t "std_logic"
5604eolc "-- default domino wave off"
5605posAdd 0
5606o 34
5607suid 67,0
5608i "'0'"
5609)
5610)
5611)
5612*173 (CptPort
5613uid 17151,0
5614ps "OnEdgeStrategy"
5615shape (Triangle
5616uid 17152,0
5617ro 90
5618va (VaSet
5619vasetType 1
5620fg "0,65535,0"
5621)
5622xt "80000,139625,80750,140375"
5623)
5624tg (CPTG
5625uid 17153,0
5626ps "CptPortTextPlaceStrategy"
5627stg "RightVerticalLayoutStrategy"
5628f (Text
5629uid 17154,0
5630va (VaSet
5631)
5632xt "74800,139500,79000,140500"
5633st "SRIN_out"
5634ju 2
5635blo "79000,140300"
5636)
5637)
5638thePort (LogicalPort
5639m 1
5640decl (Decl
5641n "SRIN_out"
5642t "std_logic"
5643o 25
5644suid 85,0
5645i "'0'"
5646)
5647)
5648)
5649*174 (CptPort
5650uid 17155,0
5651ps "OnEdgeStrategy"
5652shape (Triangle
5653uid 17156,0
5654ro 90
5655va (VaSet
5656vasetType 1
5657fg "0,65535,0"
5658)
5659xt "80000,133625,80750,134375"
5660)
5661tg (CPTG
5662uid 17157,0
5663ps "CptPortTextPlaceStrategy"
5664stg "RightVerticalLayoutStrategy"
5665f (Text
5666uid 17158,0
5667va (VaSet
5668)
5669xt "76600,133500,79000,134500"
5670st "green"
5671ju 2
5672blo "79000,134300"
5673)
5674)
5675thePort (LogicalPort
5676m 1
5677decl (Decl
5678n "green"
5679t "std_logic"
5680o 37
5681suid 86,0
5682)
5683)
5684)
5685*175 (CptPort
5686uid 17159,0
5687ps "OnEdgeStrategy"
5688shape (Triangle
5689uid 17160,0
5690ro 90
5691va (VaSet
5692vasetType 1
5693fg "0,65535,0"
5694)
5695xt "80000,134625,80750,135375"
5696)
5697tg (CPTG
5698uid 17161,0
5699ps "CptPortTextPlaceStrategy"
5700stg "RightVerticalLayoutStrategy"
5701f (Text
5702uid 17162,0
5703va (VaSet
5704)
5705xt "76300,134500,79000,135500"
5706st "amber"
5707ju 2
5708blo "79000,135300"
5709)
5710)
5711thePort (LogicalPort
5712m 1
5713decl (Decl
5714n "amber"
5715t "std_logic"
5716o 29
5717suid 87,0
5718)
5719)
5720)
5721*176 (CptPort
5722uid 17163,0
5723ps "OnEdgeStrategy"
5724shape (Triangle
5725uid 17164,0
5726ro 90
5727va (VaSet
5728vasetType 1
5729fg "0,65535,0"
5730)
5731xt "80000,135625,80750,136375"
5732)
5733tg (CPTG
5734uid 17165,0
5735ps "CptPortTextPlaceStrategy"
5736stg "RightVerticalLayoutStrategy"
5737f (Text
5738uid 17166,0
5739va (VaSet
5740)
5741xt "77300,135500,79000,136500"
5742st "red"
5743ju 2
5744blo "79000,136300"
5745)
5746)
5747thePort (LogicalPort
5748m 1
5749decl (Decl
5750n "red"
5751t "std_logic"
5752o 41
5753suid 88,0
5754)
5755)
5756)
5757*177 (CptPort
5758uid 17167,0
5759ps "OnEdgeStrategy"
5760shape (Triangle
5761uid 17168,0
5762ro 90
5763va (VaSet
5764vasetType 1
5765fg "0,65535,0"
5766)
5767xt "51250,131625,52000,132375"
5768)
5769tg (CPTG
5770uid 17169,0
5771ps "CptPortTextPlaceStrategy"
5772stg "VerticalLayoutStrategy"
5773f (Text
5774uid 17170,0
5775va (VaSet
5776)
5777xt "53000,131500,58500,132500"
5778st "D_T_in : (1:0)"
5779blo "53000,132300"
5780)
5781)
5782thePort (LogicalPort
5783decl (Decl
5784n "D_T_in"
5785t "std_logic_vector"
5786b "(1 DOWNTO 0)"
5787o 2
5788suid 91,0
5789)
5790)
5791)
5792*178 (CptPort
5793uid 17171,0
5794ps "OnEdgeStrategy"
5795shape (Triangle
5796uid 17172,0
5797ro 90
5798va (VaSet
5799vasetType 1
5800fg "0,65535,0"
5801)
5802xt "51250,132625,52000,133375"
5803)
5804tg (CPTG
5805uid 17173,0
5806ps "CptPortTextPlaceStrategy"
5807stg "VerticalLayoutStrategy"
5808f (Text
5809uid 17174,0
5810va (VaSet
5811)
5812xt "53000,132500,59100,133500"
5813st "drs_refclk_in"
5814blo "53000,133300"
5815)
5816)
5817thePort (LogicalPort
5818decl (Decl
5819n "drs_refclk_in"
5820t "std_logic"
5821eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
5822o 12
5823suid 92,0
5824)
5825)
5826)
5827*179 (CptPort
5828uid 17175,0
5829ps "OnEdgeStrategy"
5830shape (Triangle
5831uid 17176,0
5832ro 90
5833va (VaSet
5834vasetType 1
5835fg "0,65535,0"
5836)
5837xt "51250,136625,52000,137375"
5838)
5839tg (CPTG
5840uid 17177,0
5841ps "CptPortTextPlaceStrategy"
5842stg "VerticalLayoutStrategy"
5843f (Text
5844uid 17178,0
5845va (VaSet
5846)
5847xt "53000,136500,59700,137500"
5848st "plllock_in : (3:0)"
5849blo "53000,137300"
5850)
5851)
5852thePort (LogicalPort
5853decl (Decl
5854n "plllock_in"
5855t "std_logic_vector"
5856b "(3 DOWNTO 0)"
5857eolc "-- high level, if dominowave is running and DRS PLL locked"
5858o 13
5859suid 93,0
5860)
5861)
5862)
5863*180 (CptPort
5864uid 17179,0
5865ps "OnEdgeStrategy"
5866shape (Triangle
5867uid 17180,0
5868ro 90
5869va (VaSet
5870vasetType 1
5871fg "0,65535,0"
5872)
5873xt "80000,131625,80750,132375"
5874)
5875tg (CPTG
5876uid 17181,0
5877ps "CptPortTextPlaceStrategy"
5878stg "RightVerticalLayoutStrategy"
5879f (Text
5880uid 17182,0
5881va (VaSet
5882)
5883xt "69400,131500,79000,132500"
5884st "counter_result : (11:0)"
5885ju 2
5886blo "79000,132300"
5887)
5888)
5889thePort (LogicalPort
5890m 1
5891decl (Decl
5892n "counter_result"
5893t "std_logic_vector"
5894b "(11 DOWNTO 0)"
5895o 30
5896suid 94,0
5897)
5898)
5899)
5900*181 (CptPort
5901uid 17183,0
5902ps "OnEdgeStrategy"
5903shape (Triangle
5904uid 17184,0
5905ro 90
5906va (VaSet
5907vasetType 1
5908fg "0,65535,0"
5909)
5910xt "80000,129625,80750,130375"
5911)
5912tg (CPTG
5913uid 17185,0
5914ps "CptPortTextPlaceStrategy"
5915stg "RightVerticalLayoutStrategy"
5916f (Text
5917uid 17186,0
5918va (VaSet
5919)
5920xt "69000,129500,79000,130500"
5921st "alarm_refclk_too_high"
5922ju 2
5923blo "79000,130300"
5924)
5925)
5926thePort (LogicalPort
5927m 1
5928decl (Decl
5929n "alarm_refclk_too_high"
5930t "std_logic"
5931o 27
5932suid 95,0
5933)
5934)
5935)
5936*182 (CptPort
5937uid 17187,0
5938ps "OnEdgeStrategy"
5939shape (Triangle
5940uid 17188,0
5941ro 90
5942va (VaSet
5943vasetType 1
5944fg "0,65535,0"
5945)
5946xt "80000,130625,80750,131375"
5947)
5948tg (CPTG
5949uid 17189,0
5950ps "CptPortTextPlaceStrategy"
5951stg "RightVerticalLayoutStrategy"
5952f (Text
5953uid 17190,0
5954va (VaSet
5955)
5956xt "69400,130500,79000,131500"
5957st "alarm_refclk_too_low"
5958ju 2
5959blo "79000,131300"
5960)
5961)
5962thePort (LogicalPort
5963m 1
5964decl (Decl
5965n "alarm_refclk_too_low"
5966t "std_logic"
5967posAdd 0
5968o 28
5969suid 96,0
5970)
5971)
5972)
5973*183 (CptPort
5974uid 17191,0
5975ps "OnEdgeStrategy"
5976shape (Triangle
5977uid 17192,0
5978ro 270
5979va (VaSet
5980vasetType 1
5981fg "0,65535,0"
5982)
5983xt "51250,70625,52000,71375"
5984)
5985tg (CPTG
5986uid 17193,0
5987ps "CptPortTextPlaceStrategy"
5988stg "VerticalLayoutStrategy"
5989f (Text
5990uid 17194,0
5991va (VaSet
5992)
5993xt "53000,70500,57000,71500"
5994st "ADC_CLK"
5995blo "53000,71300"
5996)
5997)
5998thePort (LogicalPort
5999lang 2
6000m 1
6001decl (Decl
6002n "ADC_CLK"
6003t "std_logic"
6004o 16
6005suid 97,0
6006)
6007)
6008)
6009*184 (CptPort
6010uid 17620,0
6011ps "OnEdgeStrategy"
6012shape (Triangle
6013uid 17621,0
6014ro 90
6015va (VaSet
6016vasetType 1
6017fg "0,65535,0"
6018)
6019xt "80000,143625,80750,144375"
6020)
6021tg (CPTG
6022uid 17622,0
6023ps "CptPortTextPlaceStrategy"
6024stg "RightVerticalLayoutStrategy"
6025f (Text
6026uid 17623,0
6027va (VaSet
6028)
6029xt "73400,143500,79000,144500"
6030st "trigger_veto"
6031ju 2
6032blo "79000,144300"
6033)
6034)
6035thePort (LogicalPort
6036m 1
6037decl (Decl
6038n "trigger_veto"
6039t "std_logic"
6040o 45
6041suid 98,0
6042i "'1'"
6043)
6044)
6045)
6046*185 (CptPort
6047uid 17711,0
6048ps "OnEdgeStrategy"
6049shape (Triangle
6050uid 17712,0
6051ro 270
6052va (VaSet
6053vasetType 1
6054fg "0,65535,0"
6055)
6056xt "80000,149625,80750,150375"
6057)
6058tg (CPTG
6059uid 17713,0
6060ps "CptPortTextPlaceStrategy"
6061stg "RightVerticalLayoutStrategy"
6062f (Text
6063uid 17714,0
6064va (VaSet
6065)
6066xt "70900,149500,79000,150500"
6067st "FTM_RS485_rx_d"
6068ju 2
6069blo "79000,150300"
6070)
6071)
6072thePort (LogicalPort
6073decl (Decl
6074n "FTM_RS485_rx_d"
6075t "std_logic"
6076o 3
6077suid 99,0
6078)
6079)
6080)
6081*186 (CptPort
6082uid 17715,0
6083ps "OnEdgeStrategy"
6084shape (Triangle
6085uid 17716,0
6086ro 90
6087va (VaSet
6088vasetType 1
6089fg "0,65535,0"
6090)
6091xt "80000,147625,80750,148375"
6092)
6093tg (CPTG
6094uid 17717,0
6095ps "CptPortTextPlaceStrategy"
6096stg "RightVerticalLayoutStrategy"
6097f (Text
6098uid 17718,0
6099va (VaSet
6100)
6101xt "70600,147500,79000,148500"
6102st "FTM_RS485_rx_en"
6103ju 2
6104blo "79000,148300"
6105)
6106)
6107thePort (LogicalPort
6108m 1
6109decl (Decl
6110n "FTM_RS485_rx_en"
6111t "std_logic"
6112o 20
6113suid 101,0
6114)
6115)
6116)
6117*187 (CptPort
6118uid 17719,0
6119ps "OnEdgeStrategy"
6120shape (Triangle
6121uid 17720,0
6122ro 90
6123va (VaSet
6124vasetType 1
6125fg "0,65535,0"
6126)
6127xt "80000,148625,80750,149375"
6128)
6129tg (CPTG
6130uid 17721,0
6131ps "CptPortTextPlaceStrategy"
6132stg "RightVerticalLayoutStrategy"
6133f (Text
6134uid 17722,0
6135va (VaSet
6136)
6137xt "70900,148500,79000,149500"
6138st "FTM_RS485_tx_d"
6139ju 2
6140blo "79000,149300"
6141)
6142)
6143thePort (LogicalPort
6144m 1
6145decl (Decl
6146n "FTM_RS485_tx_d"
6147t "std_logic"
6148o 21
6149suid 100,0
6150)
6151)
6152)
6153*188 (CptPort
6154uid 17723,0
6155ps "OnEdgeStrategy"
6156shape (Triangle
6157uid 17724,0
6158ro 90
6159va (VaSet
6160vasetType 1
6161fg "0,65535,0"
6162)
6163xt "80000,146625,80750,147375"
6164)
6165tg (CPTG
6166uid 17725,0
6167ps "CptPortTextPlaceStrategy"
6168stg "RightVerticalLayoutStrategy"
6169f (Text
6170uid 17726,0
6171va (VaSet
6172)
6173xt "70600,146500,79000,147500"
6174st "FTM_RS485_tx_en"
6175ju 2
6176blo "79000,147300"
6177)
6178)
6179thePort (LogicalPort
6180m 1
6181decl (Decl
6182n "FTM_RS485_tx_en"
6183t "std_logic"
6184o 22
6185suid 102,0
6186)
6187)
6188)
6189*189 (CptPort
6190uid 17842,0
6191ps "OnEdgeStrategy"
6192shape (Triangle
6193uid 17843,0
6194ro 90
6195va (VaSet
6196vasetType 1
6197fg "0,65535,0"
6198)
6199xt "80000,105625,80750,106375"
6200)
6201tg (CPTG
6202uid 17844,0
6203ps "CptPortTextPlaceStrategy"
6204stg "RightVerticalLayoutStrategy"
6205f (Text
6206uid 17845,0
6207va (VaSet
6208)
6209xt "70600,105500,79000,106500"
6210st "w5300_state : (7:0)"
6211ju 2
6212blo "79000,106300"
6213)
6214)
6215thePort (LogicalPort
6216m 1
6217decl (Decl
6218n "w5300_state"
6219t "std_logic_vector"
6220b "(7 DOWNTO 0)"
6221eolc "-- state is encoded here ... useful for debugging."
6222posAdd 0
6223o 46
6224suid 103,0
6225)
6226)
6227)
6228*190 (CptPort
6229uid 18058,0
6230ps "OnEdgeStrategy"
6231shape (Triangle
6232uid 18059,0
6233ro 90
6234va (VaSet
6235vasetType 1
6236fg "0,65535,0"
6237)
6238xt "80000,106625,80750,107375"
6239)
6240tg (CPTG
6241uid 18060,0
6242ps "CptPortTextPlaceStrategy"
6243stg "RightVerticalLayoutStrategy"
6244f (Text
6245uid 18061,0
6246va (VaSet
6247)
6248xt "68600,106500,79000,107500"
6249st "debug_data_ram_empty"
6250ju 2
6251blo "79000,107300"
6252)
6253)
6254thePort (LogicalPort
6255m 1
6256decl (Decl
6257n "debug_data_ram_empty"
6258t "std_logic"
6259o 32
6260suid 104,0
6261)
6262)
6263)
6264*191 (CptPort
6265uid 18062,0
6266ps "OnEdgeStrategy"
6267shape (Triangle
6268uid 18063,0
6269ro 90
6270va (VaSet
6271vasetType 1
6272fg "0,65535,0"
6273)
6274xt "80000,107625,80750,108375"
6275)
6276tg (CPTG
6277uid 18064,0
6278ps "CptPortTextPlaceStrategy"
6279stg "RightVerticalLayoutStrategy"
6280f (Text
6281uid 18065,0
6282va (VaSet
6283)
6284xt "71500,107500,79000,108500"
6285st "debug_data_valid"
6286ju 2
6287blo "79000,108300"
6288)
6289)
6290thePort (LogicalPort
6291m 1
6292decl (Decl
6293n "debug_data_valid"
6294t "std_logic"
6295o 33
6296suid 105,0
6297)
6298)
6299)
6300*192 (CptPort
6301uid 18187,0
6302ps "OnEdgeStrategy"
6303shape (Triangle
6304uid 18188,0
6305ro 90
6306va (VaSet
6307vasetType 1
6308fg "0,65535,0"
6309)
6310xt "80000,104625,80750,105375"
6311)
6312tg (CPTG
6313uid 18189,0
6314ps "CptPortTextPlaceStrategy"
6315stg "RightVerticalLayoutStrategy"
6316f (Text
6317uid 18190,0
6318va (VaSet
6319)
6320xt "67600,104500,79000,105500"
6321st "mem_manager_state : (3:0)"
6322ju 2
6323blo "79000,105300"
6324)
6325)
6326thePort (LogicalPort
6327lang 2
6328m 1
6329decl (Decl
6330n "mem_manager_state"
6331t "std_logic_vector"
6332b "(3 DOWNTO 0)"
6333eolc "-- state is encoded here ... useful for debugging."
6334posAdd 0
6335o 39
6336suid 106,0
6337)
6338)
6339)
6340*193 (CptPort
6341uid 18322,0
6342ps "OnEdgeStrategy"
6343shape (Triangle
6344uid 18323,0
6345ro 90
6346va (VaSet
6347vasetType 1
6348fg "0,65535,0"
6349)
6350xt "80000,108625,80750,109375"
6351)
6352tg (CPTG
6353uid 18324,0
6354ps "CptPortTextPlaceStrategy"
6355stg "RightVerticalLayoutStrategy"
6356f (Text
6357uid 18325,0
6358va (VaSet
6359)
6360xt "72100,108500,79000,109500"
6361st "DG_state : (7:0)"
6362ju 2
6363blo "79000,109300"
6364)
6365)
6366thePort (LogicalPort
6367m 1
6368decl (Decl
6369n "DG_state"
6370t "std_logic_vector"
6371b "(7 downto 0)"
6372prec "-- for debugging"
6373preAdd 0
6374o 19
6375suid 108,0
6376)
6377)
6378)
6379*194 (CptPort
6380uid 18471,0
6381ps "OnEdgeStrategy"
6382shape (Triangle
6383uid 18472,0
6384ro 90
6385va (VaSet
6386vasetType 1
6387fg "0,65535,0"
6388)
6389xt "80000,150625,80750,151375"
6390)
6391tg (CPTG
6392uid 18473,0
6393ps "CptPortTextPlaceStrategy"
6394stg "RightVerticalLayoutStrategy"
6395f (Text
6396uid 18474,0
6397va (VaSet
6398)
6399xt "67100,150500,79000,151500"
6400st "socket_tx_free_out : (16:0)"
6401ju 2
6402blo "79000,151300"
6403)
6404)
6405thePort (LogicalPort
6406m 1
6407decl (Decl
6408n "socket_tx_free_out"
6409t "std_logic_vector"
6410b "(16 DOWNTO 0)"
6411eolc "-- 17bit value .. that's true"
6412posAdd 0
6413o 44
6414suid 109,0
6415)
6416)
6417)
6418]
6419shape (Rectangle
6420uid 17196,0
6421va (VaSet
6422vasetType 1
6423fg "0,65535,0"
6424lineColor "0,32896,0"
6425lineWidth 2
6426)
6427xt "52000,66000,80000,153000"
6428)
6429oxt "15000,-8000,43000,70000"
6430ttg (MlTextGroup
6431uid 17197,0
6432ps "CenterOffsetStrategy"
6433stg "VerticalLayoutStrategy"
6434textVec [
6435*195 (Text
6436uid 17198,0
6437va (VaSet
6438font "Arial,8,1"
6439)
6440xt "55200,141000,61400,142000"
6441st "FACT_FAD_lib"
6442blo "55200,141800"
6443tm "BdLibraryNameMgr"
6444)
6445*196 (Text
6446uid 17199,0
6447va (VaSet
6448font "Arial,8,1"
6449)
6450xt "55200,142000,59400,143000"
6451st "FAD_main"
6452blo "55200,142800"
6453tm "CptNameMgr"
6454)
6455*197 (Text
6456uid 17200,0
6457va (VaSet
6458font "Arial,8,1"
6459)
6460xt "55200,143000,61000,144000"
6461st "I_board_main"
6462blo "55200,143800"
6463tm "InstanceNameMgr"
6464)
6465]
6466)
6467ga (GenericAssociation
6468uid 17201,0
6469ps "EdgeToEdgeStrategy"
6470matrix (Matrix
6471uid 17202,0
6472text (MLText
6473uid 17203,0
6474va (VaSet
6475font "Courier New,8,0"
6476)
6477xt "52000,65200,81500,66000"
6478st "RAMADDRWIDTH64b = LOG2_OF_RAM_SIZE_64B ( integer ) "
6479)
6480header ""
6481)
6482elements [
6483(GiElement
6484name "RAMADDRWIDTH64b"
6485type "integer"
6486value "LOG2_OF_RAM_SIZE_64B"
6487)
6488]
6489)
6490viewicon (ZoomableIcon
6491uid 17204,0
6492sl 0
6493va (VaSet
6494vasetType 1
6495fg "49152,49152,49152"
6496)
6497xt "52250,151250,53750,152750"
6498iconName "BlockDiagram.png"
6499iconMaskName "BlockDiagram.msk"
6500ftype 1
6501)
6502viewiconposition 0
6503portVis (PortSigDisplay
6504)
6505archFileType "UNKNOWN"
6506)
6507*198 (Net
6508uid 17294,0
6509lang 2
6510decl (Decl
6511n "ADC_CLK"
6512t "std_logic"
6513o 53
6514suid 231,0
6515)
6516declText (MLText
6517uid 17295,0
6518va (VaSet
6519font "Courier New,8,0"
6520)
6521xt "39000,46400,61000,47200"
6522st "SIGNAL ADC_CLK : std_logic
6523"
6524)
6525)
6526*199 (PortIoOut
6527uid 17401,0
6528shape (CompositeShape
6529uid 17402,0
6530va (VaSet
6531vasetType 1
6532fg "0,0,32768"
6533)
6534optionalChildren [
6535(Pentagon
6536uid 17403,0
6537sl 0
6538ro 270
6539xt "112500,143625,114000,144375"
6540)
6541(Line
6542uid 17404,0
6543sl 0
6544ro 270
6545xt "112000,144000,112500,144000"
6546pts [
6547"112000,144000"
6548"112500,144000"
6549]
6550)
6551]
6552)
6553stc 0
6554sf 1
6555tg (WTG
6556uid 17405,0
6557ps "PortIoTextPlaceStrategy"
6558stg "STSignalDisplayStrategy"
6559f (Text
6560uid 17406,0
6561va (VaSet
6562)
6563xt "115000,143500,117900,144500"
6564st "TRG_V"
6565blo "115000,144300"
6566tm "WireNameMgr"
6567)
6568)
6569)
6570*200 (Net
6571uid 17413,0
6572lang 2
6573decl (Decl
6574n "TRG_V"
6575t "std_logic"
6576o 44
6577suid 232,0
6578i "'0'"
6579)
6580declText (MLText
6581uid 17414,0
6582va (VaSet
6583font "Courier New,8,0"
6584)
6585xt "39000,38200,71500,39000"
6586st "TRG_V : std_logic := '0'
6587"
6588)
6589)
6590*201 (Net
6591uid 17846,0
6592decl (Decl
6593n "w5300_state"
6594t "std_logic_vector"
6595b "(7 DOWNTO 0)"
6596eolc "-- state is encoded here ... useful for debugging."
6597posAdd 0
6598o 68
6599suid 233,0
6600)
6601declText (MLText
6602uid 17847,0
6603va (VaSet
6604font "Courier New,8,0"
6605)
6606xt "39000,63200,96000,64000"
6607st "SIGNAL w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
6608"
6609)
6610)
6611*202 (Net
6612uid 18066,0
6613decl (Decl
6614n "debug_data_ram_empty"
6615t "std_logic"
6616o 63
6617suid 234,0
6618)
6619declText (MLText
6620uid 18067,0
6621va (VaSet
6622font "Courier New,8,0"
6623)
6624xt "39000,56000,61000,56800"
6625st "SIGNAL debug_data_ram_empty : std_logic
6626"
6627)
6628)
6629*203 (Net
6630uid 18074,0
6631decl (Decl
6632n "debug_data_valid"
6633t "std_logic"
6634o 64
6635suid 235,0
6636)
6637declText (MLText
6638uid 18075,0
6639va (VaSet
6640font "Courier New,8,0"
6641)
6642xt "39000,56800,61000,57600"
6643st "SIGNAL debug_data_valid : std_logic
6644"
6645)
6646)
6647*204 (Net
6648uid 18205,0
6649lang 2
6650decl (Decl
6651n "mem_manager_state"
6652t "std_logic_vector"
6653b "(3 DOWNTO 0)"
6654eolc "-- state is encoded here ... useful for debugging."
6655posAdd 0
6656o 66
6657suid 237,0
6658)
6659declText (MLText
6660uid 18206,0
6661va (VaSet
6662font "Courier New,8,0"
6663)
6664xt "39000,58400,96000,59200"
6665st "SIGNAL mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
6666"
6667)
6668)
6669*205 (Net
6670uid 18326,0
6671decl (Decl
6672n "DG_state"
6673t "std_logic_vector"
6674b "(7 downto 0)"
6675prec "-- for debugging"
6676preAdd 0
6677o 55
6678suid 238,0
6679)
6680declText (MLText
6681uid 18327,0
6682va (VaSet
6683font "Courier New,8,0"
6684)
6685xt "39000,48000,70500,49600"
6686st "-- for debugging
6687SIGNAL DG_state : std_logic_vector(7 downto 0)
6688"
6689)
6690)
6691*206 (Net
6692uid 18475,0
6693decl (Decl
6694n "socket_tx_free_out"
6695t "std_logic_vector"
6696b "(16 DOWNTO 0)"
6697eolc "-- 17bit value .. that's true"
6698posAdd 0
6699o 67
6700suid 239,0
6701)
6702declText (MLText
6703uid 18476,0
6704va (VaSet
6705font "Courier New,8,0"
6706)
6707xt "39000,61600,86000,62400"
6708st "SIGNAL socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
6709"
6710)
6711)
6712*207 (PortIoOut
6713uid 18802,0
6714shape (CompositeShape
6715uid 18803,0
6716va (VaSet
6717vasetType 1
6718fg "0,0,32768"
6719)
6720optionalChildren [
6721(Pentagon
6722uid 18804,0
6723sl 0
6724ro 270
6725xt "176500,118625,178000,119375"
6726)
6727(Line
6728uid 18805,0
6729sl 0
6730ro 270
6731xt "176000,119000,176500,119000"
6732pts [
6733"176000,119000"
6734"176500,119000"
6735]
6736)
6737]
6738)
6739stc 0
6740sf 1
6741tg (WTG
6742uid 18806,0
6743ps "PortIoTextPlaceStrategy"
6744stg "STSignalDisplayStrategy"
6745f (Text
6746uid 18807,0
6747va (VaSet
6748)
6749xt "179000,118500,181300,119500"
6750st "W_T"
6751blo "179000,119300"
6752tm "WireNameMgr"
6753)
6754)
6755)
6756*208 (Net
6757uid 18814,0
6758decl (Decl
6759n "W_T"
6760t "std_logic_vector"
6761b "( 3 DOWNTO 0 )"
6762o 49
6763suid 240,0
6764i "(others => '0')"
6765)
6766declText (MLText
6767uid 18815,0
6768va (VaSet
6769font "Courier New,8,0"
6770)
6771xt "39000,42200,77500,43000"
6772st "W_T : std_logic_vector( 3 DOWNTO 0 ) := (others => '0')
6773"
6774)
6775)
6776*209 (MWC
6777uid 19427,0
6778optionalChildren [
6779*210 (CptPort
6780uid 19407,0
6781optionalChildren [
6782*211 (Line
6783uid 19411,0
6784layer 5
6785sl 0
6786va (VaSet
6787vasetType 3
6788)
6789xt "82000,85000,82000,85000"
6790pts [
6791"82000,85000"
6792"82000,85000"
6793]
6794)
6795]
6796ps "OnEdgeStrategy"
6797shape (Triangle
6798uid 19408,0
6799ro 90
6800va (VaSet
6801vasetType 1
6802isHidden 1
6803fg "0,65535,65535"
6804)
6805xt "81250,84625,82000,85375"
6806)
6807tg (CPTG
6808uid 19409,0
6809ps "CptPortTextPlaceStrategy"
6810stg "VerticalLayoutStrategy"
6811f (Text
6812uid 19410,0
6813sl 0
6814va (VaSet
6815isHidden 1
6816font "arial,8,0"
6817)
6818xt "475200,46500,476000,47500"
6819st "s"
6820blo "475200,47300"
6821)
6822s (Text
6823uid 19436,0
6824sl 0
6825va (VaSet
6826font "arial,8,0"
6827)
6828xt "475200,47500,475200,47500"
6829blo "475200,47500"
6830)
6831)
6832thePort (LogicalPort
6833decl (Decl
6834n "s"
6835t "std_logic"
6836o 69
6837suid 1,0
6838)
6839)
6840)
6841*212 (CptPort
6842uid 19412,0
6843optionalChildren [
6844*213 (Line
6845uid 19416,0
6846layer 5
6847sl 0
6848va (VaSet
6849vasetType 3
6850)
6851xt "85000,85000,85000,85000"
6852pts [
6853"85000,85000"
6854"85000,85000"
6855]
6856)
6857]
6858ps "OnEdgeStrategy"
6859shape (Triangle
6860uid 19413,0
6861ro 90
6862va (VaSet
6863vasetType 1
6864isHidden 1
6865fg "0,65535,65535"
6866)
6867xt "85000,84625,85750,85375"
6868)
6869tg (CPTG
6870uid 19414,0
6871ps "CptPortTextPlaceStrategy"
6872stg "RightVerticalLayoutStrategy"
6873f (Text
6874uid 19415,0
6875sl 0
6876va (VaSet
6877isHidden 1
6878font "arial,8,0"
6879)
6880xt "473000,44500,473600,45500"
6881st "t"
6882ju 2
6883blo "473600,45300"
6884)
6885s (Text
6886uid 19437,0
6887sl 0
6888va (VaSet
6889font "arial,8,0"
6890)
6891xt "473600,45500,473600,45500"
6892ju 2
6893blo "473600,45500"
6894)
6895)
6896thePort (LogicalPort
6897m 1
6898decl (Decl
6899n "t"
6900t "std_logic"
6901o 22
6902suid 2,0
6903)
6904)
6905)
6906*214 (CommentGraphic
6907uid 19417,0
6908shape (PolyLine2D
6909pts [
6910"82000,85000"
6911"83000,84000"
6912]
6913uid 19418,0
6914layer 8
6915sl 0
6916va (VaSet
6917vasetType 1
6918transparent 1
6919fg "49152,49152,49152"
6920lineColor "26368,26368,26368"
6921lineWidth 2
6922)
6923xt "82000,84000,83000,85000"
6924)
6925oxt "6000,6000,7000,7000"
6926)
6927*215 (CommentGraphic
6928uid 19419,0
6929shape (PolyLine2D
6930pts [
6931"82000,85000"
6932"83000,86000"
6933]
6934uid 19420,0
6935layer 8
6936sl 0
6937va (VaSet
6938vasetType 1
6939transparent 1
6940fg "49152,49152,49152"
6941lineColor "26368,26368,26368"
6942lineWidth 2
6943)
6944xt "82000,85000,83000,86000"
6945)
6946oxt "6000,7000,7000,8000"
6947)
6948*216 (CommentGraphic
6949uid 19421,0
6950shape (PolyLine2D
6951pts [
6952"82988,85329"
6953"83988,85329"
6954]
6955uid 19422,0
6956layer 8
6957sl 0
6958va (VaSet
6959vasetType 1
6960transparent 1
6961fg "49152,49152,49152"
6962lineColor "26368,26368,26368"
6963lineWidth 2
6964)
6965xt "82988,85329,83988,85329"
6966)
6967oxt "6988,7329,7988,7329"
6968)
6969*217 (CommentGraphic
6970uid 19423,0
6971shape (PolyLine2D
6972pts [
6973"84000,85000"
6974"85000,85000"
6975]
6976uid 19424,0
6977layer 0
6978sl 0
6979va (VaSet
6980vasetType 1
6981transparent 1
6982fg "49152,49152,49152"
6983)
6984xt "84000,85000,85000,85000"
6985)
6986oxt "8000,7000,9000,7000"
6987)
6988*218 (CommentGraphic
6989uid 19425,0
6990shape (PolyLine2D
6991pts [
6992"82976,84730"
6993"83976,84730"
6994]
6995uid 19426,0
6996layer 8
6997sl 0
6998va (VaSet
6999vasetType 1
7000transparent 1
7001fg "49152,49152,49152"
7002lineColor "26368,26368,26368"
7003lineWidth 2
7004)
7005xt "82976,84730,83976,84730"
7006)
7007oxt "6976,6730,7976,6730"
7008)
7009]
7010shape (Rectangle
7011uid 19428,0
7012va (VaSet
7013vasetType 1
7014transparent 1
7015fg "0,65535,0"
7016lineColor "65535,65535,65535"
7017lineWidth -1
7018)
7019xt "82000,84000,85000,86000"
7020fos 1
7021)
7022showPorts 0
7023oxt "6000,6000,9000,8000"
7024ttg (MlTextGroup
7025uid 19429,0
7026ps "CenterOffsetStrategy"
7027stg "VerticalLayoutStrategy"
7028textVec [
7029*219 (Text
7030uid 19430,0
7031va (VaSet
7032isHidden 1
7033font "arial,8,0"
7034)
7035xt "82350,85100,87150,86100"
7036st "moduleware"
7037blo "82350,85900"
7038)
7039*220 (Text
7040uid 19431,0
7041va (VaSet
7042font "arial,8,0"
7043)
7044xt "82350,86100,87050,87100"
7045st "assignment"
7046blo "82350,86900"
7047)
7048*221 (Text
7049uid 19432,0
7050va (VaSet
7051font "arial,8,0"
7052)
7053xt "82350,87100,83350,88100"
7054st "I0"
7055blo "82350,87900"
7056tm "InstanceNameMgr"
7057)
7058]
7059)
7060ga (GenericAssociation
7061uid 19433,0
7062ps "EdgeToEdgeStrategy"
7063matrix (Matrix
7064uid 19434,0
7065text (MLText
7066uid 19435,0
7067va (VaSet
7068font "arial,8,0"
7069)
7070xt "77000,64400,77000,64400"
7071)
7072header ""
7073)
7074elements [
7075]
7076)
7077sed 1
7078awe 1
7079portVis (PortSigDisplay
7080disp 1
7081sN 0
7082sTC 0
7083selT 0
7084)
7085prms (Property
7086pclass "params"
7087pname "params"
7088ptn "String"
7089)
7090visOptions (mwParamsVisibilityOptions
7091)
7092)
7093*222 (MWC
7094uid 19438,0
7095optionalChildren [
7096*223 (CptPort
7097uid 19447,0
7098optionalChildren [
7099*224 (Line
7100uid 19452,0
7101layer 5
7102sl 0
7103va (VaSet
7104vasetType 3
7105)
7106xt "82000,90000,82000,90000"
7107pts [
7108"82000,90000"
7109"82000,90000"
7110]
7111)
7112]
7113ps "OnEdgeStrategy"
7114shape (Triangle
7115uid 19448,0
7116ro 90
7117va (VaSet
7118vasetType 1
7119isHidden 1
7120fg "0,65535,65535"
7121)
7122xt "81250,89625,82000,90375"
7123)
7124tg (CPTG
7125uid 19449,0
7126ps "CptPortTextPlaceStrategy"
7127stg "VerticalLayoutStrategy"
7128f (Text
7129uid 19450,0
7130sl 0
7131va (VaSet
7132isHidden 1
7133font "arial,8,0"
7134)
7135xt "475200,51500,476000,52500"
7136st "s"
7137blo "475200,52300"
7138)
7139s (Text
7140uid 19451,0
7141sl 0
7142va (VaSet
7143font "arial,8,0"
7144)
7145xt "475200,52500,475200,52500"
7146blo "475200,52500"
7147)
7148)
7149thePort (LogicalPort
7150decl (Decl
7151n "s"
7152t "std_logic_vector"
7153b "(3 DOWNTO 0)"
7154o 70
7155)
7156)
7157)
7158*225 (CptPort
7159uid 19453,0
7160optionalChildren [
7161*226 (Line
7162uid 19458,0
7163layer 5
7164sl 0
7165va (VaSet
7166vasetType 3
7167lineWidth 2
7168)
7169xt "85000,90000,85000,90000"
7170pts [
7171"85000,90000"
7172"85000,90000"
7173]
7174)
7175]
7176ps "OnEdgeStrategy"
7177shape (Triangle
7178uid 19454,0
7179ro 90
7180va (VaSet
7181vasetType 1
7182isHidden 1
7183fg "0,65535,65535"
7184)
7185xt "85000,89625,85750,90375"
7186)
7187tg (CPTG
7188uid 19455,0
7189ps "CptPortTextPlaceStrategy"
7190stg "RightVerticalLayoutStrategy"
7191f (Text
7192uid 19456,0
7193sl 0
7194va (VaSet
7195isHidden 1
7196font "arial,8,0"
7197)
7198xt "473000,49500,473600,50500"
7199st "t"
7200ju 2
7201blo "473600,50300"
7202)
7203s (Text
7204uid 19457,0
7205sl 0
7206va (VaSet
7207font "arial,8,0"
7208)
7209xt "473600,50500,473600,50500"
7210ju 2
7211blo "473600,50500"
7212)
7213)
7214thePort (LogicalPort
7215m 1
7216decl (Decl
7217n "t"
7218t "std_logic_vector"
7219b "(3 DOWNTO 0)"
7220o 43
7221)
7222)
7223)
7224*227 (CommentGraphic
7225uid 19459,0
7226shape (PolyLine2D
7227pts [
7228"82000,90000"
7229"83000,89000"
7230]
7231uid 19460,0
7232layer 8
7233sl 0
7234va (VaSet
7235vasetType 1
7236transparent 1
7237fg "49152,49152,49152"
7238lineColor "26368,26368,26368"
7239lineWidth 2
7240)
7241xt "82000,89000,83000,90000"
7242)
7243oxt "6000,6000,7000,7000"
7244)
7245*228 (CommentGraphic
7246uid 19461,0
7247shape (PolyLine2D
7248pts [
7249"82000,90000"
7250"83000,91000"
7251]
7252uid 19462,0
7253layer 8
7254sl 0
7255va (VaSet
7256vasetType 1
7257transparent 1
7258fg "49152,49152,49152"
7259lineColor "26368,26368,26368"
7260lineWidth 2
7261)
7262xt "82000,90000,83000,91000"
7263)
7264oxt "6000,7000,7000,8000"
7265)
7266*229 (CommentGraphic
7267uid 19463,0
7268shape (PolyLine2D
7269pts [
7270"82988,90329"
7271"83988,90329"
7272]
7273uid 19464,0
7274layer 8
7275sl 0
7276va (VaSet
7277vasetType 1
7278transparent 1
7279fg "49152,49152,49152"
7280lineColor "26368,26368,26368"
7281lineWidth 2
7282)
7283xt "82988,90329,83988,90329"
7284)
7285oxt "6988,7329,7988,7329"
7286)
7287*230 (CommentGraphic
7288uid 19465,0
7289shape (PolyLine2D
7290pts [
7291"84000,90000"
7292"85000,90000"
7293]
7294uid 19466,0
7295layer 0
7296sl 0
7297va (VaSet
7298vasetType 1
7299transparent 1
7300fg "49152,49152,49152"
7301)
7302xt "84000,90000,85000,90000"
7303)
7304oxt "8000,7000,9000,7000"
7305)
7306*231 (CommentGraphic
7307uid 19467,0
7308shape (PolyLine2D
7309pts [
7310"82976,89730"
7311"83976,89730"
7312]
7313uid 19468,0
7314layer 8
7315sl 0
7316va (VaSet
7317vasetType 1
7318transparent 1
7319fg "49152,49152,49152"
7320lineColor "26368,26368,26368"
7321lineWidth 2
7322)
7323xt "82976,89730,83976,89730"
7324)
7325oxt "6976,6730,7976,6730"
7326)
7327]
7328shape (Rectangle
7329uid 19439,0
7330va (VaSet
7331vasetType 1
7332transparent 1
7333fg "0,65535,0"
7334lineColor "65535,65535,65535"
7335lineWidth -1
7336)
7337xt "82000,89000,85000,91000"
7338fos 1
7339)
7340showPorts 0
7341oxt "6000,6000,9000,8000"
7342ttg (MlTextGroup
7343uid 19440,0
7344ps "CenterOffsetStrategy"
7345stg "VerticalLayoutStrategy"
7346textVec [
7347*232 (Text
7348uid 19441,0
7349va (VaSet
7350isHidden 1
7351font "arial,8,0"
7352)
7353xt "82350,90100,87150,91100"
7354st "moduleware"
7355blo "82350,90900"
7356)
7357*233 (Text
7358uid 19442,0
7359va (VaSet
7360font "arial,8,0"
7361)
7362xt "82350,91100,87050,92100"
7363st "assignment"
7364blo "82350,91900"
7365)
7366*234 (Text
7367uid 19443,0
7368va (VaSet
7369font "arial,8,0"
7370)
7371xt "82350,92100,83350,93100"
7372st "I1"
7373blo "82350,92900"
7374tm "InstanceNameMgr"
7375)
7376]
7377)
7378ga (GenericAssociation
7379uid 19444,0
7380ps "EdgeToEdgeStrategy"
7381matrix (Matrix
7382uid 19445,0
7383text (MLText
7384uid 19446,0
7385va (VaSet
7386font "arial,8,0"
7387)
7388xt "77000,69400,77000,69400"
7389)
7390header ""
7391)
7392elements [
7393]
7394)
7395sed 1
7396awe 1
7397portVis (PortSigDisplay
7398disp 1
7399sN 0
7400sTC 0
7401selT 0
7402)
7403prms (Property
7404pclass "params"
7405pname "params"
7406ptn "String"
7407)
7408visOptions (mwParamsVisibilityOptions
7409)
7410)
7411*235 (MWC
7412uid 19469,0
7413optionalChildren [
7414*236 (CptPort
7415uid 19478,0
7416optionalChildren [
7417*237 (Line
7418uid 19483,0
7419layer 5
7420sl 0
7421va (VaSet
7422vasetType 3
7423)
7424xt "83000,95000,83000,95000"
7425pts [
7426"83000,95000"
7427"83000,95000"
7428]
7429)
7430]
7431ps "OnEdgeStrategy"
7432shape (Triangle
7433uid 19479,0
7434ro 90
7435va (VaSet
7436vasetType 1
7437isHidden 1
7438fg "0,65535,65535"
7439)
7440xt "82250,94625,83000,95375"
7441)
7442tg (CPTG
7443uid 19480,0
7444ps "CptPortTextPlaceStrategy"
7445stg "VerticalLayoutStrategy"
7446f (Text
7447uid 19481,0
7448sl 0
7449va (VaSet
7450isHidden 1
7451font "arial,8,0"
7452)
7453xt "476200,56500,477000,57500"
7454st "s"
7455blo "476200,57300"
7456)
7457s (Text
7458uid 19482,0
7459sl 0
7460va (VaSet
7461font "arial,8,0"
7462)
7463xt "476200,57500,476200,57500"
7464blo "476200,57500"
7465)
7466)
7467thePort (LogicalPort
7468decl (Decl
7469n "s"
7470t "std_logic"
7471o 71
7472)
7473)
7474)
7475*238 (CptPort
7476uid 19484,0
7477optionalChildren [
7478*239 (Line
7479uid 19489,0
7480layer 5
7481sl 0
7482va (VaSet
7483vasetType 3
7484)
7485xt "86000,95000,86000,95000"
7486pts [
7487"86000,95000"
7488"86000,95000"
7489]
7490)
7491]
7492ps "OnEdgeStrategy"
7493shape (Triangle
7494uid 19485,0
7495ro 90
7496va (VaSet
7497vasetType 1
7498isHidden 1
7499fg "0,65535,65535"
7500)
7501xt "86000,94625,86750,95375"
7502)
7503tg (CPTG
7504uid 19486,0
7505ps "CptPortTextPlaceStrategy"
7506stg "RightVerticalLayoutStrategy"
7507f (Text
7508uid 19487,0
7509sl 0
7510va (VaSet
7511isHidden 1
7512font "arial,8,0"
7513)
7514xt "474000,54500,474600,55500"
7515st "t"
7516ju 2
7517blo "474600,55300"
7518)
7519s (Text
7520uid 19488,0
7521sl 0
7522va (VaSet
7523font "arial,8,0"
7524)
7525xt "474600,55500,474600,55500"
7526ju 2
7527blo "474600,55500"
7528)
7529)
7530thePort (LogicalPort
7531m 1
7532decl (Decl
7533n "t"
7534t "std_logic"
7535o 42
7536)
7537)
7538)
7539*240 (CommentGraphic
7540uid 19490,0
7541shape (PolyLine2D
7542pts [
7543"83000,95000"
7544"84000,94000"
7545]
7546uid 19491,0
7547layer 8
7548sl 0
7549va (VaSet
7550vasetType 1
7551transparent 1
7552fg "49152,49152,49152"
7553lineColor "26368,26368,26368"
7554lineWidth 2
7555)
7556xt "83000,94000,84000,95000"
7557)
7558oxt "6000,6000,7000,7000"
7559)
7560*241 (CommentGraphic
7561uid 19492,0
7562shape (PolyLine2D
7563pts [
7564"83000,95000"
7565"84000,96000"
7566]
7567uid 19493,0
7568layer 8
7569sl 0
7570va (VaSet
7571vasetType 1
7572transparent 1
7573fg "49152,49152,49152"
7574lineColor "26368,26368,26368"
7575lineWidth 2
7576)
7577xt "83000,95000,84000,96000"
7578)
7579oxt "6000,7000,7000,8000"
7580)
7581*242 (CommentGraphic
7582uid 19494,0
7583shape (PolyLine2D
7584pts [
7585"83988,95329"
7586"84988,95329"
7587]
7588uid 19495,0
7589layer 8
7590sl 0
7591va (VaSet
7592vasetType 1
7593transparent 1
7594fg "49152,49152,49152"
7595lineColor "26368,26368,26368"
7596lineWidth 2
7597)
7598xt "83988,95329,84988,95329"
7599)
7600oxt "6988,7329,7988,7329"
7601)
7602*243 (CommentGraphic
7603uid 19496,0
7604shape (PolyLine2D
7605pts [
7606"85000,95000"
7607"86000,95000"
7608]
7609uid 19497,0
7610layer 0
7611sl 0
7612va (VaSet
7613vasetType 1
7614transparent 1
7615fg "49152,49152,49152"
7616)
7617xt "85000,95000,86000,95000"
7618)
7619oxt "8000,7000,9000,7000"
7620)
7621*244 (CommentGraphic
7622uid 19498,0
7623shape (PolyLine2D
7624pts [
7625"83976,94730"
7626"84976,94730"
7627]
7628uid 19499,0
7629layer 8
7630sl 0
7631va (VaSet
7632vasetType 1
7633transparent 1
7634fg "49152,49152,49152"
7635lineColor "26368,26368,26368"
7636lineWidth 2
7637)
7638xt "83976,94730,84976,94730"
7639)
7640oxt "6976,6730,7976,6730"
7641)
7642]
7643shape (Rectangle
7644uid 19470,0
7645va (VaSet
7646vasetType 1
7647transparent 1
7648fg "0,65535,0"
7649lineColor "65535,65535,65535"
7650lineWidth -1
7651)
7652xt "83000,94000,86000,96000"
7653fos 1
7654)
7655showPorts 0
7656oxt "6000,6000,9000,8000"
7657ttg (MlTextGroup
7658uid 19471,0
7659ps "CenterOffsetStrategy"
7660stg "VerticalLayoutStrategy"
7661textVec [
7662*245 (Text
7663uid 19472,0
7664va (VaSet
7665isHidden 1
7666font "arial,8,0"
7667)
7668xt "83350,95100,88150,96100"
7669st "moduleware"
7670blo "83350,95900"
7671)
7672*246 (Text
7673uid 19473,0
7674va (VaSet
7675font "arial,8,0"
7676)
7677xt "83350,96100,88050,97100"
7678st "assignment"
7679blo "83350,96900"
7680)
7681*247 (Text
7682uid 19474,0
7683va (VaSet
7684font "arial,8,0"
7685)
7686xt "83350,97100,84350,98100"
7687st "I2"
7688blo "83350,97900"
7689tm "InstanceNameMgr"
7690)
7691]
7692)
7693ga (GenericAssociation
7694uid 19475,0
7695ps "EdgeToEdgeStrategy"
7696matrix (Matrix
7697uid 19476,0
7698text (MLText
7699uid 19477,0
7700va (VaSet
7701font "arial,8,0"
7702)
7703xt "78000,74400,78000,74400"
7704)
7705header ""
7706)
7707elements [
7708]
7709)
7710sed 1
7711awe 1
7712portVis (PortSigDisplay
7713disp 1
7714sN 0
7715sTC 0
7716selT 0
7717)
7718prms (Property
7719pclass "params"
7720pname "params"
7721ptn "String"
7722)
7723visOptions (mwParamsVisibilityOptions
7724)
7725)
7726*248 (MWC
7727uid 19500,0
7728optionalChildren [
7729*249 (CptPort
7730uid 19509,0
7731optionalChildren [
7732*250 (Line
7733uid 19514,0
7734layer 5
7735sl 0
7736va (VaSet
7737vasetType 3
7738)
7739xt "83000,102000,83000,102000"
7740pts [
7741"83000,102000"
7742"83000,102000"
7743]
7744)
7745]
7746ps "OnEdgeStrategy"
7747shape (Triangle
7748uid 19510,0
7749ro 90
7750va (VaSet
7751vasetType 1
7752isHidden 1
7753fg "0,65535,65535"
7754)
7755xt "82250,101625,83000,102375"
7756)
7757tg (CPTG
7758uid 19511,0
7759ps "CptPortTextPlaceStrategy"
7760stg "VerticalLayoutStrategy"
7761f (Text
7762uid 19512,0
7763sl 0
7764va (VaSet
7765isHidden 1
7766font "arial,8,0"
7767)
7768xt "476200,63500,477000,64500"
7769st "s"
7770blo "476200,64300"
7771)
7772s (Text
7773uid 19513,0
7774sl 0
7775va (VaSet
7776font "arial,8,0"
7777)
7778xt "476200,64500,476200,64500"
7779blo "476200,64500"
7780)
7781)
7782thePort (LogicalPort
7783decl (Decl
7784n "s"
7785t "std_logic"
7786o 72
7787)
7788)
7789)
7790*251 (CptPort
7791uid 19515,0
7792optionalChildren [
7793*252 (Line
7794uid 19520,0
7795layer 5
7796sl 0
7797va (VaSet
7798vasetType 3
7799)
7800xt "86000,102000,86000,102000"
7801pts [
7802"86000,102000"
7803"86000,102000"
7804]
7805)
7806]
7807ps "OnEdgeStrategy"
7808shape (Triangle
7809uid 19516,0
7810ro 90
7811va (VaSet
7812vasetType 1
7813isHidden 1
7814fg "0,65535,65535"
7815)
7816xt "86000,101625,86750,102375"
7817)
7818tg (CPTG
7819uid 19517,0
7820ps "CptPortTextPlaceStrategy"
7821stg "RightVerticalLayoutStrategy"
7822f (Text
7823uid 19518,0
7824sl 0
7825va (VaSet
7826isHidden 1
7827font "arial,8,0"
7828)
7829xt "474000,61500,474600,62500"
7830st "t"
7831ju 2
7832blo "474600,62300"
7833)
7834s (Text
7835uid 19519,0
7836sl 0
7837va (VaSet
7838font "arial,8,0"
7839)
7840xt "474600,62500,474600,62500"
7841ju 2
7842blo "474600,62500"
7843)
7844)
7845thePort (LogicalPort
7846m 1
7847decl (Decl
7848n "t"
7849t "std_logic"
7850o 31
7851i "'0'"
7852)
7853)
7854)
7855*253 (CommentGraphic
7856uid 19521,0
7857shape (PolyLine2D
7858pts [
7859"83000,102000"
7860"84000,101000"
7861]
7862uid 19522,0
7863layer 8
7864sl 0
7865va (VaSet
7866vasetType 1
7867transparent 1
7868fg "49152,49152,49152"
7869lineColor "26368,26368,26368"
7870lineWidth 2
7871)
7872xt "83000,101000,84000,102000"
7873)
7874oxt "6000,6000,7000,7000"
7875)
7876*254 (CommentGraphic
7877uid 19523,0
7878shape (PolyLine2D
7879pts [
7880"83000,102000"
7881"84000,103000"
7882]
7883uid 19524,0
7884layer 8
7885sl 0
7886va (VaSet
7887vasetType 1
7888transparent 1
7889fg "49152,49152,49152"
7890lineColor "26368,26368,26368"
7891lineWidth 2
7892)
7893xt "83000,102000,84000,103000"
7894)
7895oxt "6000,7000,7000,8000"
7896)
7897*255 (CommentGraphic
7898uid 19525,0
7899shape (PolyLine2D
7900pts [
7901"83988,102329"
7902"84988,102329"
7903]
7904uid 19526,0
7905layer 8
7906sl 0
7907va (VaSet
7908vasetType 1
7909transparent 1
7910fg "49152,49152,49152"
7911lineColor "26368,26368,26368"
7912lineWidth 2
7913)
7914xt "83988,102329,84988,102329"
7915)
7916oxt "6988,7329,7988,7329"
7917)
7918*256 (CommentGraphic
7919uid 19527,0
7920shape (PolyLine2D
7921pts [
7922"85000,102000"
7923"86000,102000"
7924]
7925uid 19528,0
7926layer 0
7927sl 0
7928va (VaSet
7929vasetType 1
7930transparent 1
7931fg "49152,49152,49152"
7932)
7933xt "85000,102000,86000,102000"
7934)
7935oxt "8000,7000,9000,7000"
7936)
7937*257 (CommentGraphic
7938uid 19529,0
7939shape (PolyLine2D
7940pts [
7941"83976,101730"
7942"84976,101730"
7943]
7944uid 19530,0
7945layer 8
7946sl 0
7947va (VaSet
7948vasetType 1
7949transparent 1
7950fg "49152,49152,49152"
7951lineColor "26368,26368,26368"
7952lineWidth 2
7953)
7954xt "83976,101730,84976,101730"
7955)
7956oxt "6976,6730,7976,6730"
7957)
7958]
7959shape (Rectangle
7960uid 19501,0
7961va (VaSet
7962vasetType 1
7963transparent 1
7964fg "0,65535,0"
7965lineColor "65535,65535,65535"
7966lineWidth -1
7967)
7968xt "83000,101000,86000,103000"
7969fos 1
7970)
7971showPorts 0
7972oxt "6000,6000,9000,8000"
7973ttg (MlTextGroup
7974uid 19502,0
7975ps "CenterOffsetStrategy"
7976stg "VerticalLayoutStrategy"
7977textVec [
7978*258 (Text
7979uid 19503,0
7980va (VaSet
7981isHidden 1
7982font "arial,8,0"
7983)
7984xt "83350,102100,88150,103100"
7985st "moduleware"
7986blo "83350,102900"
7987)
7988*259 (Text
7989uid 19504,0
7990va (VaSet
7991font "arial,8,0"
7992)
7993xt "83350,103100,88050,104100"
7994st "assignment"
7995blo "83350,103900"
7996)
7997*260 (Text
7998uid 19505,0
7999va (VaSet
8000font "arial,8,0"
8001)
8002xt "83350,104100,84350,105100"
8003st "I3"
8004blo "83350,104900"
8005tm "InstanceNameMgr"
8006)
8007]
8008)
8009ga (GenericAssociation
8010uid 19506,0
8011ps "EdgeToEdgeStrategy"
8012matrix (Matrix
8013uid 19507,0
8014text (MLText
8015uid 19508,0
8016va (VaSet
8017font "arial,8,0"
8018)
8019xt "78000,81400,78000,81400"
8020)
8021header ""
8022)
8023elements [
8024]
8025)
8026sed 1
8027awe 1
8028portVis (PortSigDisplay
8029disp 1
8030sN 0
8031sTC 0
8032selT 0
8033)
8034prms (Property
8035pclass "params"
8036pname "params"
8037ptn "String"
8038)
8039visOptions (mwParamsVisibilityOptions
8040)
8041)
8042*261 (Net
8043uid 19531,0
8044decl (Decl
8045n "dac_cs1"
8046t "std_logic"
8047o 69
8048suid 241,0
8049)
8050declText (MLText
8051uid 19532,0
8052va (VaSet
8053font "Courier New,8,0"
8054)
8055xt "39000,55200,61000,56000"
8056st "SIGNAL dac_cs1 : std_logic
8057"
8058)
8059)
8060*262 (Net
8061uid 19537,0
8062decl (Decl
8063n "sensor_cs"
8064t "std_logic_vector"
8065b "(3 DOWNTO 0)"
8066o 70
8067suid 242,0
8068)
8069declText (MLText
8070uid 19538,0
8071va (VaSet
8072font "Courier New,8,0"
8073)
8074xt "39000,60800,70500,61600"
8075st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0)
8076"
8077)
8078)
8079*263 (Net
8080uid 19543,0
8081decl (Decl
8082n "sclk"
8083t "std_logic"
8084o 71
8085suid 243,0
8086)
8087declText (MLText
8088uid 19544,0
8089va (VaSet
8090font "Courier New,8,0"
8091)
8092xt "39000,60000,61000,60800"
8093st "SIGNAL sclk : std_logic
8094"
8095)
8096)
8097*264 (Net
8098uid 19555,0
8099decl (Decl
8100n "mosi1"
8101t "std_logic"
8102o 72
8103suid 245,0
8104)
8105declText (MLText
8106uid 19556,0
8107va (VaSet
8108font "Courier New,8,0"
8109)
8110xt "39000,59200,61000,60000"
8111st "SIGNAL mosi1 : std_logic
8112"
8113)
8114)
8115*265 (MWC
8116uid 20188,0
8117optionalChildren [
8118*266 (CptPort
8119uid 20197,0
8120optionalChildren [
8121*267 (Line
8122uid 20202,0
8123layer 5
8124sl 0
8125va (VaSet
8126vasetType 3
8127)
8128xt "105000,137000,105000,137000"
8129pts [
8130"105000,137000"
8131"105000,137000"
8132]
8133)
8134]
8135ps "OnEdgeStrategy"
8136shape (Triangle
8137uid 20198,0
8138ro 90
8139va (VaSet
8140vasetType 1
8141isHidden 1
8142fg "0,65535,65535"
8143)
8144xt "104250,136625,105000,137375"
8145)
8146tg (CPTG
8147uid 20199,0
8148ps "CptPortTextPlaceStrategy"
8149stg "VerticalLayoutStrategy"
8150f (Text
8151uid 20200,0
8152sl 0
8153va (VaSet
8154isHidden 1
8155font "arial,8,0"
8156)
8157xt "498200,98500,499000,99500"
8158st "s"
8159blo "498200,99300"
8160)
8161s (Text
8162uid 20201,0
8163sl 0
8164va (VaSet
8165font "arial,8,0"
8166)
8167xt "498200,99500,498200,99500"
8168blo "498200,99500"
8169)
8170)
8171thePort (LogicalPort
8172decl (Decl
8173n "s"
8174t "std_logic"
8175o 73
8176i "'1'"
8177)
8178)
8179)
8180*268 (CptPort
8181uid 20203,0
8182optionalChildren [
8183*269 (Line
8184uid 20208,0
8185layer 5
8186sl 0
8187va (VaSet
8188vasetType 3
8189)
8190xt "108000,137000,108000,137000"
8191pts [
8192"108000,137000"
8193"108000,137000"
8194]
8195)
8196]
8197ps "OnEdgeStrategy"
8198shape (Triangle
8199uid 20204,0
8200ro 90
8201va (VaSet
8202vasetType 1
8203isHidden 1
8204fg "0,65535,65535"
8205)
8206xt "108000,136625,108750,137375"
8207)
8208tg (CPTG
8209uid 20205,0
8210ps "CptPortTextPlaceStrategy"
8211stg "RightVerticalLayoutStrategy"
8212f (Text
8213uid 20206,0
8214sl 0
8215va (VaSet
8216isHidden 1
8217font "arial,8,0"
8218)
8219xt "496000,96500,496600,97500"
8220st "t"
8221ju 2
8222blo "496600,97300"
8223)
8224s (Text
8225uid 20207,0
8226sl 0
8227va (VaSet
8228font "arial,8,0"
8229)
8230xt "496600,97500,496600,97500"
8231ju 2
8232blo "496600,97500"
8233)
8234)
8235thePort (LogicalPort
8236lang 2
8237m 1
8238decl (Decl
8239n "t"
8240t "std_logic"
8241o 44
8242i "'0'"
8243)
8244)
8245)
8246*270 (CommentGraphic
8247uid 20209,0
8248shape (PolyLine2D
8249pts [
8250"105000,137000"
8251"106000,136000"
8252]
8253uid 20210,0
8254layer 8
8255sl 0
8256va (VaSet
8257vasetType 1
8258transparent 1
8259fg "49152,49152,49152"
8260lineColor "26368,26368,26368"
8261lineWidth 2
8262)
8263xt "105000,136000,106000,137000"
8264)
8265oxt "6000,6000,7000,7000"
8266)
8267*271 (CommentGraphic
8268uid 20211,0
8269shape (PolyLine2D
8270pts [
8271"105000,137000"
8272"106000,138000"
8273]
8274uid 20212,0
8275layer 8
8276sl 0
8277va (VaSet
8278vasetType 1
8279transparent 1
8280fg "49152,49152,49152"
8281lineColor "26368,26368,26368"
8282lineWidth 2
8283)
8284xt "105000,137000,106000,138000"
8285)
8286oxt "6000,7000,7000,8000"
8287)
8288*272 (CommentGraphic
8289uid 20213,0
8290shape (PolyLine2D
8291pts [
8292"105988,137329"
8293"106988,137329"
8294]
8295uid 20214,0
8296layer 8
8297sl 0
8298va (VaSet
8299vasetType 1
8300transparent 1
8301fg "49152,49152,49152"
8302lineColor "26368,26368,26368"
8303lineWidth 2
8304)
8305xt "105988,137329,106988,137329"
8306)
8307oxt "6988,7329,7988,7329"
8308)
8309*273 (CommentGraphic
8310uid 20215,0
8311shape (PolyLine2D
8312pts [
8313"107000,137000"
8314"108000,137000"
8315]
8316uid 20216,0
8317layer 0
8318sl 0
8319va (VaSet
8320vasetType 1
8321transparent 1
8322fg "49152,49152,49152"
8323)
8324xt "107000,137000,108000,137000"
8325)
8326oxt "8000,7000,9000,7000"
8327)
8328*274 (CommentGraphic
8329uid 20217,0
8330shape (PolyLine2D
8331pts [
8332"105976,136730"
8333"106976,136730"
8334]
8335uid 20218,0
8336layer 8
8337sl 0
8338va (VaSet
8339vasetType 1
8340transparent 1
8341fg "49152,49152,49152"
8342lineColor "26368,26368,26368"
8343lineWidth 2
8344)
8345xt "105976,136730,106976,136730"
8346)
8347oxt "6976,6730,7976,6730"
8348)
8349]
8350shape (Rectangle
8351uid 20189,0
8352va (VaSet
8353vasetType 1
8354transparent 1
8355fg "0,65535,0"
8356lineColor "65535,65535,65535"
8357lineWidth -1
8358)
8359xt "105000,136000,108000,138000"
8360fos 1
8361)
8362showPorts 0
8363oxt "6000,6000,9000,8000"
8364ttg (MlTextGroup
8365uid 20190,0
8366ps "CenterOffsetStrategy"
8367stg "VerticalLayoutStrategy"
8368textVec [
8369*275 (Text
8370uid 20191,0
8371va (VaSet
8372isHidden 1
8373font "arial,8,0"
8374)
8375xt "105350,137100,110150,138100"
8376st "moduleware"
8377blo "105350,137900"
8378)
8379*276 (Text
8380uid 20192,0
8381va (VaSet
8382font "arial,8,0"
8383)
8384xt "105350,138100,110050,139100"
8385st "assignment"
8386blo "105350,138900"
8387)
8388*277 (Text
8389uid 20193,0
8390va (VaSet
8391font "arial,8,0"
8392)
8393xt "105350,139100,106350,140100"
8394st "I4"
8395blo "105350,139900"
8396tm "InstanceNameMgr"
8397)
8398]
8399)
8400ga (GenericAssociation
8401uid 20194,0
8402ps "EdgeToEdgeStrategy"
8403matrix (Matrix
8404uid 20195,0
8405text (MLText
8406uid 20196,0
8407va (VaSet
8408font "arial,8,0"
8409)
8410xt "100000,116400,100000,116400"
8411)
8412header ""
8413)
8414elements [
8415]
8416)
8417sed 1
8418awe 1
8419portVis (PortSigDisplay
8420disp 1
8421sN 0
8422sTC 0
8423selT 0
8424)
8425prms (Property
8426pclass "params"
8427pname "params"
8428ptn "String"
8429)
8430visOptions (mwParamsVisibilityOptions
8431)
8432)
8433*278 (Net
8434uid 20219,0
8435decl (Decl
8436n "trigger_veto"
8437t "std_logic"
8438o 73
8439suid 249,0
8440i "'1'"
8441)
8442declText (MLText
8443uid 20220,0
8444va (VaSet
8445font "Courier New,8,0"
8446)
8447xt "39000,62400,75000,63200"
8448st "SIGNAL trigger_veto : std_logic := '1'
8449"
8450)
8451)
8452*279 (Wire
8453uid 245,0
8454shape (OrthoPolyLine
8455uid 246,0
8456va (VaSet
8457vasetType 3
8458)
8459xt "21000,68000,51250,68000"
8460pts [
8461"51250,68000"
8462"21000,68000"
8463]
8464)
8465start &156
8466end &13
8467sat 32
8468eat 32
8469stc 0
8470st 0
8471sf 1
8472si 0
8473tg (WTG
8474uid 249,0
8475ps "ConnStartEndStrategy"
8476stg "STSignalDisplayStrategy"
8477f (Text
8478uid 250,0
8479va (VaSet
8480isHidden 1
8481)
8482xt "53250,67000,56450,68000"
8483st "X_50M"
8484blo "53250,67800"
8485tm "WireNameMgr"
8486)
8487)
8488on &32
8489)
8490*280 (Wire
8491uid 277,0
8492shape (OrthoPolyLine
8493uid 278,0
8494va (VaSet
8495vasetType 3
8496lineWidth 2
8497)
8498xt "32000,81000,51250,81000"
8499pts [
8500"51250,81000"
8501"32000,81000"
8502]
8503)
8504start &146
8505end &14
8506sat 32
8507eat 2
8508sty 1
8509st 0
8510sf 1
8511si 0
8512tg (WTG
8513uid 281,0
8514ps "ConnStartEndStrategy"
8515stg "STSignalDisplayStrategy"
8516f (Text
8517uid 282,0
8518va (VaSet
8519)
8520xt "44000,80000,50700,81000"
8521st "board_id : (3:0)"
8522blo "44000,80800"
8523tm "WireNameMgr"
8524)
8525)
8526on &18
8527)
8528*281 (Wire
8529uid 285,0
8530shape (OrthoPolyLine
8531uid 286,0
8532va (VaSet
8533vasetType 3
8534lineWidth 2
8535)
8536xt "32000,82000,51250,82000"
8537pts [
8538"51250,82000"
8539"32000,82000"
8540]
8541)
8542start &147
8543end &14
8544sat 32
8545eat 2
8546sty 1
8547st 0
8548sf 1
8549si 0
8550tg (WTG
8551uid 289,0
8552ps "ConnStartEndStrategy"
8553stg "STSignalDisplayStrategy"
8554f (Text
8555uid 290,0
8556va (VaSet
8557)
8558xt "44000,81000,50400,82000"
8559st "crate_id : (1:0)"
8560blo "44000,81800"
8561tm "WireNameMgr"
8562)
8563)
8564on &19
8565)
8566*282 (Wire
8567uid 362,0
8568shape (OrthoPolyLine
8569uid 363,0
8570va (VaSet
8571vasetType 3
8572)
8573xt "21000,90000,51250,90000"
8574pts [
8575"21000,90000"
8576"51250,90000"
8577]
8578)
8579start &39
8580end &145
8581sat 32
8582eat 32
8583stc 0
8584st 0
8585sf 1
8586si 0
8587tg (WTG
8588uid 364,0
8589ps "ConnStartEndStrategy"
8590stg "STSignalDisplayStrategy"
8591f (Text
8592uid 365,0
8593va (VaSet
8594isHidden 1
8595)
8596xt "22000,89000,25700,90000"
8597st "OE_ADC"
8598blo "22000,89800"
8599tm "WireNameMgr"
8600)
8601)
8602on &40
8603)
8604*283 (Wire
8605uid 418,0
8606shape (OrthoPolyLine
8607uid 419,0
8608va (VaSet
8609vasetType 3
8610)
8611xt "80750,71000,90000,71000"
8612pts [
8613"80750,71000"
8614"90000,71000"
8615]
8616)
8617start &142
8618end &20
8619sat 32
8620eat 32
8621stc 0
8622st 0
8623sf 1
8624si 0
8625tg (WTG
8626uid 422,0
8627ps "ConnStartEndStrategy"
8628stg "STSignalDisplayStrategy"
8629f (Text
8630uid 423,0
8631va (VaSet
8632isHidden 1
8633)
8634xt "82000,70000,85400,71000"
8635st "W_RES"
8636blo "82000,70800"
8637tm "WireNameMgr"
8638)
8639)
8640on &72
8641)
8642*284 (Wire
8643uid 426,0
8644shape (OrthoPolyLine
8645uid 427,0
8646va (VaSet
8647vasetType 3
8648lineWidth 2
8649)
8650xt "80750,68000,90000,68000"
8651pts [
8652"80750,68000"
8653"90000,68000"
8654]
8655)
8656start &148
8657end &21
8658sat 32
8659eat 32
8660sty 1
8661stc 0
8662st 0
8663sf 1
8664si 0
8665tg (WTG
8666uid 430,0
8667ps "ConnStartEndStrategy"
8668stg "STSignalDisplayStrategy"
8669f (Text
8670uid 431,0
8671va (VaSet
8672isHidden 1
8673)
8674xt "82000,67000,84400,68000"
8675st "W_A"
8676blo "82000,67800"
8677tm "WireNameMgr"
8678)
8679)
8680on &70
8681)
8682*285 (Wire
8683uid 434,0
8684shape (OrthoPolyLine
8685uid 435,0
8686va (VaSet
8687vasetType 3
8688)
8689xt "80750,75000,90000,75000"
8690pts [
8691"80750,75000"
8692"90000,75000"
8693]
8694)
8695start &150
8696end &22
8697sat 32
8698eat 32
8699stc 0
8700st 0
8701sf 1
8702si 0
8703tg (WTG
8704uid 438,0
8705ps "ConnStartEndStrategy"
8706stg "STSignalDisplayStrategy"
8707f (Text
8708uid 439,0
8709va (VaSet
8710isHidden 1
8711)
8712xt "82000,74000,84900,75000"
8713st "W_CS"
8714blo "82000,74800"
8715tm "WireNameMgr"
8716)
8717)
8718on &76
8719)
8720*286 (Wire
8721uid 442,0
8722shape (OrthoPolyLine
8723uid 443,0
8724va (VaSet
8725vasetType 3
8726lineWidth 2
8727)
8728xt "80750,69000,90000,69000"
8729pts [
8730"80750,69000"
8731"90000,69000"
8732]
8733)
8734start &149
8735end &23
8736sat 32
8737eat 32
8738sty 1
8739stc 0
8740st 0
8741sf 1
8742si 0
8743tg (WTG
8744uid 446,0
8745ps "ConnStartEndStrategy"
8746stg "STSignalDisplayStrategy"
8747f (Text
8748uid 447,0
8749va (VaSet
8750isHidden 1
8751)
8752xt "82000,68000,84400,69000"
8753st "W_D"
8754blo "82000,68800"
8755tm "WireNameMgr"
8756)
8757)
8758on &71
8759)
8760*287 (Wire
8761uid 450,0
8762shape (OrthoPolyLine
8763uid 451,0
8764va (VaSet
8765vasetType 3
8766)
8767xt "80750,74000,90000,74000"
8768pts [
8769"90000,74000"
8770"80750,74000"
8771]
8772)
8773start &24
8774end &153
8775sat 32
8776eat 32
8777stc 0
8778st 0
8779sf 1
8780si 0
8781tg (WTG
8782uid 454,0
8783ps "ConnStartEndStrategy"
8784stg "STSignalDisplayStrategy"
8785f (Text
8786uid 455,0
8787va (VaSet
8788isHidden 1
8789)
8790xt "82000,73000,85300,74000"
8791st "W_INT"
8792blo "82000,73800"
8793tm "WireNameMgr"
8794)
8795)
8796on &75
8797)
8798*288 (Wire
8799uid 458,0
8800shape (OrthoPolyLine
8801uid 459,0
8802va (VaSet
8803vasetType 3
8804)
8805xt "80750,72000,90000,72000"
8806pts [
8807"80750,72000"
8808"90000,72000"
8809]
8810)
8811start &152
8812end &25
8813sat 32
8814eat 32
8815stc 0
8816st 0
8817sf 1
8818si 0
8819tg (WTG
8820uid 462,0
8821ps "ConnStartEndStrategy"
8822stg "STSignalDisplayStrategy"
8823f (Text
8824uid 463,0
8825va (VaSet
8826isHidden 1
8827)
8828xt "82000,71000,84900,72000"
8829st "W_RD"
8830blo "82000,71800"
8831tm "WireNameMgr"
8832)
8833)
8834on &73
8835)
8836*289 (Wire
8837uid 466,0
8838shape (OrthoPolyLine
8839uid 467,0
8840va (VaSet
8841vasetType 3
8842)
8843xt "80750,73000,90000,73000"
8844pts [
8845"80750,73000"
8846"90000,73000"
8847]
8848)
8849start &151
8850end &26
8851sat 32
8852eat 32
8853stc 0
8854st 0
8855sf 1
8856si 0
8857tg (WTG
8858uid 470,0
8859ps "ConnStartEndStrategy"
8860stg "STSignalDisplayStrategy"
8861f (Text
8862uid 471,0
8863va (VaSet
8864isHidden 1
8865)
8866xt "82000,72000,85200,73000"
8867st "W_WR"
8868blo "82000,72800"
8869tm "WireNameMgr"
8870)
8871)
8872on &74
8873)
8874*290 (Wire
8875uid 1467,0
8876shape (OrthoPolyLine
8877uid 1468,0
8878va (VaSet
8879vasetType 3
8880)
8881xt "30000,95000,51250,95000"
8882pts [
8883"30000,95000"
8884"41000,95000"
8885"51250,95000"
8886]
8887)
8888start &43
8889end &158
8890sat 2
8891eat 32
8892st 0
8893sf 1
8894si 0
8895tg (WTG
8896uid 1471,0
8897ps "ConnStartEndStrategy"
8898stg "STSignalDisplayStrategy"
8899f (Text
8900uid 1472,0
8901va (VaSet
8902)
8903xt "32000,94000,38900,95000"
8904st "adc_data_array"
8905blo "32000,94800"
8906tm "WireNameMgr"
8907)
8908)
8909on &27
8910)
8911*291 (Wire
8912uid 1730,0
8913shape (OrthoPolyLine
8914uid 1731,0
8915va (VaSet
8916vasetType 3
8917lineWidth 2
8918)
8919xt "21000,89000,51250,89000"
8920pts [
8921"21000,89000"
8922"51250,89000"
8923]
8924)
8925start &41
8926end &157
8927sat 32
8928eat 32
8929sty 1
8930stc 0
8931st 0
8932sf 1
8933si 0
8934tg (WTG
8935uid 1734,0
8936ps "ConnStartEndStrategy"
8937stg "STSignalDisplayStrategy"
8938f (Text
8939uid 1735,0
8940va (VaSet
8941isHidden 1
8942)
8943xt "22000,88000,25000,89000"
8944st "A_OTR"
8945blo "22000,88800"
8946tm "WireNameMgr"
8947)
8948)
8949on &42
8950)
8951*292 (Wire
8952uid 1833,0
8953shape (OrthoPolyLine
8954uid 1834,0
8955va (VaSet
8956vasetType 3
8957lineWidth 2
8958)
8959xt "21000,109000,51250,109000"
8960pts [
8961"51250,109000"
8962"21000,109000"
8963]
8964)
8965start &159
8966end &63
8967sat 32
8968eat 32
8969sty 1
8970stc 0
8971st 0
8972sf 1
8973si 0
8974tg (WTG
8975uid 1837,0
8976ps "ConnStartEndStrategy"
8977stg "STSignalDisplayStrategy"
8978f (Text
8979uid 1838,0
8980va (VaSet
8981isHidden 1
8982)
8983xt "22000,108000,24100,109000"
8984st "D_A"
8985blo "22000,108800"
8986tm "WireNameMgr"
8987)
8988)
8989on &64
8990)
8991*293 (Wire
8992uid 1841,0
8993shape (OrthoPolyLine
8994uid 1842,0
8995va (VaSet
8996vasetType 3
8997)
8998xt "21000,110000,51250,110000"
8999pts [
9000"51250,110000"
9001"21000,110000"
9002]
9003)
9004start &160
9005end &65
9006sat 32
9007eat 32
9008stc 0
9009st 0
9010sf 1
9011si 0
9012tg (WTG
9013uid 1845,0
9014ps "ConnStartEndStrategy"
9015stg "STSignalDisplayStrategy"
9016f (Text
9017uid 1846,0
9018va (VaSet
9019isHidden 1
9020)
9021xt "22000,109000,25800,110000"
9022st "DWRITE"
9023blo "22000,109800"
9024tm "WireNameMgr"
9025)
9026)
9027on &66
9028)
9029*294 (Wire
9030uid 1865,0
9031shape (OrthoPolyLine
9032uid 1866,0
9033va (VaSet
9034vasetType 3
9035)
9036xt "21000,105000,51250,105000"
9037pts [
9038"21000,105000"
9039"51250,105000"
9040]
9041)
9042start &55
9043end &161
9044sat 32
9045eat 32
9046stc 0
9047st 0
9048sf 1
9049si 0
9050tg (WTG
9051uid 1869,0
9052ps "ConnStartEndStrategy"
9053stg "STSignalDisplayStrategy"
9054f (Text
9055uid 1870,0
9056va (VaSet
9057isHidden 1
9058)
9059xt "22000,104000,26600,105000"
9060st "D0_SROUT"
9061blo "22000,104800"
9062tm "WireNameMgr"
9063)
9064)
9065on &59
9066)
9067*295 (Wire
9068uid 1873,0
9069shape (OrthoPolyLine
9070uid 1874,0
9071va (VaSet
9072vasetType 3
9073)
9074xt "21000,106000,51250,106000"
9075pts [
9076"21000,106000"
9077"51250,106000"
9078]
9079)
9080start &56
9081end &162
9082sat 32
9083eat 32
9084stc 0
9085st 0
9086sf 1
9087si 0
9088tg (WTG
9089uid 1877,0
9090ps "ConnStartEndStrategy"
9091stg "STSignalDisplayStrategy"
9092f (Text
9093uid 1878,0
9094va (VaSet
9095isHidden 1
9096)
9097xt "22000,105000,26600,106000"
9098st "D1_SROUT"
9099blo "22000,105800"
9100tm "WireNameMgr"
9101)
9102)
9103on &60
9104)
9105*296 (Wire
9106uid 1881,0
9107shape (OrthoPolyLine
9108uid 1882,0
9109va (VaSet
9110vasetType 3
9111)
9112xt "21000,107000,51250,107000"
9113pts [
9114"21000,107000"
9115"51250,107000"
9116]
9117)
9118start &57
9119end &163
9120sat 32
9121eat 32
9122stc 0
9123st 0
9124sf 1
9125si 0
9126tg (WTG
9127uid 1885,0
9128ps "ConnStartEndStrategy"
9129stg "STSignalDisplayStrategy"
9130f (Text
9131uid 1886,0
9132va (VaSet
9133isHidden 1
9134)
9135xt "22000,106000,26600,107000"
9136st "D2_SROUT"
9137blo "22000,106800"
9138tm "WireNameMgr"
9139)
9140)
9141on &61
9142)
9143*297 (Wire
9144uid 1889,0
9145shape (OrthoPolyLine
9146uid 1890,0
9147va (VaSet
9148vasetType 3
9149)
9150xt "21000,108000,51250,108000"
9151pts [
9152"21000,108000"
9153"51250,108000"
9154]
9155)
9156start &58
9157end &164
9158sat 32
9159eat 32
9160stc 0
9161st 0
9162sf 1
9163si 0
9164tg (WTG
9165uid 1893,0
9166ps "ConnStartEndStrategy"
9167stg "STSignalDisplayStrategy"
9168f (Text
9169uid 1894,0
9170va (VaSet
9171isHidden 1
9172)
9173xt "22000,107000,26600,108000"
9174st "D3_SROUT"
9175blo "22000,107800"
9176tm "WireNameMgr"
9177)
9178)
9179on &62
9180)
9181*298 (Wire
9182uid 2409,0
9183shape (OrthoPolyLine
9184uid 2410,0
9185va (VaSet
9186vasetType 3
9187)
9188xt "21000,111000,51250,111000"
9189pts [
9190"51250,111000"
9191"21000,111000"
9192]
9193)
9194start &165
9195end &29
9196sat 32
9197eat 32
9198stc 0
9199st 0
9200sf 1
9201si 0
9202tg (WTG
9203uid 2413,0
9204ps "ConnStartEndStrategy"
9205stg "STSignalDisplayStrategy"
9206f (Text
9207uid 2414,0
9208va (VaSet
9209isHidden 1
9210)
9211xt "22000,110000,26200,111000"
9212st "RSRLOAD"
9213blo "22000,110800"
9214tm "WireNameMgr"
9215)
9216)
9217on &28
9218)
9219*299 (Wire
9220uid 3009,0
9221shape (OrthoPolyLine
9222uid 3010,0
9223va (VaSet
9224vasetType 3
9225)
9226xt "86000,95000,99000,97000"
9227pts [
9228"86000,95000"
9229"99000,97000"
9230]
9231)
9232start &238
9233end &68
9234sat 32
9235eat 32
9236stc 0
9237st 0
9238sf 1
9239si 0
9240tg (WTG
9241uid 3011,0
9242ps "ConnStartEndStrategy"
9243stg "STSignalDisplayStrategy"
9244f (Text
9245uid 3012,0
9246va (VaSet
9247isHidden 1
9248)
9249xt "87000,94000,89900,95000"
9250st "S_CLK"
9251blo "87000,94800"
9252tm "WireNameMgr"
9253)
9254)
9255on &69
9256)
9257*300 (Wire
9258uid 3015,0
9259shape (OrthoPolyLine
9260uid 3016,0
9261va (VaSet
9262vasetType 3
9263)
9264xt "80750,99000,90000,99000"
9265pts [
9266"80750,99000"
9267"90000,99000"
9268]
9269)
9270start &168
9271end &77
9272sat 32
9273eat 32
9274stc 0
9275st 0
9276sf 1
9277si 0
9278tg (WTG
9279uid 3017,0
9280ps "ConnStartEndStrategy"
9281stg "STSignalDisplayStrategy"
9282f (Text
9283uid 3018,0
9284va (VaSet
9285isHidden 1
9286)
9287xt "82750,98000,85450,99000"
9288st "MISO"
9289blo "82750,98800"
9290tm "WireNameMgr"
9291)
9292)
9293on &80
9294)
9295*301 (Wire
9296uid 3027,0
9297shape (OrthoPolyLine
9298uid 3028,0
9299va (VaSet
9300vasetType 3
9301)
9302xt "85000,84000,97000,85000"
9303pts [
9304"85000,85000"
9305"97000,84000"
9306]
9307)
9308start &212
9309end &67
9310ss 0
9311sat 32
9312eat 32
9313stc 0
9314st 0
9315sf 1
9316si 0
9317tg (WTG
9318uid 3031,0
9319ps "ConnStartEndStrategy"
9320stg "STSignalDisplayStrategy"
9321f (Text
9322uid 3032,0
9323va (VaSet
9324isHidden 1
9325)
9326xt "86000,84000,89700,85000"
9327st "DAC_CS"
9328blo "86000,84800"
9329tm "WireNameMgr"
9330)
9331)
9332on &30
9333)
9334*302 (Wire
9335uid 3218,0
9336shape (OrthoPolyLine
9337uid 3219,0
9338va (VaSet
9339vasetType 3
9340)
9341xt "22000,78000,51250,78000"
9342pts [
9343"22000,78000"
9344"51250,78000"
9345]
9346)
9347start &12
9348end &144
9349sat 32
9350eat 32
9351stc 0
9352st 0
9353sf 1
9354si 0
9355tg (WTG
9356uid 3220,0
9357ps "ConnStartEndStrategy"
9358stg "STSignalDisplayStrategy"
9359f (Text
9360uid 3221,0
9361va (VaSet
9362isHidden 1
9363)
9364xt "33000,77000,34900,78000"
9365st "TRG"
9366blo "33000,77800"
9367tm "WireNameMgr"
9368)
9369)
9370on &33
9371)
9372*303 (Wire
9373uid 3260,0
9374shape (OrthoPolyLine
9375uid 3261,0
9376va (VaSet
9377vasetType 3
9378lineWidth 2
9379)
9380xt "-1000,71000,5000,71000"
9381pts [
9382"-1000,71000"
9383"5000,71000"
9384]
9385)
9386start &31
9387end &34
9388sat 32
9389eat 2
9390sty 1
9391stc 0
9392st 0
9393sf 1
9394si 0
9395tg (WTG
9396uid 3264,0
9397ps "ConnStartEndStrategy"
9398stg "STSignalDisplayStrategy"
9399f (Text
9400uid 3265,0
9401va (VaSet
9402isHidden 1
9403)
9404xt "-23000,70000,-20100,71000"
9405st "A_CLK"
9406blo "-23000,70800"
9407tm "WireNameMgr"
9408)
9409)
9410on &38
9411)
9412*304 (Wire
9413uid 3318,0
9414shape (OrthoPolyLine
9415uid 3319,0
9416va (VaSet
9417vasetType 3
9418lineWidth 2
9419)
9420xt "21000,95000,24000,95000"
9421pts [
9422"21000,95000"
9423"24000,95000"
9424]
9425)
9426start &47
9427end &43
9428sat 32
9429eat 1
9430sty 1
9431stc 0
9432st 0
9433sf 1
9434si 0
9435tg (WTG
9436uid 3322,0
9437ps "ConnStartEndStrategy"
9438stg "STSignalDisplayStrategy"
9439f (Text
9440uid 3323,0
9441va (VaSet
9442isHidden 1
9443)
9444xt "23000,94000,25300,95000"
9445st "A0_D"
9446blo "23000,94800"
9447tm "WireNameMgr"
9448)
9449)
9450on &51
9451)
9452*305 (Wire
9453uid 3352,0
9454shape (OrthoPolyLine
9455uid 3353,0
9456va (VaSet
9457vasetType 3
9458lineWidth 2
9459)
9460xt "21000,96000,24000,96000"
9461pts [
9462"21000,96000"
9463"24000,96000"
9464]
9465)
9466start &48
9467end &43
9468sat 32
9469eat 1
9470sty 1
9471stc 0
9472st 0
9473sf 1
9474si 0
9475tg (WTG
9476uid 3356,0
9477ps "ConnStartEndStrategy"
9478stg "STSignalDisplayStrategy"
9479f (Text
9480uid 3357,0
9481va (VaSet
9482isHidden 1
9483)
9484xt "23000,95000,25300,96000"
9485st "A1_D"
9486blo "23000,95800"
9487tm "WireNameMgr"
9488)
9489)
9490on &52
9491)
9492*306 (Wire
9493uid 3360,0
9494shape (OrthoPolyLine
9495uid 3361,0
9496va (VaSet
9497vasetType 3
9498lineWidth 2
9499)
9500xt "21000,97000,24000,97000"
9501pts [
9502"21000,97000"
9503"24000,97000"
9504]
9505)
9506start &49
9507end &43
9508sat 32
9509eat 1
9510sty 1
9511stc 0
9512st 0
9513sf 1
9514si 0
9515tg (WTG
9516uid 3364,0
9517ps "ConnStartEndStrategy"
9518stg "STSignalDisplayStrategy"
9519f (Text
9520uid 3365,0
9521va (VaSet
9522isHidden 1
9523)
9524xt "23000,96000,25300,97000"
9525st "A2_D"
9526blo "23000,96800"
9527tm "WireNameMgr"
9528)
9529)
9530on &53
9531)
9532*307 (Wire
9533uid 3368,0
9534shape (OrthoPolyLine
9535uid 3369,0
9536va (VaSet
9537vasetType 3
9538lineWidth 2
9539)
9540xt "21000,98000,24000,98000"
9541pts [
9542"21000,98000"
9543"24000,98000"
9544]
9545)
9546start &50
9547end &43
9548sat 32
9549eat 1
9550sty 1
9551stc 0
9552st 0
9553sf 1
9554si 0
9555tg (WTG
9556uid 3372,0
9557ps "ConnStartEndStrategy"
9558stg "STSignalDisplayStrategy"
9559f (Text
9560uid 3373,0
9561va (VaSet
9562isHidden 1
9563)
9564xt "23000,97000,25300,98000"
9565st "A3_D"
9566blo "23000,97800"
9567tm "WireNameMgr"
9568)
9569)
9570on &54
9571)
9572*308 (Wire
9573uid 3682,0
9574shape (OrthoPolyLine
9575uid 3683,0
9576va (VaSet
9577vasetType 3
9578)
9579xt "86000,100000,99000,102000"
9580pts [
9581"86000,102000"
9582"99000,100000"
9583]
9584)
9585start &251
9586end &79
9587sat 32
9588eat 32
9589stc 0
9590st 0
9591sf 1
9592si 0
9593tg (WTG
9594uid 3686,0
9595ps "ConnStartEndStrategy"
9596stg "STSignalDisplayStrategy"
9597f (Text
9598uid 3687,0
9599va (VaSet
9600isHidden 1
9601)
9602xt "87000,101000,89700,102000"
9603st "MOSI"
9604blo "87000,101800"
9605tm "WireNameMgr"
9606)
9607)
9608on &78
9609)
9610*309 (Wire
9611uid 3834,0
9612shape (OrthoPolyLine
9613uid 3835,0
9614va (VaSet
9615vasetType 3
9616)
9617xt "171000,136000,176000,136000"
9618pts [
9619"176000,136000"
9620"171000,136000"
9621]
9622)
9623start &86
9624end &102
9625sat 32
9626eat 2
9627stc 0
9628st 0
9629sf 1
9630si 0
9631tg (WTG
9632uid 3838,0
9633ps "ConnStartEndStrategy"
9634stg "STSignalDisplayStrategy"
9635f (Text
9636uid 3839,0
9637va (VaSet
9638isHidden 1
9639)
9640xt "171000,135000,174000,136000"
9641st "EE_CS"
9642blo "171000,135800"
9643tm "WireNameMgr"
9644)
9645)
9646on &92
9647)
9648*310 (Wire
9649uid 4942,0
9650shape (OrthoPolyLine
9651uid 4943,0
9652va (VaSet
9653vasetType 3
9654lineWidth 2
9655)
9656xt "171000,115000,176000,115000"
9657pts [
9658"171000,115000"
9659"176000,115000"
9660]
9661)
9662start &102
9663end &93
9664sat 2
9665eat 32
9666sty 1
9667stc 0
9668st 0
9669sf 1
9670si 0
9671tg (WTG
9672uid 4948,0
9673ps "ConnStartEndStrategy"
9674stg "STSignalDisplayStrategy"
9675f (Text
9676uid 4949,0
9677va (VaSet
9678isHidden 1
9679)
9680xt "172750,112000,174750,113000"
9681st "D_T"
9682blo "172750,112800"
9683tm "WireNameMgr"
9684)
9685)
9686on &94
9687)
9688*311 (Wire
9689uid 6431,0
9690shape (OrthoPolyLine
9691uid 6432,0
9692va (VaSet
9693vasetType 3
9694)
9695xt "80750,121000,82000,121000"
9696pts [
9697"80750,121000"
9698"82000,121000"
9699]
9700)
9701start &172
9702end &85
9703sat 32
9704eat 32
9705stc 0
9706st 0
9707sf 1
9708si 0
9709tg (WTG
9710uid 6435,0
9711ps "ConnStartEndStrategy"
9712stg "STSignalDisplayStrategy"
9713f (Text
9714uid 6436,0
9715va (VaSet
9716isHidden 1
9717)
9718xt "92000,120000,96100,121000"
9719st "DENABLE"
9720blo "92000,120800"
9721tm "WireNameMgr"
9722)
9723)
9724on &91
9725)
9726*312 (Wire
9727uid 7144,0
9728shape (OrthoPolyLine
9729uid 7145,0
9730va (VaSet
9731vasetType 3
9732lineWidth 2
9733)
9734xt "171000,118000,176000,118000"
9735pts [
9736"171000,118000"
9737"176000,118000"
9738]
9739)
9740start &102
9741end &97
9742sat 2
9743eat 32
9744sty 1
9745st 0
9746sf 1
9747si 0
9748tg (WTG
9749uid 7148,0
9750ps "ConnStartEndStrategy"
9751stg "STSignalDisplayStrategy"
9752f (Text
9753uid 7149,0
9754va (VaSet
9755isHidden 1
9756)
9757xt "176000,130000,181300,131000"
9758st "A1_T : (7:0)"
9759blo "176000,130800"
9760tm "WireNameMgr"
9761)
9762)
9763on &98
9764)
9765*313 (Wire
9766uid 9502,0
9767shape (OrthoPolyLine
9768uid 9503,0
9769va (VaSet
9770vasetType 3
9771)
9772xt "80750,116000,85000,116000"
9773pts [
9774"80750,116000"
9775"85000,116000"
9776]
9777)
9778start &155
9779sat 32
9780eat 16
9781st 0
9782sf 1
9783si 0
9784tg (WTG
9785uid 9506,0
9786ps "ConnStartEndStrategy"
9787stg "STSignalDisplayStrategy"
9788f (Text
9789uid 9507,0
9790va (VaSet
9791)
9792xt "86000,115000,89300,116000"
9793st "CLK_50"
9794blo "86000,115800"
9795tm "WireNameMgr"
9796)
9797)
9798on &99
9799)
9800*314 (Wire
9801uid 10302,0
9802shape (OrthoPolyLine
9803uid 10303,0
9804va (VaSet
9805vasetType 3
9806lineWidth 2
9807)
9808xt "171000,117000,176000,117000"
9809pts [
9810"171000,117000"
9811"176000,117000"
9812]
9813)
9814start &102
9815end &100
9816sat 2
9817eat 32
9818sty 1
9819st 0
9820sf 1
9821si 0
9822tg (WTG
9823uid 10306,0
9824ps "ConnStartEndStrategy"
9825stg "STSignalDisplayStrategy"
9826f (Text
9827uid 10307,0
9828va (VaSet
9829isHidden 1
9830)
9831xt "172000,136000,177400,137000"
9832st "A0_T : (7:0)"
9833blo "172000,136800"
9834tm "WireNameMgr"
9835)
9836)
9837on &101
9838)
9839*315 (Wire
9840uid 11514,0
9841shape (OrthoPolyLine
9842uid 11515,0
9843va (VaSet
9844vasetType 3
9845)
9846xt "80750,150000,85000,150000"
9847pts [
9848"85000,150000"
9849"80750,150000"
9850]
9851)
9852start &108
9853end &185
9854es 0
9855sat 32
9856eat 32
9857st 0
9858sf 1
9859si 0
9860tg (WTG
9861uid 11518,0
9862ps "ConnStartEndStrategy"
9863stg "STSignalDisplayStrategy"
9864f (Text
9865uid 11519,0
9866va (VaSet
9867isHidden 1
9868)
9869xt "86000,149000,92000,150000"
9870st "RS485_E_DI"
9871blo "86000,149800"
9872tm "WireNameMgr"
9873)
9874)
9875on &109
9876)
9877*316 (Wire
9878uid 11528,0
9879shape (OrthoPolyLine
9880uid 11529,0
9881va (VaSet
9882vasetType 3
9883)
9884xt "80750,149000,85000,149000"
9885pts [
9886"80750,149000"
9887"85000,149000"
9888]
9889)
9890start &187
9891end &126
9892ss 0
9893sat 32
9894eat 32
9895st 0
9896sf 1
9897si 0
9898tg (WTG
9899uid 11532,0
9900ps "ConnStartEndStrategy"
9901stg "STSignalDisplayStrategy"
9902f (Text
9903uid 11533,0
9904va (VaSet
9905isHidden 1
9906)
9907xt "107000,148000,113200,149000"
9908st "RS485_E_DO"
9909blo "107000,148800"
9910tm "WireNameMgr"
9911)
9912)
9913on &110
9914)
9915*317 (Wire
9916uid 12320,0
9917shape (OrthoPolyLine
9918uid 12321,0
9919va (VaSet
9920vasetType 3
9921)
9922xt "80750,140000,87000,140000"
9923pts [
9924"80750,140000"
9925"87000,140000"
9926]
9927)
9928start &173
9929end &111
9930sat 32
9931eat 32
9932stc 0
9933st 0
9934sf 1
9935si 0
9936tg (WTG
9937uid 12324,0
9938ps "ConnStartEndStrategy"
9939stg "STSignalDisplayStrategy"
9940f (Text
9941uid 12325,0
9942va (VaSet
9943isHidden 1
9944)
9945xt "82000,139000,84500,140000"
9946st "SRIN"
9947blo "82000,139800"
9948tm "WireNameMgr"
9949)
9950)
9951on &112
9952)
9953*318 (Wire
9954uid 12545,0
9955shape (OrthoPolyLine
9956uid 12546,0
9957va (VaSet
9958vasetType 3
9959)
9960xt "80750,135000,87000,135000"
9961pts [
9962"80750,135000"
9963"87000,135000"
9964]
9965)
9966start &175
9967end &113
9968ss 0
9969sat 32
9970eat 32
9971st 0
9972sf 1
9973si 0
9974tg (WTG
9975uid 12549,0
9976ps "ConnStartEndStrategy"
9977stg "STSignalDisplayStrategy"
9978f (Text
9979uid 12550,0
9980va (VaSet
9981isHidden 1
9982)
9983xt "83000,134000,88200,135000"
9984st "AMBER_LED"
9985blo "83000,134800"
9986tm "WireNameMgr"
9987)
9988)
9989on &116
9990)
9991*319 (Wire
9992uid 12559,0
9993shape (OrthoPolyLine
9994uid 12560,0
9995va (VaSet
9996vasetType 3
9997)
9998xt "80750,134000,87000,134000"
9999pts [
10000"80750,134000"
10001"87000,134000"
10002]
10003)
10004start &174
10005end &114
10006ss 0
10007sat 32
10008eat 32
10009st 0
10010sf 1
10011si 0
10012tg (WTG
10013uid 12563,0
10014ps "ConnStartEndStrategy"
10015stg "STSignalDisplayStrategy"
10016f (Text
10017uid 12564,0
10018va (VaSet
10019isHidden 1
10020)
10021xt "83000,133000,88000,134000"
10022st "GREEN_LED"
10023blo "83000,133800"
10024tm "WireNameMgr"
10025)
10026)
10027on &117
10028)
10029*320 (Wire
10030uid 12573,0
10031shape (OrthoPolyLine
10032uid 12574,0
10033va (VaSet
10034vasetType 3
10035)
10036xt "80750,136000,87000,136000"
10037pts [
10038"80750,136000"
10039"87000,136000"
10040]
10041)
10042start &176
10043end &115
10044ss 0
10045sat 32
10046eat 32
10047st 0
10048sf 1
10049si 0
10050tg (WTG
10051uid 12577,0
10052ps "ConnStartEndStrategy"
10053stg "STSignalDisplayStrategy"
10054f (Text
10055uid 12578,0
10056va (VaSet
10057isHidden 1
10058)
10059xt "83000,143000,87000,144000"
10060st "RED_LED"
10061blo "83000,143800"
10062tm "WireNameMgr"
10063)
10064)
10065on &118
10066)
10067*321 (Wire
10068uid 13522,0
10069shape (OrthoPolyLine
10070uid 13523,0
10071va (VaSet
10072vasetType 3
10073lineWidth 2
10074)
10075xt "22000,81000,28000,81000"
10076pts [
10077"22000,81000"
10078"28000,81000"
10079]
10080)
10081start &119
10082end &14
10083sat 32
10084eat 1
10085sty 1
10086st 0
10087sf 1
10088si 0
10089tg (WTG
10090uid 13526,0
10091ps "ConnStartEndStrategy"
10092stg "STSignalDisplayStrategy"
10093f (Text
10094uid 13527,0
10095va (VaSet
10096)
10097xt "22000,80000,27200,81000"
10098st "LINE : (5:0)"
10099blo "22000,80800"
10100tm "WireNameMgr"
10101)
10102)
10103on &120
10104)
10105*322 (Wire
10106uid 13618,0
10107shape (OrthoPolyLine
10108uid 13619,0
10109va (VaSet
10110vasetType 3
10111lineWidth 2
10112)
10113xt "171000,125000,176000,125000"
10114pts [
10115"171000,125000"
10116"176000,125000"
10117]
10118)
10119start &102
10120end &95
10121sat 2
10122eat 32
10123sty 1
10124st 0
10125sf 1
10126si 0
10127tg (WTG
10128uid 13624,0
10129ps "ConnStartEndStrategy"
10130stg "STSignalDisplayStrategy"
10131f (Text
10132uid 13625,0
10133va (VaSet
10134isHidden 1
10135)
10136xt "173000,130000,178300,131000"
10137st "D_T2 : (1:0)"
10138blo "173000,130800"
10139tm "WireNameMgr"
10140)
10141)
10142on &96
10143)
10144*323 (Wire
10145uid 13634,0
10146shape (OrthoPolyLine
10147uid 13635,0
10148va (VaSet
10149vasetType 3
10150)
10151xt "49000,133000,51250,133000"
10152pts [
10153"49000,133000"
10154"51250,133000"
10155]
10156)
10157start &121
10158end &178
10159sat 32
10160eat 32
10161st 0
10162sf 1
10163si 0
10164tg (WTG
10165uid 13638,0
10166ps "ConnStartEndStrategy"
10167stg "STSignalDisplayStrategy"
10168f (Text
10169uid 13639,0
10170va (VaSet
10171isHidden 1
10172)
10173xt "51000,141000,54300,142000"
10174st "REFCLK"
10175blo "51000,141800"
10176tm "WireNameMgr"
10177)
10178)
10179on &122
10180)
10181*324 (Wire
10182uid 13658,0
10183shape (OrthoPolyLine
10184uid 13659,0
10185va (VaSet
10186vasetType 3
10187)
10188xt "80750,147000,85000,147000"
10189pts [
10190"80750,147000"
10191"85000,147000"
10192]
10193)
10194start &188
10195end &84
10196ss 0
10197sat 32
10198eat 32
10199st 0
10200sf 1
10201si 0
10202tg (WTG
10203uid 13664,0
10204ps "ConnStartEndStrategy"
10205stg "STSignalDisplayStrategy"
10206f (Text
10207uid 13665,0
10208va (VaSet
10209isHidden 1
10210)
10211xt "84000,145000,90100,146000"
10212st "RS485_E_DE"
10213blo "84000,145800"
10214tm "WireNameMgr"
10215)
10216)
10217on &90
10218)
10219*325 (Wire
10220uid 14328,0
10221shape (OrthoPolyLine
10222uid 14329,0
10223va (VaSet
10224vasetType 3
10225lineWidth 2
10226)
10227xt "49000,132000,51250,132000"
10228pts [
10229"49000,132000"
10230"51250,132000"
10231]
10232)
10233start &123
10234end &177
10235sat 32
10236eat 32
10237sty 1
10238st 0
10239sf 1
10240si 0
10241tg (WTG
10242uid 14332,0
10243ps "ConnStartEndStrategy"
10244stg "STSignalDisplayStrategy"
10245f (Text
10246uid 14333,0
10247va (VaSet
10248isHidden 1
10249)
10250xt "52000,138000,57900,139000"
10251st "D_T_in : (1:0)"
10252blo "52000,138800"
10253tm "WireNameMgr"
10254)
10255)
10256on &124
10257)
10258*326 (Wire
10259uid 15175,0
10260shape (OrthoPolyLine
10261uid 15176,0
10262va (VaSet
10263vasetType 3
10264lineWidth 2
10265)
10266xt "80750,120000,87000,120000"
10267pts [
10268"80750,120000"
10269"87000,120000"
10270]
10271)
10272start &143
10273sat 32
10274eat 16
10275sty 1
10276st 0
10277sf 1
10278si 0
10279tg (WTG
10280uid 15179,0
10281ps "ConnStartEndStrategy"
10282stg "STSignalDisplayStrategy"
10283f (Text
10284uid 15180,0
10285va (VaSet
10286)
10287xt "82000,119000,86400,120000"
10288st "led : (7:0)"
10289blo "82000,119800"
10290tm "WireNameMgr"
10291)
10292)
10293on &125
10294)
10295*327 (Wire
10296uid 15517,0
10297shape (OrthoPolyLine
10298uid 15518,0
10299va (VaSet
10300vasetType 3
10301)
10302xt "171000,128000,176000,128000"
10303pts [
10304"171000,128000"
10305"176000,128000"
10306]
10307)
10308start &102
10309end &81
10310sat 2
10311eat 32
10312st 0
10313sf 1
10314si 0
10315tg (WTG
10316uid 15523,0
10317ps "ConnStartEndStrategy"
10318stg "STSignalDisplayStrategy"
10319f (Text
10320uid 15524,0
10321va (VaSet
10322isHidden 1
10323)
10324xt "173000,127000,179100,128000"
10325st "RS485_C_DE"
10326blo "173000,127800"
10327tm "WireNameMgr"
10328)
10329)
10330on &88
10331)
10332*328 (Wire
10333uid 15525,0
10334shape (OrthoPolyLine
10335uid 15526,0
10336va (VaSet
10337vasetType 3
10338)
10339xt "171000,129000,176000,129000"
10340pts [
10341"171000,129000"
10342"176000,129000"
10343]
10344)
10345start &102
10346end &82
10347sat 2
10348eat 32
10349st 0
10350sf 1
10351si 0
10352tg (WTG
10353uid 15531,0
10354ps "ConnStartEndStrategy"
10355stg "STSignalDisplayStrategy"
10356f (Text
10357uid 15532,0
10358va (VaSet
10359isHidden 1
10360)
10361xt "173000,128000,179200,129000"
10362st "RS485_C_DO"
10363blo "173000,128800"
10364tm "WireNameMgr"
10365)
10366)
10367on &107
10368)
10369*329 (Wire
10370uid 15533,0
10371shape (OrthoPolyLine
10372uid 15534,0
10373va (VaSet
10374vasetType 3
10375)
10376xt "171000,130000,176000,130000"
10377pts [
10378"171000,130000"
10379"176000,130000"
10380]
10381)
10382start &102
10383end &106
10384sat 2
10385eat 32
10386st 0
10387sf 1
10388si 0
10389tg (WTG
10390uid 15539,0
10391ps "ConnStartEndStrategy"
10392stg "STSignalDisplayStrategy"
10393f (Text
10394uid 15540,0
10395va (VaSet
10396isHidden 1
10397)
10398xt "173000,129000,179000,130000"
10399st "RS485_C_RE"
10400blo "173000,129800"
10401tm "WireNameMgr"
10402)
10403)
10404on &87
10405)
10406*330 (Wire
10407uid 15563,0
10408shape (OrthoPolyLine
10409uid 15564,0
10410va (VaSet
10411vasetType 3
10412)
10413xt "80750,148000,85000,148000"
10414pts [
10415"80750,148000"
10416"85000,148000"
10417]
10418)
10419start &186
10420end &83
10421ss 0
10422sat 32
10423eat 32
10424st 0
10425sf 1
10426si 0
10427tg (WTG
10428uid 15569,0
10429ps "ConnStartEndStrategy"
10430stg "STSignalDisplayStrategy"
10431f (Text
10432uid 15570,0
10433va (VaSet
10434isHidden 1
10435)
10436xt "83000,147000,89000,148000"
10437st "RS485_E_RE"
10438blo "83000,147800"
10439tm "WireNameMgr"
10440)
10441)
10442on &89
10443)
10444*331 (Wire
10445uid 15712,0
10446shape (OrthoPolyLine
10447uid 15713,0
10448va (VaSet
10449vasetType 3
10450lineWidth 2
10451)
10452xt "49000,137000,51250,137000"
10453pts [
10454"49000,137000"
10455"51250,137000"
10456]
10457)
10458start &127
10459end &179
10460sat 32
10461eat 32
10462sty 1
10463st 0
10464sf 1
10465si 0
10466tg (WTG
10467uid 15716,0
10468ps "ConnStartEndStrategy"
10469stg "STSignalDisplayStrategy"
10470f (Text
10471uid 15717,0
10472va (VaSet
10473isHidden 1
10474)
10475xt "51000,136000,58000,137000"
10476st "D_PLLLCK : (3:0)"
10477blo "51000,136800"
10478tm "WireNameMgr"
10479)
10480)
10481on &128
10482)
10483*332 (Wire
10484uid 15851,0
10485shape (OrthoPolyLine
10486uid 15852,0
10487va (VaSet
10488vasetType 3
10489lineWidth 2
10490)
10491xt "85000,88000,95000,90000"
10492pts [
10493"85000,90000"
10494"95000,88000"
10495]
10496)
10497start &225
10498end &129
10499ss 0
10500sat 32
10501eat 32
10502sty 1
10503st 0
10504sf 1
10505si 0
10506tg (WTG
10507uid 15855,0
10508ps "ConnStartEndStrategy"
10509stg "STSignalDisplayStrategy"
10510f (Text
10511uid 15856,0
10512va (VaSet
10513isHidden 1
10514)
10515xt "87000,89000,91900,90000"
10516st "TCS : (3:0)"
10517blo "87000,89800"
10518tm "WireNameMgr"
10519)
10520)
10521on &130
10522)
10523*333 (Wire
10524uid 16063,0
10525shape (OrthoPolyLine
10526uid 16064,0
10527va (VaSet
10528vasetType 3
10529lineWidth 2
10530)
10531xt "21000,113000,30000,113000"
10532pts [
10533"30000,113000"
10534"21000,113000"
10535]
10536)
10537start &134
10538end &131
10539sat 2
10540eat 32
10541sty 1
10542st 0
10543sf 1
10544si 0
10545tg (WTG
10546uid 16067,0
10547ps "ConnStartEndStrategy"
10548stg "STSignalDisplayStrategy"
10549f (Text
10550uid 16068,0
10551va (VaSet
10552isHidden 1
10553)
10554xt "24000,112000,30400,113000"
10555st "DSRCLK : (3:0)"
10556blo "24000,112800"
10557tm "WireNameMgr"
10558)
10559)
10560on &132
10561)
10562*334 (Wire
10563uid 16247,0
10564shape (OrthoPolyLine
10565uid 16248,0
10566va (VaSet
10567vasetType 3
10568)
10569xt "34000,113000,51250,113000"
10570pts [
10571"51250,113000"
10572"34000,113000"
10573]
10574)
10575start &166
10576end &134
10577sat 32
10578eat 1
10579st 0
10580sf 1
10581si 0
10582tg (WTG
10583uid 16251,0
10584ps "ConnStartEndStrategy"
10585stg "STSignalDisplayStrategy"
10586f (Text
10587uid 16252,0
10588va (VaSet
10589)
10590xt "35000,112000,37900,113000"
10591st "SRCLK"
10592blo "35000,112800"
10593tm "WireNameMgr"
10594)
10595)
10596on &133
10597)
10598*335 (Wire
10599uid 16538,0
10600shape (OrthoPolyLine
10601uid 16539,0
10602va (VaSet
10603vasetType 3
10604)
10605xt "80750,130000,92000,130000"
10606pts [
10607"80750,130000"
10608"92000,130000"
10609]
10610)
10611start &181
10612sat 32
10613eat 16
10614st 0
10615sf 1
10616si 0
10617tg (WTG
10618uid 16542,0
10619ps "ConnStartEndStrategy"
10620stg "STSignalDisplayStrategy"
10621f (Text
10622uid 16543,0
10623va (VaSet
10624)
10625xt "82000,129000,92000,130000"
10626st "alarm_refclk_too_high"
10627blo "82000,129800"
10628tm "WireNameMgr"
10629)
10630)
10631on &138
10632)
10633*336 (Wire
10634uid 16546,0
10635shape (OrthoPolyLine
10636uid 16547,0
10637va (VaSet
10638vasetType 3
10639)
10640xt "80750,131000,91000,131000"
10641pts [
10642"80750,131000"
10643"91000,131000"
10644]
10645)
10646start &182
10647sat 32
10648eat 16
10649st 0
10650sf 1
10651si 0
10652tg (WTG
10653uid 16550,0
10654ps "ConnStartEndStrategy"
10655stg "STSignalDisplayStrategy"
10656f (Text
10657uid 16551,0
10658va (VaSet
10659)
10660xt "82000,130000,91600,131000"
10661st "alarm_refclk_too_low"
10662blo "82000,130800"
10663tm "WireNameMgr"
10664)
10665)
10666on &139
10667)
10668*337 (Wire
10669uid 16576,0
10670shape (OrthoPolyLine
10671uid 16577,0
10672va (VaSet
10673vasetType 3
10674lineWidth 2
10675)
10676xt "80750,132000,92000,132000"
10677pts [
10678"80750,132000"
10679"92000,132000"
10680]
10681)
10682start &180
10683sat 32
10684eat 16
10685sty 1
10686st 0
10687sf 1
10688si 0
10689tg (WTG
10690uid 16580,0
10691ps "ConnStartEndStrategy"
10692stg "STSignalDisplayStrategy"
10693f (Text
10694uid 16581,0
10695va (VaSet
10696)
10697xt "82000,131000,91600,132000"
10698st "counter_result : (11:0)"
10699blo "82000,131800"
10700tm "WireNameMgr"
10701)
10702)
10703on &140
10704)
10705*338 (Wire
10706uid 17296,0
10707shape (OrthoPolyLine
10708uid 17297,0
10709va (VaSet
10710vasetType 3
10711)
10712xt "13000,71000,51250,71000"
10713pts [
10714"51250,71000"
10715"13000,71000"
10716]
10717)
10718start &183
10719end &34
10720sat 32
10721eat 1
10722st 0
10723sf 1
10724si 0
10725tg (WTG
10726uid 17300,0
10727ps "ConnStartEndStrategy"
10728stg "STSignalDisplayStrategy"
10729f (Text
10730uid 17301,0
10731va (VaSet
10732)
10733xt "14000,70000,18000,71000"
10734st "ADC_CLK"
10735blo "14000,70800"
10736tm "WireNameMgr"
10737)
10738)
10739on &198
10740)
10741*339 (Wire
10742uid 17407,0
10743shape (OrthoPolyLine
10744uid 17408,0
10745va (VaSet
10746vasetType 3
10747)
10748xt "108000,137000,112000,144000"
10749pts [
10750"108000,137000"
10751"112000,144000"
10752]
10753)
10754start &268
10755end &199
10756ss 0
10757sat 32
10758eat 32
10759st 0
10760sf 1
10761si 0
10762tg (WTG
10763uid 17411,0
10764ps "ConnStartEndStrategy"
10765stg "STSignalDisplayStrategy"
10766f (Text
10767uid 17412,0
10768va (VaSet
10769isHidden 1
10770)
10771xt "110000,136000,112900,137000"
10772st "TRG_V"
10773blo "110000,136800"
10774tm "WireNameMgr"
10775)
10776)
10777on &200
10778)
10779*340 (Wire
10780uid 17848,0
10781shape (OrthoPolyLine
10782uid 17849,0
10783va (VaSet
10784vasetType 3
10785lineWidth 2
10786)
10787xt "80750,106000,91000,106000"
10788pts [
10789"80750,106000"
10790"91000,106000"
10791]
10792)
10793start &189
10794sat 32
10795eat 16
10796sty 1
10797st 0
10798sf 1
10799si 0
10800tg (WTG
10801uid 17852,0
10802ps "ConnStartEndStrategy"
10803stg "STSignalDisplayStrategy"
10804f (Text
10805uid 17853,0
10806va (VaSet
10807)
10808xt "82000,105000,90400,106000"
10809st "w5300_state : (7:0)"
10810blo "82000,105800"
10811tm "WireNameMgr"
10812)
10813)
10814on &201
10815)
10816*341 (Wire
10817uid 17856,0
10818shape (OrthoPolyLine
10819uid 17857,0
10820va (VaSet
10821vasetType 3
10822lineWidth 2
10823)
10824xt "153000,115000,165000,115000"
10825pts [
10826"153000,115000"
10827"165000,115000"
10828]
10829)
10830end &102
10831sat 16
10832eat 1
10833sty 1
10834st 0
10835sf 1
10836si 0
10837tg (WTG
10838uid 17862,0
10839ps "ConnStartEndStrategy"
10840stg "STSignalDisplayStrategy"
10841f (Text
10842uid 17863,0
10843va (VaSet
10844)
10845xt "157000,114000,165400,115000"
10846st "w5300_state : (7:0)"
10847blo "157000,114800"
10848tm "WireNameMgr"
10849)
10850)
10851on &201
10852)
10853*342 (Wire
10854uid 18068,0
10855shape (OrthoPolyLine
10856uid 18069,0
10857va (VaSet
10858vasetType 3
10859)
10860xt "80750,107000,93000,107000"
10861pts [
10862"80750,107000"
10863"93000,107000"
10864]
10865)
10866start &190
10867sat 32
10868eat 16
10869st 0
10870sf 1
10871si 0
10872tg (WTG
10873uid 18072,0
10874ps "ConnStartEndStrategy"
10875stg "STSignalDisplayStrategy"
10876f (Text
10877uid 18073,0
10878va (VaSet
10879)
10880xt "82000,106000,92400,107000"
10881st "debug_data_ram_empty"
10882blo "82000,106800"
10883tm "WireNameMgr"
10884)
10885)
10886on &202
10887)
10888*343 (Wire
10889uid 18076,0
10890shape (OrthoPolyLine
10891uid 18077,0
10892va (VaSet
10893vasetType 3
10894)
10895xt "80750,108000,91000,108000"
10896pts [
10897"80750,108000"
10898"91000,108000"
10899]
10900)
10901start &191
10902sat 32
10903eat 16
10904st 0
10905sf 1
10906si 0
10907tg (WTG
10908uid 18080,0
10909ps "ConnStartEndStrategy"
10910stg "STSignalDisplayStrategy"
10911f (Text
10912uid 18081,0
10913va (VaSet
10914)
10915xt "82000,107000,89500,108000"
10916st "debug_data_valid"
10917blo "82000,107800"
10918tm "WireNameMgr"
10919)
10920)
10921on &203
10922)
10923*344 (Wire
10924uid 18207,0
10925shape (OrthoPolyLine
10926uid 18208,0
10927va (VaSet
10928vasetType 3
10929lineWidth 2
10930)
10931xt "80750,105000,94000,105000"
10932pts [
10933"80750,105000"
10934"94000,105000"
10935]
10936)
10937start &192
10938sat 32
10939eat 16
10940sty 1
10941st 0
10942sf 1
10943si 0
10944tg (WTG
10945uid 18211,0
10946ps "ConnStartEndStrategy"
10947stg "STSignalDisplayStrategy"
10948f (Text
10949uid 18212,0
10950va (VaSet
10951)
10952xt "82000,104000,93400,105000"
10953st "mem_manager_state : (3:0)"
10954blo "82000,104800"
10955tm "WireNameMgr"
10956)
10957)
10958on &204
10959)
10960*345 (Wire
10961uid 18328,0
10962shape (OrthoPolyLine
10963uid 18329,0
10964va (VaSet
10965vasetType 3
10966lineWidth 2
10967)
10968xt "80750,109000,90000,109000"
10969pts [
10970"80750,109000"
10971"90000,109000"
10972]
10973)
10974start &193
10975sat 32
10976eat 16
10977sty 1
10978st 0
10979sf 1
10980si 0
10981tg (WTG
10982uid 18332,0
10983ps "ConnStartEndStrategy"
10984stg "STSignalDisplayStrategy"
10985f (Text
10986uid 18333,0
10987va (VaSet
10988)
10989xt "82000,108000,88900,109000"
10990st "DG_state : (7:0)"
10991blo "82000,108800"
10992tm "WireNameMgr"
10993)
10994)
10995on &205
10996)
10997*346 (Wire
10998uid 18336,0
10999shape (OrthoPolyLine
11000uid 18337,0
11001va (VaSet
11002vasetType 3
11003lineWidth 2
11004)
11005xt "151000,125000,161000,125000"
11006pts [
11007"151000,125000"
11008"161000,125000"
11009]
11010)
11011sat 16
11012eat 16
11013sty 1
11014st 0
11015sf 1
11016si 0
11017tg (WTG
11018uid 18342,0
11019ps "ConnStartEndStrategy"
11020stg "STSignalDisplayStrategy"
11021f (Text
11022uid 18343,0
11023va (VaSet
11024)
11025xt "153000,124000,159900,125000"
11026st "DG_state : (7:0)"
11027blo "153000,124800"
11028tm "WireNameMgr"
11029)
11030)
11031on &205
11032)
11033*347 (Wire
11034uid 18352,0
11035shape (OrthoPolyLine
11036uid 18353,0
11037va (VaSet
11038vasetType 3
11039)
11040xt "149000,127000,159000,127000"
11041pts [
11042"149000,127000"
11043"159000,127000"
11044]
11045)
11046sat 16
11047eat 16
11048st 0
11049sf 1
11050si 0
11051tg (WTG
11052uid 18358,0
11053ps "ConnStartEndStrategy"
11054stg "STSignalDisplayStrategy"
11055f (Text
11056uid 18359,0
11057va (VaSet
11058)
11059xt "151000,126000,158500,127000"
11060st "debug_data_valid"
11061blo "151000,126800"
11062tm "WireNameMgr"
11063)
11064)
11065on &203
11066)
11067*348 (Wire
11068uid 18360,0
11069shape (OrthoPolyLine
11070uid 18361,0
11071va (VaSet
11072vasetType 3
11073)
11074xt "152000,119000,165000,119000"
11075pts [
11076"152000,119000"
11077"165000,119000"
11078]
11079)
11080end &102
11081sat 16
11082eat 1
11083st 0
11084sf 1
11085si 0
11086tg (WTG
11087uid 18366,0
11088ps "ConnStartEndStrategy"
11089stg "STSignalDisplayStrategy"
11090f (Text
11091uid 18367,0
11092va (VaSet
11093)
11094xt "154000,118000,165400,119000"
11095st "mem_manager_state : (3:0)"
11096blo "154000,118800"
11097tm "WireNameMgr"
11098)
11099)
11100on &204
11101)
11102*349 (Wire
11103uid 18477,0
11104shape (OrthoPolyLine
11105uid 18478,0
11106va (VaSet
11107vasetType 3
11108lineWidth 2
11109)
11110xt "80750,151000,95000,151000"
11111pts [
11112"80750,151000"
11113"95000,151000"
11114]
11115)
11116start &194
11117sat 32
11118eat 16
11119sty 1
11120st 0
11121sf 1
11122si 0
11123tg (WTG
11124uid 18481,0
11125ps "ConnStartEndStrategy"
11126stg "STSignalDisplayStrategy"
11127f (Text
11128uid 18482,0
11129va (VaSet
11130)
11131xt "82000,150000,93900,151000"
11132st "socket_tx_free_out : (16:0)"
11133blo "82000,150800"
11134tm "WireNameMgr"
11135)
11136)
11137on &206
11138)
11139*350 (Wire
11140uid 18808,0
11141shape (OrthoPolyLine
11142uid 18809,0
11143va (VaSet
11144vasetType 3
11145lineWidth 2
11146)
11147xt "171000,119000,176000,119000"
11148pts [
11149"171000,119000"
11150"176000,119000"
11151]
11152)
11153start &102
11154end &207
11155sat 2
11156eat 32
11157sty 1
11158st 0
11159sf 1
11160si 0
11161tg (WTG
11162uid 18812,0
11163ps "ConnStartEndStrategy"
11164stg "STSignalDisplayStrategy"
11165f (Text
11166uid 18813,0
11167va (VaSet
11168isHidden 1
11169)
11170xt "173000,118000,178200,119000"
11171st "W_T : (3:0)"
11172blo "173000,118800"
11173tm "WireNameMgr"
11174)
11175)
11176on &208
11177)
11178*351 (Wire
11179uid 18923,0
11180shape (OrthoPolyLine
11181uid 18924,0
11182va (VaSet
11183vasetType 3
11184)
11185xt "152000,117000,165000,117000"
11186pts [
11187"152000,117000"
11188"165000,117000"
11189]
11190)
11191end &102
11192sat 16
11193eat 1
11194st 0
11195sf 1
11196si 0
11197tg (WTG
11198uid 18929,0
11199ps "ConnStartEndStrategy"
11200stg "STSignalDisplayStrategy"
11201f (Text
11202uid 18930,0
11203va (VaSet
11204)
11205xt "153000,116000,164900,117000"
11206st "socket_tx_free_out : (16:0)"
11207blo "153000,116800"
11208tm "WireNameMgr"
11209)
11210)
11211on &206
11212)
11213*352 (Wire
11214uid 19161,0
11215shape (OrthoPolyLine
11216uid 19162,0
11217va (VaSet
11218vasetType 3
11219)
11220xt "155000,120000,165000,120000"
11221pts [
11222"155000,120000"
11223"165000,120000"
11224]
11225)
11226end &102
11227sat 16
11228eat 1
11229st 0
11230sf 1
11231si 0
11232tg (WTG
11233uid 19167,0
11234ps "ConnStartEndStrategy"
11235stg "STSignalDisplayStrategy"
11236f (Text
11237uid 19168,0
11238va (VaSet
11239)
11240xt "157000,119000,159900,120000"
11241st "TRG_V"
11242blo "157000,119800"
11243tm "WireNameMgr"
11244)
11245)
11246on &200
11247)
11248*353 (Wire
11249uid 19169,0
11250shape (OrthoPolyLine
11251uid 19170,0
11252va (VaSet
11253vasetType 3
11254)
11255xt "155000,121000,165000,121000"
11256pts [
11257"155000,121000"
11258"165000,121000"
11259]
11260)
11261end &102
11262sat 16
11263eat 1
11264st 0
11265sf 1
11266si 0
11267tg (WTG
11268uid 19175,0
11269ps "ConnStartEndStrategy"
11270stg "STSignalDisplayStrategy"
11271f (Text
11272uid 19176,0
11273va (VaSet
11274)
11275xt "157000,120000,167400,121000"
11276st "debug_data_ram_empty"
11277blo "157000,120800"
11278tm "WireNameMgr"
11279)
11280)
11281on &202
11282)
11283*354 (Wire
11284uid 19533,0
11285shape (OrthoPolyLine
11286uid 19534,0
11287va (VaSet
11288vasetType 3
11289)
11290xt "80750,85000,82000,87000"
11291pts [
11292"80750,87000"
11293"82000,87000"
11294"82000,85000"
11295]
11296)
11297start &169
11298end &210
11299sat 32
11300eat 32
11301st 0
11302sf 1
11303si 0
11304tg (WTG
11305uid 19535,0
11306ps "ConnStartEndStrategy"
11307stg "STSignalDisplayStrategy"
11308f (Text
11309uid 19536,0
11310va (VaSet
11311)
11312xt "82750,86000,86150,87000"
11313st "dac_cs1"
11314blo "82750,86800"
11315tm "WireNameMgr"
11316)
11317)
11318on &261
11319)
11320*355 (Wire
11321uid 19539,0
11322shape (OrthoPolyLine
11323uid 19540,0
11324va (VaSet
11325vasetType 3
11326)
11327xt "80750,89000,82000,90000"
11328pts [
11329"80750,89000"
11330"82000,89000"
11331"82000,90000"
11332]
11333)
11334start &170
11335end &223
11336sat 32
11337eat 32
11338st 0
11339sf 1
11340si 0
11341tg (WTG
11342uid 19541,0
11343ps "ConnStartEndStrategy"
11344stg "STSignalDisplayStrategy"
11345f (Text
11346uid 19542,0
11347va (VaSet
11348)
11349xt "82750,88000,89750,89000"
11350st "sensor_cs : (3:0)"
11351blo "82750,88800"
11352tm "WireNameMgr"
11353)
11354)
11355on &262
11356)
11357*356 (Wire
11358uid 19545,0
11359shape (OrthoPolyLine
11360uid 19546,0
11361va (VaSet
11362vasetType 3
11363)
11364xt "80750,95000,83000,98000"
11365pts [
11366"80750,98000"
11367"83000,98000"
11368"83000,95000"
11369]
11370)
11371start &167
11372end &236
11373sat 32
11374eat 32
11375st 0
11376sf 1
11377si 0
11378tg (WTG
11379uid 19547,0
11380ps "ConnStartEndStrategy"
11381stg "STSignalDisplayStrategy"
11382f (Text
11383uid 19548,0
11384va (VaSet
11385)
11386xt "82750,97000,84650,98000"
11387st "sclk"
11388blo "82750,97800"
11389tm "WireNameMgr"
11390)
11391)
11392on &263
11393)
11394*357 (Wire
11395uid 19551,0
11396shape (OrthoPolyLine
11397uid 19552,0
11398va (VaSet
11399vasetType 3
11400)
11401xt "80750,100000,83000,102000"
11402pts [
11403"83000,102000"
11404"80750,102000"
11405"80750,100000"
11406]
11407)
11408start &249
11409end &171
11410sat 32
11411eat 32
11412stc 0
11413st 0
11414sf 1
11415si 0
11416tg (WTG
11417uid 19553,0
11418ps "ConnStartEndStrategy"
11419stg "VerticalLayoutStrategy"
11420f (Text
11421uid 19554,0
11422va (VaSet
11423isHidden 1
11424)
11425xt "82000,101000,84400,102000"
11426st "mosi1"
11427blo "82000,101800"
11428tm "WireNameMgr"
11429)
11430)
11431on &264
11432)
11433*358 (Wire
11434uid 20221,0
11435shape (OrthoPolyLine
11436uid 20222,0
11437va (VaSet
11438vasetType 3
11439)
11440xt "80750,137000,105000,144000"
11441pts [
11442"80750,144000"
11443"105000,144000"
11444"105000,137000"
11445]
11446)
11447start &184
11448end &266
11449sat 32
11450eat 32
11451st 0
11452sf 1
11453si 0
11454tg (WTG
11455uid 20223,0
11456ps "ConnStartEndStrategy"
11457stg "STSignalDisplayStrategy"
11458f (Text
11459uid 20224,0
11460va (VaSet
11461)
11462xt "82000,143000,87600,144000"
11463st "trigger_veto"
11464blo "82000,143800"
11465tm "WireNameMgr"
11466)
11467)
11468on &278
11469)
11470]
11471bg "65535,65535,65535"
11472grid (Grid
11473origin "0,0"
11474isVisible 1
11475isActive 1
11476xSpacing 1000
11477xySpacing 1000
11478xShown 1
11479yShown 1
11480color "26368,26368,26368"
11481)
11482packageList *359 (PackageList
11483uid 41,0
11484stg "VerticalLayoutStrategy"
11485textVec [
11486*360 (Text
11487uid 42,0
11488va (VaSet
11489font "arial,8,1"
11490)
11491xt "0,0,5400,1000"
11492st "Package List"
11493blo "0,800"
11494)
11495*361 (MLText
11496uid 43,0
11497va (VaSet
11498)
11499xt "0,1000,16100,9000"
11500st "LIBRARY ieee;
11501USE ieee.std_logic_1164.all;
11502USE ieee.std_logic_arith.all;
11503USE IEEE.NUMERIC_STD.all;
11504USE ieee.std_logic_unsigned.all;
11505
11506LIBRARY FACT_FAD_lib;
11507USE FACT_FAD_lib.fad_definitions.all;"
11508tm "PackageList"
11509)
11510]
11511)
11512compDirBlock (MlTextGroup
11513uid 44,0
11514stg "VerticalLayoutStrategy"
11515textVec [
11516*362 (Text
11517uid 45,0
11518va (VaSet
11519isHidden 1
11520font "Arial,8,1"
11521)
11522xt "20000,0,28100,1000"
11523st "Compiler Directives"
11524blo "20000,800"
11525)
11526*363 (Text
11527uid 46,0
11528va (VaSet
11529isHidden 1
11530font "Arial,8,1"
11531)
11532xt "20000,1000,29600,2000"
11533st "Pre-module directives:"
11534blo "20000,1800"
11535)
11536*364 (MLText
11537uid 47,0
11538va (VaSet
11539isHidden 1
11540)
11541xt "20000,2000,28200,4000"
11542st "`resetall
11543`timescale 1ns/10ps"
11544tm "BdCompilerDirectivesTextMgr"
11545)
11546*365 (Text
11547uid 48,0
11548va (VaSet
11549isHidden 1
11550font "Arial,8,1"
11551)
11552xt "20000,4000,30100,5000"
11553st "Post-module directives:"
11554blo "20000,4800"
11555)
11556*366 (MLText
11557uid 49,0
11558va (VaSet
11559isHidden 1
11560)
11561xt "20000,0,20000,0"
11562tm "BdCompilerDirectivesTextMgr"
11563)
11564*367 (Text
11565uid 50,0
11566va (VaSet
11567isHidden 1
11568font "Arial,8,1"
11569)
11570xt "20000,5000,29900,6000"
11571st "End-module directives:"
11572blo "20000,5800"
11573)
11574*368 (MLText
11575uid 51,0
11576va (VaSet
11577isHidden 1
11578)
11579xt "20000,6000,20000,6000"
11580tm "BdCompilerDirectivesTextMgr"
11581)
11582]
11583associable 1
11584)
11585windowSize "0,22,1681,1050"
11586viewArea "12300,102300,104406,159882"
11587cachedDiagramExtent "-23000,0,499000,153000"
11588pageSetupInfo (PageSetupInfo
11589ptrCmd ""
11590toPrinter 1
11591exportedDirectories [
11592"$HDS_PROJECT_DIR/HTMLExport"
11593]
11594exportStdIncludeRefs 1
11595exportStdPackageRefs 1
11596)
11597hasePageBreakOrigin 1
11598pageBreakOrigin "-73000,0"
11599lastUid 20341,0
11600defaultCommentText (CommentText
11601shape (Rectangle
11602layer 0
11603va (VaSet
11604vasetType 1
11605fg "65280,65280,46080"
11606lineColor "0,0,32768"
11607)
11608xt "0,0,15000,5000"
11609)
11610text (MLText
11611va (VaSet
11612fg "0,0,32768"
11613)
11614xt "200,200,2400,1200"
11615st "
11616Text
11617"
11618tm "CommentText"
11619wrapOption 3
11620visibleHeight 4600
11621visibleWidth 14600
11622)
11623)
11624defaultPanel (Panel
11625shape (RectFrame
11626va (VaSet
11627vasetType 1
11628fg "65535,65535,65535"
11629lineColor "32768,0,0"
11630lineWidth 2
11631)
11632xt "0,0,20000,20000"
11633)
11634title (TextAssociate
11635ps "TopLeftStrategy"
11636text (Text
11637va (VaSet
11638font "Arial,8,1"
11639)
11640xt "1000,1000,3800,2000"
11641st "Panel0"
11642blo "1000,1800"
11643tm "PanelText"
11644)
11645)
11646)
11647defaultBlk (Blk
11648shape (Rectangle
11649va (VaSet
11650vasetType 1
11651fg "39936,56832,65280"
11652lineColor "0,0,32768"
11653lineWidth 2
11654)
11655xt "0,0,8000,10000"
11656)
11657ttg (MlTextGroup
11658ps "CenterOffsetStrategy"
11659stg "VerticalLayoutStrategy"
11660textVec [
11661*369 (Text
11662va (VaSet
11663font "Arial,8,1"
11664)
11665xt "2200,3500,5800,4500"
11666st "<library>"
11667blo "2200,4300"
11668tm "BdLibraryNameMgr"
11669)
11670*370 (Text
11671va (VaSet
11672font "Arial,8,1"
11673)
11674xt "2200,4500,5600,5500"
11675st "<block>"
11676blo "2200,5300"
11677tm "BlkNameMgr"
11678)
11679*371 (Text
11680va (VaSet
11681font "Arial,8,1"
11682)
11683xt "2200,5500,3200,6500"
11684st "I0"
11685blo "2200,6300"
11686tm "InstanceNameMgr"
11687)
11688]
11689)
11690ga (GenericAssociation
11691ps "EdgeToEdgeStrategy"
11692matrix (Matrix
11693text (MLText
11694va (VaSet
11695font "Courier New,8,0"
11696)
11697xt "2200,13500,2200,13500"
11698)
11699header ""
11700)
11701elements [
11702]
11703)
11704viewicon (ZoomableIcon
11705sl 0
11706va (VaSet
11707vasetType 1
11708fg "49152,49152,49152"
11709)
11710xt "0,0,1500,1500"
11711iconName "UnknownFile.png"
11712iconMaskName "UnknownFile.msk"
11713)
11714viewiconposition 0
11715)
11716defaultMWComponent (MWC
11717shape (Rectangle
11718va (VaSet
11719vasetType 1
11720fg "0,65535,0"
11721lineColor "0,32896,0"
11722lineWidth 2
11723)
11724xt "0,0,8000,10000"
11725)
11726ttg (MlTextGroup
11727ps "CenterOffsetStrategy"
11728stg "VerticalLayoutStrategy"
11729textVec [
11730*372 (Text
11731va (VaSet
11732font "Arial,8,1"
11733)
11734xt "550,3500,3450,4500"
11735st "Library"
11736blo "550,4300"
11737)
11738*373 (Text
11739va (VaSet
11740font "Arial,8,1"
11741)
11742xt "550,4500,7450,5500"
11743st "MWComponent"
11744blo "550,5300"
11745)
11746*374 (Text
11747va (VaSet
11748font "Arial,8,1"
11749)
11750xt "550,5500,1550,6500"
11751st "I0"
11752blo "550,6300"
11753tm "InstanceNameMgr"
11754)
11755]
11756)
11757ga (GenericAssociation
11758ps "EdgeToEdgeStrategy"
11759matrix (Matrix
11760text (MLText
11761va (VaSet
11762font "Courier New,8,0"
11763)
11764xt "-6450,1500,-6450,1500"
11765)
11766header ""
11767)
11768elements [
11769]
11770)
11771portVis (PortSigDisplay
11772)
11773prms (Property
11774pclass "params"
11775pname "params"
11776ptn "String"
11777)
11778visOptions (mwParamsVisibilityOptions
11779)
11780)
11781defaultSaComponent (SaComponent
11782shape (Rectangle
11783va (VaSet
11784vasetType 1
11785fg "0,65535,0"
11786lineColor "0,32896,0"
11787lineWidth 2
11788)
11789xt "0,0,8000,10000"
11790)
11791ttg (MlTextGroup
11792ps "CenterOffsetStrategy"
11793stg "VerticalLayoutStrategy"
11794textVec [
11795*375 (Text
11796va (VaSet
11797font "Arial,8,1"
11798)
11799xt "900,3500,3800,4500"
11800st "Library"
11801blo "900,4300"
11802tm "BdLibraryNameMgr"
11803)
11804*376 (Text
11805va (VaSet
11806font "Arial,8,1"
11807)
11808xt "900,4500,7100,5500"
11809st "SaComponent"
11810blo "900,5300"
11811tm "CptNameMgr"
11812)
11813*377 (Text
11814va (VaSet
11815font "Arial,8,1"
11816)
11817xt "900,5500,1900,6500"
11818st "I0"
11819blo "900,6300"
11820tm "InstanceNameMgr"
11821)
11822]
11823)
11824ga (GenericAssociation
11825ps "EdgeToEdgeStrategy"
11826matrix (Matrix
11827text (MLText
11828va (VaSet
11829font "Courier New,8,0"
11830)
11831xt "-6100,1500,-6100,1500"
11832)
11833header ""
11834)
11835elements [
11836]
11837)
11838viewicon (ZoomableIcon
11839sl 0
11840va (VaSet
11841vasetType 1
11842fg "49152,49152,49152"
11843)
11844xt "0,0,1500,1500"
11845iconName "UnknownFile.png"
11846iconMaskName "UnknownFile.msk"
11847)
11848viewiconposition 0
11849portVis (PortSigDisplay
11850)
11851archFileType "UNKNOWN"
11852)
11853defaultVhdlComponent (VhdlComponent
11854shape (Rectangle
11855va (VaSet
11856vasetType 1
11857fg "0,65535,0"
11858lineColor "0,32896,0"
11859lineWidth 2
11860)
11861xt "0,0,8000,10000"
11862)
11863ttg (MlTextGroup
11864ps "CenterOffsetStrategy"
11865stg "VerticalLayoutStrategy"
11866textVec [
11867*378 (Text
11868va (VaSet
11869font "Arial,8,1"
11870)
11871xt "500,3500,3400,4500"
11872st "Library"
11873blo "500,4300"
11874)
11875*379 (Text
11876va (VaSet
11877font "Arial,8,1"
11878)
11879xt "500,4500,7500,5500"
11880st "VhdlComponent"
11881blo "500,5300"
11882)
11883*380 (Text
11884va (VaSet
11885font "Arial,8,1"
11886)
11887xt "500,5500,1500,6500"
11888st "I0"
11889blo "500,6300"
11890tm "InstanceNameMgr"
11891)
11892]
11893)
11894ga (GenericAssociation
11895ps "EdgeToEdgeStrategy"
11896matrix (Matrix
11897text (MLText
11898va (VaSet
11899font "Courier New,8,0"
11900)
11901xt "-6500,1500,-6500,1500"
11902)
11903header ""
11904)
11905elements [
11906]
11907)
11908portVis (PortSigDisplay
11909)
11910entityPath ""
11911archName ""
11912archPath ""
11913)
11914defaultVerilogComponent (VerilogComponent
11915shape (Rectangle
11916va (VaSet
11917vasetType 1
11918fg "0,65535,0"
11919lineColor "0,32896,0"
11920lineWidth 2
11921)
11922xt "-450,0,8450,10000"
11923)
11924ttg (MlTextGroup
11925ps "CenterOffsetStrategy"
11926stg "VerticalLayoutStrategy"
11927textVec [
11928*381 (Text
11929va (VaSet
11930font "Arial,8,1"
11931)
11932xt "50,3500,2950,4500"
11933st "Library"
11934blo "50,4300"
11935)
11936*382 (Text
11937va (VaSet
11938font "Arial,8,1"
11939)
11940xt "50,4500,7950,5500"
11941st "VerilogComponent"
11942blo "50,5300"
11943)
11944*383 (Text
11945va (VaSet
11946font "Arial,8,1"
11947)
11948xt "50,5500,1050,6500"
11949st "I0"
11950blo "50,6300"
11951tm "InstanceNameMgr"
11952)
11953]
11954)
11955ga (GenericAssociation
11956ps "EdgeToEdgeStrategy"
11957matrix (Matrix
11958text (MLText
11959va (VaSet
11960font "Courier New,8,0"
11961)
11962xt "-6950,1500,-6950,1500"
11963)
11964header ""
11965)
11966elements [
11967]
11968)
11969entityPath ""
11970)
11971defaultHdlText (HdlText
11972shape (Rectangle
11973va (VaSet
11974vasetType 1
11975fg "65535,65535,37120"
11976lineColor "0,0,32768"
11977lineWidth 2
11978)
11979xt "0,0,8000,10000"
11980)
11981ttg (MlTextGroup
11982ps "CenterOffsetStrategy"
11983stg "VerticalLayoutStrategy"
11984textVec [
11985*384 (Text
11986va (VaSet
11987font "Arial,8,1"
11988)
11989xt "3150,4000,4850,5000"
11990st "eb1"
11991blo "3150,4800"
11992tm "HdlTextNameMgr"
11993)
11994*385 (Text
11995va (VaSet
11996font "Arial,8,1"
11997)
11998xt "3150,5000,3950,6000"
11999st "1"
12000blo "3150,5800"
12001tm "HdlTextNumberMgr"
12002)
12003]
12004)
12005viewicon (ZoomableIcon
12006sl 0
12007va (VaSet
12008vasetType 1
12009fg "49152,49152,49152"
12010)
12011xt "0,0,1500,1500"
12012iconName "UnknownFile.png"
12013iconMaskName "UnknownFile.msk"
12014)
12015viewiconposition 0
12016)
12017defaultEmbeddedText (EmbeddedText
12018commentText (CommentText
12019ps "CenterOffsetStrategy"
12020shape (Rectangle
12021va (VaSet
12022vasetType 1
12023fg "65535,65535,65535"
12024lineColor "0,0,32768"
12025lineWidth 2
12026)
12027xt "0,0,18000,5000"
12028)
12029text (MLText
12030va (VaSet
12031)
12032xt "200,200,2400,1200"
12033st "
12034Text
12035"
12036tm "HdlTextMgr"
12037wrapOption 3
12038visibleHeight 4600
12039visibleWidth 17600
12040)
12041)
12042)
12043defaultGlobalConnector (GlobalConnector
12044shape (Circle
12045va (VaSet
12046vasetType 1
12047fg "65535,65535,0"
12048)
12049xt "-1000,-1000,1000,1000"
12050radius 1000
12051)
12052name (Text
12053va (VaSet
12054font "Arial,8,1"
12055)
12056xt "-500,-500,500,500"
12057st "G"
12058blo "-500,300"
12059)
12060)
12061defaultRipper (Ripper
12062ps "OnConnectorStrategy"
12063shape (Line2D
12064pts [
12065"0,0"
12066"1000,1000"
12067]
12068va (VaSet
12069vasetType 1
12070)
12071xt "0,0,1000,1000"
12072)
12073)
12074defaultBdJunction (BdJunction
12075ps "OnConnectorStrategy"
12076shape (Circle
12077va (VaSet
12078vasetType 1
12079)
12080xt "-400,-400,400,400"
12081radius 400
12082)
12083)
12084defaultPortIoIn (PortIoIn
12085shape (CompositeShape
12086va (VaSet
12087vasetType 1
12088fg "0,0,32768"
12089)
12090optionalChildren [
12091(Pentagon
12092sl 0
12093ro 270
12094xt "-2000,-375,-500,375"
12095)
12096(Line
12097sl 0
12098ro 270
12099xt "-500,0,0,0"
12100pts [
12101"-500,0"
12102"0,0"
12103]
12104)
12105]
12106)
12107stc 0
12108sf 1
12109tg (WTG
12110ps "PortIoTextPlaceStrategy"
12111stg "STSignalDisplayStrategy"
12112f (Text
12113va (VaSet
12114)
12115xt "-1375,-1000,-1375,-1000"
12116ju 2
12117blo "-1375,-1000"
12118tm "WireNameMgr"
12119)
12120)
12121)
12122defaultPortIoOut (PortIoOut
12123shape (CompositeShape
12124va (VaSet
12125vasetType 1
12126fg "0,0,32768"
12127)
12128optionalChildren [
12129(Pentagon
12130sl 0
12131ro 270
12132xt "500,-375,2000,375"
12133)
12134(Line
12135sl 0
12136ro 270
12137xt "0,0,500,0"
12138pts [
12139"0,0"
12140"500,0"
12141]
12142)
12143]
12144)
12145stc 0
12146sf 1
12147tg (WTG
12148ps "PortIoTextPlaceStrategy"
12149stg "STSignalDisplayStrategy"
12150f (Text
12151va (VaSet
12152)
12153xt "625,-1000,625,-1000"
12154blo "625,-1000"
12155tm "WireNameMgr"
12156)
12157)
12158)
12159defaultPortIoInOut (PortIoInOut
12160shape (CompositeShape
12161va (VaSet
12162vasetType 1
12163fg "0,0,32768"
12164)
12165optionalChildren [
12166(Hexagon
12167sl 0
12168xt "500,-375,2000,375"
12169)
12170(Line
12171sl 0
12172xt "0,0,500,0"
12173pts [
12174"0,0"
12175"500,0"
12176]
12177)
12178]
12179)
12180stc 0
12181sf 1
12182tg (WTG
12183ps "PortIoTextPlaceStrategy"
12184stg "STSignalDisplayStrategy"
12185f (Text
12186va (VaSet
12187)
12188xt "0,-375,0,-375"
12189blo "0,-375"
12190tm "WireNameMgr"
12191)
12192)
12193)
12194defaultPortIoBuffer (PortIoBuffer
12195shape (CompositeShape
12196va (VaSet
12197vasetType 1
12198fg "65535,65535,65535"
12199lineColor "0,0,32768"
12200)
12201optionalChildren [
12202(Hexagon
12203sl 0
12204xt "500,-375,2000,375"
12205)
12206(Line
12207sl 0
12208xt "0,0,500,0"
12209pts [
12210"0,0"
12211"500,0"
12212]
12213)
12214]
12215)
12216stc 0
12217sf 1
12218tg (WTG
12219ps "PortIoTextPlaceStrategy"
12220stg "STSignalDisplayStrategy"
12221f (Text
12222va (VaSet
12223)
12224xt "0,-375,0,-375"
12225blo "0,-375"
12226tm "WireNameMgr"
12227)
12228)
12229)
12230defaultSignal (Wire
12231shape (OrthoPolyLine
12232va (VaSet
12233vasetType 3
12234)
12235pts [
12236"0,0"
12237"0,0"
12238]
12239)
12240ss 0
12241es 0
12242sat 32
12243eat 32
12244st 0
12245sf 1
12246si 0
12247tg (WTG
12248ps "ConnStartEndStrategy"
12249stg "STSignalDisplayStrategy"
12250f (Text
12251va (VaSet
12252)
12253xt "0,0,1900,1000"
12254st "sig0"
12255blo "0,800"
12256tm "WireNameMgr"
12257)
12258)
12259)
12260defaultBus (Wire
12261shape (OrthoPolyLine
12262va (VaSet
12263vasetType 3
12264lineWidth 2
12265)
12266pts [
12267"0,0"
12268"0,0"
12269]
12270)
12271ss 0
12272es 0
12273sat 32
12274eat 32
12275sty 1
12276st 0
12277sf 1
12278si 0
12279tg (WTG
12280ps "ConnStartEndStrategy"
12281stg "STSignalDisplayStrategy"
12282f (Text
12283va (VaSet
12284)
12285xt "0,0,2400,1000"
12286st "dbus0"
12287blo "0,800"
12288tm "WireNameMgr"
12289)
12290)
12291)
12292defaultBundle (Bundle
12293shape (OrthoPolyLine
12294va (VaSet
12295vasetType 3
12296lineColor "32768,0,0"
12297lineWidth 2
12298)
12299pts [
12300"0,0"
12301"0,0"
12302]
12303)
12304ss 0
12305es 0
12306sat 32
12307eat 32
12308textGroup (BiTextGroup
12309ps "ConnStartEndStrategy"
12310stg "VerticalLayoutStrategy"
12311first (Text
12312va (VaSet
12313)
12314xt "0,0,3000,1000"
12315st "bundle0"
12316blo "0,800"
12317tm "BundleNameMgr"
12318)
12319second (MLText
12320va (VaSet
12321)
12322xt "0,1000,1000,2000"
12323st "()"
12324tm "BundleContentsMgr"
12325)
12326)
12327bundleNet &0
12328)
12329defaultPortMapFrame (PortMapFrame
12330ps "PortMapFrameStrategy"
12331shape (RectFrame
12332va (VaSet
12333vasetType 1
12334fg "65535,65535,65535"
12335lineColor "0,0,32768"
12336lineWidth 2
12337)
12338xt "0,0,10000,12000"
12339)
12340portMapText (BiTextGroup
12341ps "BottomRightOffsetStrategy"
12342stg "VerticalLayoutStrategy"
12343first (MLText
12344va (VaSet
12345)
12346)
12347second (MLText
12348va (VaSet
12349)
12350tm "PortMapTextMgr"
12351)
12352)
12353)
12354defaultGenFrame (Frame
12355shape (RectFrame
12356va (VaSet
12357vasetType 1
12358fg "65535,65535,65535"
12359lineColor "26368,26368,26368"
12360lineStyle 2
12361lineWidth 2
12362)
12363xt "0,0,20000,20000"
12364)
12365title (TextAssociate
12366ps "TopLeftStrategy"
12367text (MLText
12368va (VaSet
12369)
12370xt "0,-1100,12900,-100"
12371st "g0: FOR i IN 0 TO n GENERATE"
12372tm "FrameTitleTextMgr"
12373)
12374)
12375seqNum (FrameSequenceNumber
12376ps "TopLeftStrategy"
12377shape (Rectangle
12378va (VaSet
12379vasetType 1
12380fg "65535,65535,65535"
12381)
12382xt "50,50,1250,1450"
12383)
12384num (Text
12385va (VaSet
12386)
12387xt "250,250,1050,1250"
12388st "1"
12389blo "250,1050"
12390tm "FrameSeqNumMgr"
12391)
12392)
12393decls (MlTextGroup
12394ps "BottomRightOffsetStrategy"
12395stg "VerticalLayoutStrategy"
12396textVec [
12397*386 (Text
12398va (VaSet
12399font "Arial,8,1"
12400)
12401xt "14100,20000,22000,21000"
12402st "Frame Declarations"
12403blo "14100,20800"
12404)
12405*387 (MLText
12406va (VaSet
12407)
12408xt "14100,21000,14100,21000"
12409tm "BdFrameDeclTextMgr"
12410)
12411]
12412)
12413)
12414defaultBlockFrame (Frame
12415shape (RectFrame
12416va (VaSet
12417vasetType 1
12418fg "65535,65535,65535"
12419lineColor "26368,26368,26368"
12420lineStyle 1
12421lineWidth 2
12422)
12423xt "0,0,20000,20000"
12424)
12425title (TextAssociate
12426ps "TopLeftStrategy"
12427text (MLText
12428va (VaSet
12429)
12430xt "0,-1100,7700,-100"
12431st "b0: BLOCK (guard)"
12432tm "FrameTitleTextMgr"
12433)
12434)
12435seqNum (FrameSequenceNumber
12436ps "TopLeftStrategy"
12437shape (Rectangle
12438va (VaSet
12439vasetType 1
12440fg "65535,65535,65535"
12441)
12442xt "50,50,1250,1450"
12443)
12444num (Text
12445va (VaSet
12446)
12447xt "250,250,1050,1250"
12448st "1"
12449blo "250,1050"
12450tm "FrameSeqNumMgr"
12451)
12452)
12453decls (MlTextGroup
12454ps "BottomRightOffsetStrategy"
12455stg "VerticalLayoutStrategy"
12456textVec [
12457*388 (Text
12458va (VaSet
12459font "Arial,8,1"
12460)
12461xt "14100,20000,22000,21000"
12462st "Frame Declarations"
12463blo "14100,20800"
12464)
12465*389 (MLText
12466va (VaSet
12467)
12468xt "14100,21000,14100,21000"
12469tm "BdFrameDeclTextMgr"
12470)
12471]
12472)
12473style 3
12474)
12475defaultSaCptPort (CptPort
12476ps "OnEdgeStrategy"
12477shape (Triangle
12478ro 90
12479va (VaSet
12480vasetType 1
12481fg "0,65535,0"
12482)
12483xt "0,0,750,750"
12484)
12485tg (CPTG
12486ps "CptPortTextPlaceStrategy"
12487stg "VerticalLayoutStrategy"
12488f (Text
12489va (VaSet
12490)
12491xt "0,750,1800,1750"
12492st "Port"
12493blo "0,1550"
12494)
12495)
12496thePort (LogicalPort
12497decl (Decl
12498n "Port"
12499t ""
12500o 0
12501)
12502)
12503)
12504defaultSaCptPortBuffer (CptPort
12505ps "OnEdgeStrategy"
12506shape (Diamond
12507va (VaSet
12508vasetType 1
12509fg "65535,65535,65535"
12510)
12511xt "0,0,750,750"
12512)
12513tg (CPTG
12514ps "CptPortTextPlaceStrategy"
12515stg "VerticalLayoutStrategy"
12516f (Text
12517va (VaSet
12518)
12519xt "0,750,1800,1750"
12520st "Port"
12521blo "0,1550"
12522)
12523)
12524thePort (LogicalPort
12525m 3
12526decl (Decl
12527n "Port"
12528t ""
12529o 0
12530)
12531)
12532)
12533defaultDeclText (MLText
12534va (VaSet
12535font "Courier New,8,0"
12536)
12537)
12538archDeclarativeBlock (BdArchDeclBlock
12539uid 1,0
12540stg "BdArchDeclBlockLS"
12541declLabel (Text
12542uid 2,0
12543va (VaSet
12544font "Arial,8,1"
12545)
12546xt "37000,1800,42400,2800"
12547st "Declarations"
12548blo "37000,2600"
12549)
12550portLabel (Text
12551uid 3,0
12552va (VaSet
12553font "Arial,8,1"
12554)
12555xt "37000,2800,39700,3800"
12556st "Ports:"
12557blo "37000,3600"
12558)
12559preUserLabel (Text
12560uid 4,0
12561va (VaSet
12562isHidden 1
12563font "Arial,8,1"
12564)
12565xt "37000,1800,40800,2800"
12566st "Pre User:"
12567blo "37000,2600"
12568)
12569preUserText (MLText
12570uid 5,0
12571va (VaSet
12572isHidden 1
12573font "Courier New,8,0"
12574)
12575xt "37000,1800,37000,1800"
12576tm "BdDeclarativeTextMgr"
12577)
12578diagSignalLabel (Text
12579uid 6,0
12580va (VaSet
12581font "Arial,8,1"
12582)
12583xt "37000,45400,44100,46400"
12584st "Diagram Signals:"
12585blo "37000,46200"
12586)
12587postUserLabel (Text
12588uid 7,0
12589va (VaSet
12590isHidden 1
12591font "Arial,8,1"
12592)
12593xt "37000,1800,41700,2800"
12594st "Post User:"
12595blo "37000,2600"
12596)
12597postUserText (MLText
12598uid 8,0
12599va (VaSet
12600isHidden 1
12601font "Courier New,8,0"
12602)
12603xt "37000,1800,37000,1800"
12604tm "BdDeclarativeTextMgr"
12605)
12606)
12607commonDM (CommonDM
12608ldm (LogicalDM
12609suid 249,0
12610usingSuid 1
12611emptyRow *390 (LEmptyRow
12612)
12613uid 54,0
12614optionalChildren [
12615*391 (RefLabelRowHdr
12616)
12617*392 (TitleRowHdr
12618)
12619*393 (FilterRowHdr
12620)
12621*394 (RefLabelColHdr
12622tm "RefLabelColHdrMgr"
12623)
12624*395 (RowExpandColHdr
12625tm "RowExpandColHdrMgr"
12626)
12627*396 (GroupColHdr
12628tm "GroupColHdrMgr"
12629)
12630*397 (NameColHdr
12631tm "BlockDiagramNameColHdrMgr"
12632)
12633*398 (ModeColHdr
12634tm "BlockDiagramModeColHdrMgr"
12635)
12636*399 (TypeColHdr
12637tm "BlockDiagramTypeColHdrMgr"
12638)
12639*400 (BoundsColHdr
12640tm "BlockDiagramBoundsColHdrMgr"
12641)
12642*401 (InitColHdr
12643tm "BlockDiagramInitColHdrMgr"
12644)
12645*402 (EolColHdr
12646tm "BlockDiagramEolColHdrMgr"
12647)
12648*403 (LeafLogPort
12649port (LogicalPort
12650m 4
12651decl (Decl
12652n "board_id"
12653t "std_logic_vector"
12654b "(3 downto 0)"
12655preAdd 0
12656posAdd 0
12657o 60
12658suid 5,0
12659)
12660)
12661uid 327,0
12662)
12663*404 (LeafLogPort
12664port (LogicalPort
12665m 4
12666decl (Decl
12667n "crate_id"
12668t "std_logic_vector"
12669b "(1 downto 0)"
12670o 62
12671suid 6,0
12672)
12673)
12674uid 329,0
12675)
12676*405 (LeafLogPort
12677port (LogicalPort
12678m 4
12679decl (Decl
12680n "adc_data_array"
12681t "adc_data_array_type"
12682o 57
12683suid 29,0
12684)
12685)
12686uid 1491,0
12687)
12688*406 (LeafLogPort
12689port (LogicalPort
12690m 1
12691decl (Decl
12692n "RSRLOAD"
12693t "std_logic"
12694o 40
12695suid 57,0
12696i "'0'"
12697)
12698)
12699uid 2435,0
12700)
12701*407 (LeafLogPort
12702port (LogicalPort
12703m 1
12704decl (Decl
12705n "DAC_CS"
12706t "std_logic"
12707o 22
12708suid 66,0
12709)
12710)
12711uid 3039,0
12712)
12713*408 (LeafLogPort
12714port (LogicalPort
12715decl (Decl
12716n "X_50M"
12717t "STD_LOGIC"
12718preAdd 0
12719posAdd 0
12720o 17
12721suid 67,0
12722)
12723)
12724uid 3276,0
12725)
12726*409 (LeafLogPort
12727port (LogicalPort
12728decl (Decl
12729n "TRG"
12730t "STD_LOGIC"
12731o 15
12732suid 68,0
12733)
12734)
12735uid 3278,0
12736)
12737*410 (LeafLogPort
12738port (LogicalPort
12739m 1
12740decl (Decl
12741n "A_CLK"
12742t "std_logic_vector"
12743b "(3 downto 0)"
12744o 21
12745suid 71,0
12746)
12747)
12748uid 3280,0
12749)
12750*411 (LeafLogPort
12751port (LogicalPort
12752m 1
12753decl (Decl
12754n "OE_ADC"
12755t "STD_LOGIC"
12756preAdd 0
12757posAdd 0
12758o 32
12759suid 73,0
12760)
12761)
12762uid 3382,0
12763)
12764*412 (LeafLogPort
12765port (LogicalPort
12766decl (Decl
12767n "A_OTR"
12768t "std_logic_vector"
12769b "(3 DOWNTO 0)"
12770o 5
12771suid 74,0
12772)
12773)
12774uid 3384,0
12775)
12776*413 (LeafLogPort
12777port (LogicalPort
12778decl (Decl
12779n "A0_D"
12780t "std_logic_vector"
12781b "(11 DOWNTO 0)"
12782o 1
12783suid 79,0
12784)
12785)
12786uid 3386,0
12787)
12788*414 (LeafLogPort
12789port (LogicalPort
12790decl (Decl
12791n "A1_D"
12792t "std_logic_vector"
12793b "(11 DOWNTO 0)"
12794o 2
12795suid 80,0
12796)
12797)
12798uid 3388,0
12799)
12800*415 (LeafLogPort
12801port (LogicalPort
12802decl (Decl
12803n "A2_D"
12804t "std_logic_vector"
12805b "(11 DOWNTO 0)"
12806o 3
12807suid 81,0
12808)
12809)
12810uid 3390,0
12811)
12812*416 (LeafLogPort
12813port (LogicalPort
12814decl (Decl
12815n "A3_D"
12816t "std_logic_vector"
12817b "(11 DOWNTO 0)"
12818o 4
12819suid 82,0
12820)
12821)
12822uid 3392,0
12823)
12824*417 (LeafLogPort
12825port (LogicalPort
12826decl (Decl
12827n "D0_SROUT"
12828t "std_logic"
12829o 6
12830suid 91,0
12831)
12832)
12833uid 3524,0
12834)
12835*418 (LeafLogPort
12836port (LogicalPort
12837decl (Decl
12838n "D1_SROUT"
12839t "std_logic"
12840o 7
12841suid 92,0
12842)
12843)
12844uid 3526,0
12845)
12846*419 (LeafLogPort
12847port (LogicalPort
12848decl (Decl
12849n "D2_SROUT"
12850t "std_logic"
12851o 8
12852suid 93,0
12853)
12854)
12855uid 3528,0
12856)
12857*420 (LeafLogPort
12858port (LogicalPort
12859decl (Decl
12860n "D3_SROUT"
12861t "std_logic"
12862o 9
12863suid 94,0
12864)
12865)
12866uid 3530,0
12867)
12868*421 (LeafLogPort
12869port (LogicalPort
12870m 1
12871decl (Decl
12872n "D_A"
12873t "std_logic_vector"
12874b "(3 DOWNTO 0)"
12875o 26
12876suid 95,0
12877i "(others => '0')"
12878)
12879)
12880uid 3532,0
12881)
12882*422 (LeafLogPort
12883port (LogicalPort
12884m 1
12885decl (Decl
12886n "DWRITE"
12887t "std_logic"
12888o 25
12889suid 96,0
12890i "'0'"
12891)
12892)
12893uid 3534,0
12894)
12895*423 (LeafLogPort
12896port (LogicalPort
12897m 1
12898decl (Decl
12899n "S_CLK"
12900t "std_logic"
12901o 42
12902suid 105,0
12903)
12904)
12905uid 3654,0
12906)
12907*424 (LeafLogPort
12908port (LogicalPort
12909m 1
12910decl (Decl
12911n "W_A"
12912t "std_logic_vector"
12913b "(9 DOWNTO 0)"
12914o 45
12915suid 106,0
12916)
12917)
12918uid 3656,0
12919)
12920*425 (LeafLogPort
12921port (LogicalPort
12922m 2
12923decl (Decl
12924n "W_D"
12925t "std_logic_vector"
12926b "(15 DOWNTO 0)"
12927o 52
12928suid 107,0
12929)
12930)
12931uid 3658,0
12932)
12933*426 (LeafLogPort
12934port (LogicalPort
12935m 1
12936decl (Decl
12937n "W_RES"
12938t "std_logic"
12939o 48
12940suid 108,0
12941i "'1'"
12942)
12943)
12944uid 3660,0
12945)
12946*427 (LeafLogPort
12947port (LogicalPort
12948m 1
12949decl (Decl
12950n "W_RD"
12951t "std_logic"
12952o 47
12953suid 109,0
12954i "'1'"
12955)
12956)
12957uid 3662,0
12958)
12959*428 (LeafLogPort
12960port (LogicalPort
12961m 1
12962decl (Decl
12963n "W_WR"
12964t "std_logic"
12965o 50
12966suid 110,0
12967i "'1'"
12968)
12969)
12970uid 3664,0
12971)
12972*429 (LeafLogPort
12973port (LogicalPort
12974decl (Decl
12975n "W_INT"
12976t "std_logic"
12977o 16
12978suid 111,0
12979)
12980)
12981uid 3666,0
12982)
12983*430 (LeafLogPort
12984port (LogicalPort
12985m 1
12986decl (Decl
12987n "W_CS"
12988t "std_logic"
12989o 46
12990suid 112,0
12991i "'1'"
12992)
12993)
12994uid 3668,0
12995)
12996*431 (LeafLogPort
12997port (LogicalPort
12998m 1
12999decl (Decl
13000n "MOSI"
13001t "std_logic"
13002o 31
13003suid 113,0
13004i "'0'"
13005)
13006)
13007uid 3696,0
13008)
13009*432 (LeafLogPort
13010port (LogicalPort
13011m 2
13012decl (Decl
13013n "MISO"
13014t "std_logic"
13015preAdd 0
13016posAdd 0
13017o 51
13018suid 114,0
13019)
13020)
13021uid 3698,0
13022)
13023*433 (LeafLogPort
13024port (LogicalPort
13025m 1
13026decl (Decl
13027n "RS485_C_RE"
13028t "std_logic"
13029o 36
13030suid 127,0
13031)
13032)
13033uid 3888,0
13034)
13035*434 (LeafLogPort
13036port (LogicalPort
13037m 1
13038decl (Decl
13039n "RS485_C_DE"
13040t "std_logic"
13041o 34
13042suid 128,0
13043)
13044)
13045uid 3890,0
13046)
13047*435 (LeafLogPort
13048port (LogicalPort
13049m 1
13050decl (Decl
13051n "RS485_E_RE"
13052t "std_logic"
13053o 39
13054suid 129,0
13055)
13056)
13057uid 3892,0
13058)
13059*436 (LeafLogPort
13060port (LogicalPort
13061m 1
13062decl (Decl
13063n "RS485_E_DE"
13064t "std_logic"
13065o 37
13066suid 130,0
13067)
13068)
13069uid 3894,0
13070)
13071*437 (LeafLogPort
13072port (LogicalPort
13073m 1
13074decl (Decl
13075n "DENABLE"
13076t "std_logic"
13077o 23
13078suid 131,0
13079i "'0'"
13080)
13081)
13082uid 3896,0
13083)
13084*438 (LeafLogPort
13085port (LogicalPort
13086m 1
13087decl (Decl
13088n "EE_CS"
13089t "std_logic"
13090o 29
13091suid 133,0
13092)
13093)
13094uid 3900,0
13095)
13096*439 (LeafLogPort
13097port (LogicalPort
13098m 1
13099decl (Decl
13100n "D_T"
13101t "std_logic_vector"
13102b "(7 DOWNTO 0)"
13103o 27
13104suid 141,0
13105i "(OTHERS => '0')"
13106)
13107)
13108uid 5322,0
13109)
13110*440 (LeafLogPort
13111port (LogicalPort
13112m 1
13113decl (Decl
13114n "D_T2"
13115t "std_logic_vector"
13116b "(1 DOWNTO 0)"
13117o 28
13118suid 154,0
13119i "(others => '0')"
13120)
13121)
13122uid 6872,0
13123scheme 0
13124)
13125*441 (LeafLogPort
13126port (LogicalPort
13127m 1
13128decl (Decl
13129n "A1_T"
13130t "std_logic_vector"
13131b "(7 DOWNTO 0)"
13132o 19
13133suid 155,0
13134i "(OTHERS => '0')"
13135)
13136)
13137uid 7134,0
13138scheme 0
13139)
13140*442 (LeafLogPort
13141port (LogicalPort
13142m 4
13143decl (Decl
13144n "CLK_50"
13145t "std_logic"
13146o 54
13147suid 163,0
13148)
13149)
13150uid 9516,0
13151)
13152*443 (LeafLogPort
13153port (LogicalPort
13154m 1
13155decl (Decl
13156n "A0_T"
13157t "std_logic_vector"
13158b "(7 DOWNTO 0)"
13159o 18
13160suid 166,0
13161i "(others => '0')"
13162)
13163)
13164uid 10294,0
13165scheme 0
13166)
13167*444 (LeafLogPort
13168port (LogicalPort
13169m 1
13170decl (Decl
13171n "RS485_C_DO"
13172t "std_logic"
13173o 35
13174suid 198,0
13175)
13176)
13177uid 11086,0
13178scheme 0
13179)
13180*445 (LeafLogPort
13181port (LogicalPort
13182decl (Decl
13183n "RS485_E_DI"
13184t "std_logic"
13185o 14
13186suid 200,0
13187)
13188)
13189uid 11504,0
13190scheme 0
13191)
13192*446 (LeafLogPort
13193port (LogicalPort
13194m 1
13195decl (Decl
13196n "RS485_E_DO"
13197t "std_logic"
13198o 38
13199suid 201,0
13200)
13201)
13202uid 11506,0
13203scheme 0
13204)
13205*447 (LeafLogPort
13206port (LogicalPort
13207m 1
13208decl (Decl
13209n "SRIN"
13210t "std_logic"
13211o 41
13212suid 203,0
13213i "'0'"
13214)
13215)
13216uid 12336,0
13217)
13218*448 (LeafLogPort
13219port (LogicalPort
13220m 1
13221decl (Decl
13222n "AMBER_LED"
13223t "std_logic"
13224o 20
13225suid 207,0
13226)
13227)
13228uid 12768,0
13229)
13230*449 (LeafLogPort
13231port (LogicalPort
13232m 1
13233decl (Decl
13234n "GREEN_LED"
13235t "std_logic"
13236o 30
13237suid 208,0
13238)
13239)
13240uid 12770,0
13241)
13242*450 (LeafLogPort
13243port (LogicalPort
13244m 1
13245decl (Decl
13246n "RED_LED"
13247t "std_logic"
13248o 33
13249suid 209,0
13250)
13251)
13252uid 12772,0
13253)
13254*451 (LeafLogPort
13255port (LogicalPort
13256decl (Decl
13257n "LINE"
13258t "std_logic_vector"
13259b "( 5 DOWNTO 0 )"
13260o 12
13261suid 210,0
13262)
13263)
13264uid 13514,0
13265scheme 0
13266)
13267*452 (LeafLogPort
13268port (LogicalPort
13269decl (Decl
13270n "REFCLK"
13271t "std_logic"
13272o 13
13273suid 211,0
13274)
13275)
13276uid 13626,0
13277scheme 0
13278)
13279*453 (LeafLogPort
13280port (LogicalPort
13281decl (Decl
13282n "D_T_in"
13283t "std_logic_vector"
13284b "(1 DOWNTO 0)"
13285o 11
13286suid 213,0
13287)
13288)
13289uid 14320,0
13290scheme 0
13291)
13292*454 (LeafLogPort
13293port (LogicalPort
13294m 4
13295decl (Decl
13296n "led"
13297t "std_logic_vector"
13298b "(7 DOWNTO 0)"
13299posAdd 0
13300o 65
13301suid 215,0
13302i "(OTHERS => '0')"
13303)
13304)
13305uid 15181,0
13306)
13307*455 (LeafLogPort
13308port (LogicalPort
13309decl (Decl
13310n "D_PLLLCK"
13311t "std_logic_vector"
13312b "(3 DOWNTO 0)"
13313o 10
13314suid 216,0
13315)
13316)
13317uid 15704,0
13318scheme 0
13319)
13320*456 (LeafLogPort
13321port (LogicalPort
13322m 1
13323decl (Decl
13324n "TCS"
13325t "std_logic_vector"
13326b "(3 DOWNTO 0)"
13327o 43
13328suid 217,0
13329)
13330)
13331uid 15843,0
13332scheme 0
13333)
13334*457 (LeafLogPort
13335port (LogicalPort
13336m 1
13337decl (Decl
13338n "DSRCLK"
13339t "std_logic_vector"
13340b "(3 DOWNTO 0)"
13341o 24
13342suid 222,0
13343i "(others => '0')"
13344)
13345)
13346uid 16055,0
13347scheme 0
13348)
13349*458 (LeafLogPort
13350port (LogicalPort
13351m 4
13352decl (Decl
13353n "SRCLK"
13354t "std_logic"
13355o 56
13356suid 225,0
13357i "'0'"
13358)
13359)
13360uid 16253,0
13361)
13362*459 (LeafLogPort
13363port (LogicalPort
13364m 4
13365decl (Decl
13366n "alarm_refclk_too_high"
13367t "std_logic"
13368o 58
13369suid 226,0
13370i "'0'"
13371)
13372)
13373uid 16582,0
13374)
13375*460 (LeafLogPort
13376port (LogicalPort
13377m 4
13378decl (Decl
13379n "alarm_refclk_too_low"
13380t "std_logic"
13381o 59
13382suid 227,0
13383i "'0'"
13384)
13385)
13386uid 16584,0
13387)
13388*461 (LeafLogPort
13389port (LogicalPort
13390m 4
13391decl (Decl
13392n "counter_result"
13393t "std_logic_vector"
13394b "(11 downto 0)"
13395o 61
13396suid 230,0
13397i "(others => '0')"
13398)
13399)
13400uid 16586,0
13401)
13402*462 (LeafLogPort
13403port (LogicalPort
13404lang 2
13405m 4
13406decl (Decl
13407n "ADC_CLK"
13408t "std_logic"
13409o 53
13410suid 231,0
13411)
13412)
13413uid 17310,0
13414)
13415*463 (LeafLogPort
13416port (LogicalPort
13417lang 2
13418m 1
13419decl (Decl
13420n "TRG_V"
13421t "std_logic"
13422o 44
13423suid 232,0
13424i "'0'"
13425)
13426)
13427uid 17399,0
13428scheme 0
13429)
13430*464 (LeafLogPort
13431port (LogicalPort
13432m 4
13433decl (Decl
13434n "w5300_state"
13435t "std_logic_vector"
13436b "(7 DOWNTO 0)"
13437eolc "-- state is encoded here ... useful for debugging."
13438posAdd 0
13439o 68
13440suid 233,0
13441)
13442)
13443uid 17854,0
13444)
13445*465 (LeafLogPort
13446port (LogicalPort
13447m 4
13448decl (Decl
13449n "debug_data_ram_empty"
13450t "std_logic"
13451o 63
13452suid 234,0
13453)
13454)
13455uid 18082,0
13456)
13457*466 (LeafLogPort
13458port (LogicalPort
13459m 4
13460decl (Decl
13461n "debug_data_valid"
13462t "std_logic"
13463o 64
13464suid 235,0
13465)
13466)
13467uid 18084,0
13468)
13469*467 (LeafLogPort
13470port (LogicalPort
13471lang 2
13472m 4
13473decl (Decl
13474n "mem_manager_state"
13475t "std_logic_vector"
13476b "(3 DOWNTO 0)"
13477eolc "-- state is encoded here ... useful for debugging."
13478posAdd 0
13479o 66
13480suid 237,0
13481)
13482)
13483uid 18213,0
13484)
13485*468 (LeafLogPort
13486port (LogicalPort
13487m 4
13488decl (Decl
13489n "DG_state"
13490t "std_logic_vector"
13491b "(7 downto 0)"
13492prec "-- for debugging"
13493preAdd 0
13494o 55
13495suid 238,0
13496)
13497)
13498uid 18334,0
13499)
13500*469 (LeafLogPort
13501port (LogicalPort
13502m 4
13503decl (Decl
13504n "socket_tx_free_out"
13505t "std_logic_vector"
13506b "(16 DOWNTO 0)"
13507eolc "-- 17bit value .. that's true"
13508posAdd 0
13509o 67
13510suid 239,0
13511)
13512)
13513uid 18483,0
13514)
13515*470 (LeafLogPort
13516port (LogicalPort
13517m 1
13518decl (Decl
13519n "W_T"
13520t "std_logic_vector"
13521b "( 3 DOWNTO 0 )"
13522o 49
13523suid 240,0
13524i "(others => '0')"
13525)
13526)
13527uid 18800,0
13528scheme 0
13529)
13530*471 (LeafLogPort
13531port (LogicalPort
13532m 4
13533decl (Decl
13534n "dac_cs1"
13535t "std_logic"
13536o 69
13537suid 241,0
13538)
13539)
13540uid 19557,0
13541)
13542*472 (LeafLogPort
13543port (LogicalPort
13544m 4
13545decl (Decl
13546n "sensor_cs"
13547t "std_logic_vector"
13548b "(3 DOWNTO 0)"
13549o 70
13550suid 242,0
13551)
13552)
13553uid 19559,0
13554)
13555*473 (LeafLogPort
13556port (LogicalPort
13557m 4
13558decl (Decl
13559n "sclk"
13560t "std_logic"
13561o 71
13562suid 243,0
13563)
13564)
13565uid 19561,0
13566)
13567*474 (LeafLogPort
13568port (LogicalPort
13569m 4
13570decl (Decl
13571n "mosi1"
13572t "std_logic"
13573o 72
13574suid 245,0
13575)
13576)
13577uid 19563,0
13578)
13579*475 (LeafLogPort
13580port (LogicalPort
13581m 4
13582decl (Decl
13583n "trigger_veto"
13584t "std_logic"
13585o 73
13586suid 249,0
13587i "'1'"
13588)
13589)
13590uid 20225,0
13591)
13592]
13593)
13594pdm (PhysicalDM
13595displayShortBounds 1
13596editShortBounds 1
13597uid 67,0
13598optionalChildren [
13599*476 (Sheet
13600sheetRow (SheetRow
13601headerVa (MVa
13602cellColor "49152,49152,49152"
13603fontColor "0,0,0"
13604font "Tahoma,10,0"
13605)
13606cellVa (MVa
13607cellColor "65535,65535,65535"
13608fontColor "0,0,0"
13609font "Tahoma,10,0"
13610)
13611groupVa (MVa
13612cellColor "39936,56832,65280"
13613fontColor "0,0,0"
13614font "Tahoma,10,0"
13615)
13616emptyMRCItem *477 (MRCItem
13617litem &390
13618pos 73
13619dimension 20
13620)
13621uid 69,0
13622optionalChildren [
13623*478 (MRCItem
13624litem &391
13625pos 0
13626dimension 20
13627uid 70,0
13628)
13629*479 (MRCItem
13630litem &392
13631pos 1
13632dimension 23
13633uid 71,0
13634)
13635*480 (MRCItem
13636litem &393
13637pos 2
13638hidden 1
13639dimension 20
13640uid 72,0
13641)
13642*481 (MRCItem
13643litem &403
13644pos 52
13645dimension 20
13646uid 328,0
13647)
13648*482 (MRCItem
13649litem &404
13650pos 53
13651dimension 20
13652uid 330,0
13653)
13654*483 (MRCItem
13655litem &405
13656pos 54
13657dimension 20
13658uid 1492,0
13659)
13660*484 (MRCItem
13661litem &406
13662pos 0
13663dimension 20
13664uid 2436,0
13665)
13666*485 (MRCItem
13667litem &407
13668pos 1
13669dimension 20
13670uid 3040,0
13671)
13672*486 (MRCItem
13673litem &408
13674pos 2
13675dimension 20
13676uid 3277,0
13677)
13678*487 (MRCItem
13679litem &409
13680pos 3
13681dimension 20
13682uid 3279,0
13683)
13684*488 (MRCItem
13685litem &410
13686pos 4
13687dimension 20
13688uid 3281,0
13689)
13690*489 (MRCItem
13691litem &411
13692pos 5
13693dimension 20
13694uid 3383,0
13695)
13696*490 (MRCItem
13697litem &412
13698pos 6
13699dimension 20
13700uid 3385,0
13701)
13702*491 (MRCItem
13703litem &413
13704pos 7
13705dimension 20
13706uid 3387,0
13707)
13708*492 (MRCItem
13709litem &414
13710pos 8
13711dimension 20
13712uid 3389,0
13713)
13714*493 (MRCItem
13715litem &415
13716pos 9
13717dimension 20
13718uid 3391,0
13719)
13720*494 (MRCItem
13721litem &416
13722pos 10
13723dimension 20
13724uid 3393,0
13725)
13726*495 (MRCItem
13727litem &417
13728pos 11
13729dimension 20
13730uid 3525,0
13731)
13732*496 (MRCItem
13733litem &418
13734pos 12
13735dimension 20
13736uid 3527,0
13737)
13738*497 (MRCItem
13739litem &419
13740pos 13
13741dimension 20
13742uid 3529,0
13743)
13744*498 (MRCItem
13745litem &420
13746pos 14
13747dimension 20
13748uid 3531,0
13749)
13750*499 (MRCItem
13751litem &421
13752pos 15
13753dimension 20
13754uid 3533,0
13755)
13756*500 (MRCItem
13757litem &422
13758pos 16
13759dimension 20
13760uid 3535,0
13761)
13762*501 (MRCItem
13763litem &423
13764pos 17
13765dimension 20
13766uid 3655,0
13767)
13768*502 (MRCItem
13769litem &424
13770pos 18
13771dimension 20
13772uid 3657,0
13773)
13774*503 (MRCItem
13775litem &425
13776pos 19
13777dimension 20
13778uid 3659,0
13779)
13780*504 (MRCItem
13781litem &426
13782pos 20
13783dimension 20
13784uid 3661,0
13785)
13786*505 (MRCItem
13787litem &427
13788pos 21
13789dimension 20
13790uid 3663,0
13791)
13792*506 (MRCItem
13793litem &428
13794pos 22
13795dimension 20
13796uid 3665,0
13797)
13798*507 (MRCItem
13799litem &429
13800pos 23
13801dimension 20
13802uid 3667,0
13803)
13804*508 (MRCItem
13805litem &430
13806pos 24
13807dimension 20
13808uid 3669,0
13809)
13810*509 (MRCItem
13811litem &431
13812pos 25
13813dimension 20
13814uid 3697,0
13815)
13816*510 (MRCItem
13817litem &432
13818pos 26
13819dimension 20
13820uid 3699,0
13821)
13822*511 (MRCItem
13823litem &433
13824pos 27
13825dimension 20
13826uid 3889,0
13827)
13828*512 (MRCItem
13829litem &434
13830pos 28
13831dimension 20
13832uid 3891,0
13833)
13834*513 (MRCItem
13835litem &435
13836pos 29
13837dimension 20
13838uid 3893,0
13839)
13840*514 (MRCItem
13841litem &436
13842pos 30
13843dimension 20
13844uid 3895,0
13845)
13846*515 (MRCItem
13847litem &437
13848pos 31
13849dimension 20
13850uid 3897,0
13851)
13852*516 (MRCItem
13853litem &438
13854pos 32
13855dimension 20
13856uid 3901,0
13857)
13858*517 (MRCItem
13859litem &439
13860pos 33
13861dimension 20
13862uid 5323,0
13863)
13864*518 (MRCItem
13865litem &440
13866pos 34
13867dimension 20
13868uid 6873,0
13869)
13870*519 (MRCItem
13871litem &441
13872pos 35
13873dimension 20
13874uid 7135,0
13875)
13876*520 (MRCItem
13877litem &442
13878pos 55
13879dimension 20
13880uid 9517,0
13881)
13882*521 (MRCItem
13883litem &443
13884pos 36
13885dimension 20
13886uid 10295,0
13887)
13888*522 (MRCItem
13889litem &444
13890pos 37
13891dimension 20
13892uid 11087,0
13893)
13894*523 (MRCItem
13895litem &445
13896pos 38
13897dimension 20
13898uid 11505,0
13899)
13900*524 (MRCItem
13901litem &446
13902pos 39
13903dimension 20
13904uid 11507,0
13905)
13906*525 (MRCItem
13907litem &447
13908pos 40
13909dimension 20
13910uid 12337,0
13911)
13912*526 (MRCItem
13913litem &448
13914pos 41
13915dimension 20
13916uid 12769,0
13917)
13918*527 (MRCItem
13919litem &449
13920pos 42
13921dimension 20
13922uid 12771,0
13923)
13924*528 (MRCItem
13925litem &450
13926pos 43
13927dimension 20
13928uid 12773,0
13929)
13930*529 (MRCItem
13931litem &451
13932pos 44
13933dimension 20
13934uid 13515,0
13935)
13936*530 (MRCItem
13937litem &452
13938pos 45
13939dimension 20
13940uid 13627,0
13941)
13942*531 (MRCItem
13943litem &453
13944pos 46
13945dimension 20
13946uid 14321,0
13947)
13948*532 (MRCItem
13949litem &454
13950pos 56
13951dimension 20
13952uid 15182,0
13953)
13954*533 (MRCItem
13955litem &455
13956pos 47
13957dimension 20
13958uid 15705,0
13959)
13960*534 (MRCItem
13961litem &456
13962pos 48
13963dimension 20
13964uid 15844,0
13965)
13966*535 (MRCItem
13967litem &457
13968pos 49
13969dimension 20
13970uid 16056,0
13971)
13972*536 (MRCItem
13973litem &458
13974pos 57
13975dimension 20
13976uid 16254,0
13977)
13978*537 (MRCItem
13979litem &459
13980pos 58
13981dimension 20
13982uid 16583,0
13983)
13984*538 (MRCItem
13985litem &460
13986pos 59
13987dimension 20
13988uid 16585,0
13989)
13990*539 (MRCItem
13991litem &461
13992pos 60
13993dimension 20
13994uid 16587,0
13995)
13996*540 (MRCItem
13997litem &462
13998pos 61
13999dimension 20
14000uid 17311,0
14001)
14002*541 (MRCItem
14003litem &463
14004pos 50
14005dimension 20
14006uid 17400,0
14007)
14008*542 (MRCItem
14009litem &464
14010pos 62
14011dimension 20
14012uid 17855,0
14013)
14014*543 (MRCItem
14015litem &465
14016pos 63
14017dimension 20
14018uid 18083,0
14019)
14020*544 (MRCItem
14021litem &466
14022pos 64
14023dimension 20
14024uid 18085,0
14025)
14026*545 (MRCItem
14027litem &467
14028pos 65
14029dimension 20
14030uid 18214,0
14031)
14032*546 (MRCItem
14033litem &468
14034pos 66
14035dimension 20
14036uid 18335,0
14037)
14038*547 (MRCItem
14039litem &469
14040pos 67
14041dimension 20
14042uid 18484,0
14043)
14044*548 (MRCItem
14045litem &470
14046pos 51
14047dimension 20
14048uid 18801,0
14049)
14050*549 (MRCItem
14051litem &471
14052pos 68
14053dimension 20
14054uid 19558,0
14055)
14056*550 (MRCItem
14057litem &472
14058pos 69
14059dimension 20
14060uid 19560,0
14061)
14062*551 (MRCItem
14063litem &473
14064pos 70
14065dimension 20
14066uid 19562,0
14067)
14068*552 (MRCItem
14069litem &474
14070pos 71
14071dimension 20
14072uid 19564,0
14073)
14074*553 (MRCItem
14075litem &475
14076pos 72
14077dimension 20
14078uid 20226,0
14079)
14080]
14081)
14082sheetCol (SheetCol
14083propVa (MVa
14084cellColor "0,49152,49152"
14085fontColor "0,0,0"
14086font "Tahoma,10,0"
14087textAngle 90
14088)
14089uid 73,0
14090optionalChildren [
14091*554 (MRCItem
14092litem &394
14093pos 0
14094dimension 20
14095uid 74,0
14096)
14097*555 (MRCItem
14098litem &396
14099pos 1
14100dimension 50
14101uid 75,0
14102)
14103*556 (MRCItem
14104litem &397
14105pos 2
14106dimension 100
14107uid 76,0
14108)
14109*557 (MRCItem
14110litem &398
14111pos 3
14112dimension 50
14113uid 77,0
14114)
14115*558 (MRCItem
14116litem &399
14117pos 4
14118dimension 100
14119uid 78,0
14120)
14121*559 (MRCItem
14122litem &400
14123pos 5
14124dimension 100
14125uid 79,0
14126)
14127*560 (MRCItem
14128litem &401
14129pos 6
14130dimension 182
14131uid 80,0
14132)
14133*561 (MRCItem
14134litem &402
14135pos 7
14136dimension 80
14137uid 81,0
14138)
14139]
14140)
14141fixedCol 4
14142fixedRow 2
14143name "Ports"
14144uid 68,0
14145vaOverrides [
14146]
14147)
14148]
14149)
14150uid 53,0
14151)
14152genericsCommonDM (CommonDM
14153ldm (LogicalDM
14154emptyRow *562 (LEmptyRow
14155)
14156uid 83,0
14157optionalChildren [
14158*563 (RefLabelRowHdr
14159)
14160*564 (TitleRowHdr
14161)
14162*565 (FilterRowHdr
14163)
14164*566 (RefLabelColHdr
14165tm "RefLabelColHdrMgr"
14166)
14167*567 (RowExpandColHdr
14168tm "RowExpandColHdrMgr"
14169)
14170*568 (GroupColHdr
14171tm "GroupColHdrMgr"
14172)
14173*569 (NameColHdr
14174tm "GenericNameColHdrMgr"
14175)
14176*570 (TypeColHdr
14177tm "GenericTypeColHdrMgr"
14178)
14179*571 (InitColHdr
14180tm "GenericValueColHdrMgr"
14181)
14182*572 (PragmaColHdr
14183tm "GenericPragmaColHdrMgr"
14184)
14185*573 (EolColHdr
14186tm "GenericEolColHdrMgr"
14187)
14188]
14189)
14190pdm (PhysicalDM
14191displayShortBounds 1
14192editShortBounds 1
14193uid 95,0
14194optionalChildren [
14195*574 (Sheet
14196sheetRow (SheetRow
14197headerVa (MVa
14198cellColor "49152,49152,49152"
14199fontColor "0,0,0"
14200font "Tahoma,10,0"
14201)
14202cellVa (MVa
14203cellColor "65535,65535,65535"
14204fontColor "0,0,0"
14205font "Tahoma,10,0"
14206)
14207groupVa (MVa
14208cellColor "39936,56832,65280"
14209fontColor "0,0,0"
14210font "Tahoma,10,0"
14211)
14212emptyMRCItem *575 (MRCItem
14213litem &562
14214pos 0
14215dimension 20
14216)
14217uid 97,0
14218optionalChildren [
14219*576 (MRCItem
14220litem &563
14221pos 0
14222dimension 20
14223uid 98,0
14224)
14225*577 (MRCItem
14226litem &564
14227pos 1
14228dimension 23
14229uid 99,0
14230)
14231*578 (MRCItem
14232litem &565
14233pos 2
14234hidden 1
14235dimension 20
14236uid 100,0
14237)
14238]
14239)
14240sheetCol (SheetCol
14241propVa (MVa
14242cellColor "0,49152,49152"
14243fontColor "0,0,0"
14244font "Tahoma,10,0"
14245textAngle 90
14246)
14247uid 101,0
14248optionalChildren [
14249*579 (MRCItem
14250litem &566
14251pos 0
14252dimension 20
14253uid 102,0
14254)
14255*580 (MRCItem
14256litem &568
14257pos 1
14258dimension 50
14259uid 103,0
14260)
14261*581 (MRCItem
14262litem &569
14263pos 2
14264dimension 100
14265uid 104,0
14266)
14267*582 (MRCItem
14268litem &570
14269pos 3
14270dimension 100
14271uid 105,0
14272)
14273*583 (MRCItem
14274litem &571
14275pos 4
14276dimension 50
14277uid 106,0
14278)
14279*584 (MRCItem
14280litem &572
14281pos 5
14282dimension 50
14283uid 107,0
14284)
14285*585 (MRCItem
14286litem &573
14287pos 6
14288dimension 80
14289uid 108,0
14290)
14291]
14292)
14293fixedCol 3
14294fixedRow 2
14295name "Ports"
14296uid 96,0
14297vaOverrides [
14298]
14299)
14300]
14301)
14302uid 82,0
14303type 1
14304)
14305activeModelName "BlockDiag"
14306)
Note: See TracBrowser for help on using the repository browser.