source: firmware/FAD/FACT_FAD_lib/hds/@f@a@d_@board/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 61.0 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13]
14libraryRefs [
15"ieee"
16]
17)
18version "24.1"
19appVersion "2009.1 (Build 12)"
20model (Symbol
21commonDM (CommonDM
22ldm (LogicalDM
23suid 92,0
24usingSuid 1
25emptyRow *1 (LEmptyRow
26)
27uid 53,0
28optionalChildren [
29*2 (RefLabelRowHdr
30)
31*3 (TitleRowHdr
32)
33*4 (FilterRowHdr
34)
35*5 (RefLabelColHdr
36tm "RefLabelColHdrMgr"
37)
38*6 (RowExpandColHdr
39tm "RowExpandColHdrMgr"
40)
41*7 (GroupColHdr
42tm "GroupColHdrMgr"
43)
44*8 (NameColHdr
45tm "NameColHdrMgr"
46)
47*9 (ModeColHdr
48tm "ModeColHdrMgr"
49)
50*10 (TypeColHdr
51tm "TypeColHdrMgr"
52)
53*11 (BoundsColHdr
54tm "BoundsColHdrMgr"
55)
56*12 (InitColHdr
57tm "InitColHdrMgr"
58)
59*13 (EolColHdr
60tm "EolColHdrMgr"
61)
62*14 (LogPort
63port (LogicalPort
64m 1
65decl (Decl
66n "RSRLOAD"
67t "std_logic"
68o 40
69suid 11,0
70i "'0'"
71)
72)
73uid 690,0
74)
75*15 (LogPort
76port (LogicalPort
77decl (Decl
78n "X_50M"
79t "STD_LOGIC"
80preAdd 0
81posAdd 0
82o 17
83suid 15,0
84)
85)
86uid 1111,0
87)
88*16 (LogPort
89port (LogicalPort
90decl (Decl
91n "TRG"
92t "STD_LOGIC"
93o 15
94suid 16,0
95)
96)
97uid 1113,0
98)
99*17 (LogPort
100port (LogicalPort
101m 1
102decl (Decl
103n "A_CLK"
104t "std_logic_vector"
105b "(3 downto 0)"
106o 21
107suid 17,0
108)
109)
110uid 1115,0
111)
112*18 (LogPort
113port (LogicalPort
114m 1
115decl (Decl
116n "OE_ADC"
117t "STD_LOGIC"
118preAdd 0
119posAdd 0
120o 32
121suid 18,0
122)
123)
124uid 1155,0
125)
126*19 (LogPort
127port (LogicalPort
128decl (Decl
129n "A_OTR"
130t "std_logic_vector"
131b "(3 DOWNTO 0)"
132o 5
133suid 19,0
134)
135)
136uid 1157,0
137)
138*20 (LogPort
139port (LogicalPort
140decl (Decl
141n "A0_D"
142t "std_logic_vector"
143b "(11 DOWNTO 0)"
144o 1
145suid 20,0
146)
147)
148uid 1159,0
149)
150*21 (LogPort
151port (LogicalPort
152decl (Decl
153n "A1_D"
154t "std_logic_vector"
155b "(11 DOWNTO 0)"
156o 2
157suid 21,0
158)
159)
160uid 1161,0
161)
162*22 (LogPort
163port (LogicalPort
164decl (Decl
165n "A2_D"
166t "std_logic_vector"
167b "(11 DOWNTO 0)"
168o 3
169suid 22,0
170)
171)
172uid 1163,0
173)
174*23 (LogPort
175port (LogicalPort
176decl (Decl
177n "A3_D"
178t "std_logic_vector"
179b "(11 DOWNTO 0)"
180o 4
181suid 23,0
182)
183)
184uid 1165,0
185)
186*24 (LogPort
187port (LogicalPort
188decl (Decl
189n "D0_SROUT"
190t "std_logic"
191o 6
192suid 28,0
193)
194)
195uid 1271,0
196)
197*25 (LogPort
198port (LogicalPort
199decl (Decl
200n "D1_SROUT"
201t "std_logic"
202o 7
203suid 29,0
204)
205)
206uid 1273,0
207)
208*26 (LogPort
209port (LogicalPort
210decl (Decl
211n "D2_SROUT"
212t "std_logic"
213o 8
214suid 30,0
215)
216)
217uid 1275,0
218)
219*27 (LogPort
220port (LogicalPort
221decl (Decl
222n "D3_SROUT"
223t "std_logic"
224o 9
225suid 31,0
226)
227)
228uid 1277,0
229)
230*28 (LogPort
231port (LogicalPort
232m 1
233decl (Decl
234n "D_A"
235t "std_logic_vector"
236b "(3 DOWNTO 0)"
237o 26
238suid 32,0
239i "(others => '0')"
240)
241)
242uid 1279,0
243)
244*29 (LogPort
245port (LogicalPort
246m 1
247decl (Decl
248n "DWRITE"
249t "std_logic"
250o 25
251suid 33,0
252i "'0'"
253)
254)
255uid 1281,0
256)
257*30 (LogPort
258port (LogicalPort
259m 1
260decl (Decl
261n "DAC_CS"
262t "std_logic"
263o 22
264suid 34,0
265)
266)
267uid 1338,0
268)
269*31 (LogPort
270port (LogicalPort
271m 1
272decl (Decl
273n "S_CLK"
274t "std_logic"
275o 42
276suid 39,0
277)
278)
279uid 1348,0
280)
281*32 (LogPort
282port (LogicalPort
283m 1
284decl (Decl
285n "W_A"
286t "std_logic_vector"
287b "(9 DOWNTO 0)"
288o 45
289suid 40,0
290)
291)
292uid 1350,0
293)
294*33 (LogPort
295port (LogicalPort
296m 2
297decl (Decl
298n "W_D"
299t "std_logic_vector"
300b "(15 DOWNTO 0)"
301o 52
302suid 41,0
303)
304)
305uid 1352,0
306)
307*34 (LogPort
308port (LogicalPort
309m 1
310decl (Decl
311n "W_RES"
312t "std_logic"
313o 48
314suid 42,0
315i "'1'"
316)
317)
318uid 1354,0
319)
320*35 (LogPort
321port (LogicalPort
322m 1
323decl (Decl
324n "W_RD"
325t "std_logic"
326o 47
327suid 43,0
328i "'1'"
329)
330)
331uid 1356,0
332)
333*36 (LogPort
334port (LogicalPort
335m 1
336decl (Decl
337n "W_WR"
338t "std_logic"
339o 50
340suid 44,0
341i "'1'"
342)
343)
344uid 1358,0
345)
346*37 (LogPort
347port (LogicalPort
348decl (Decl
349n "W_INT"
350t "std_logic"
351o 16
352suid 45,0
353)
354)
355uid 1360,0
356)
357*38 (LogPort
358port (LogicalPort
359m 1
360decl (Decl
361n "W_CS"
362t "std_logic"
363o 46
364suid 46,0
365i "'1'"
366)
367)
368uid 1362,0
369)
370*39 (LogPort
371port (LogicalPort
372m 1
373decl (Decl
374n "MOSI"
375t "std_logic"
376o 31
377suid 47,0
378i "'0'"
379)
380)
381uid 1617,0
382)
383*40 (LogPort
384port (LogicalPort
385m 2
386decl (Decl
387n "MISO"
388t "std_logic"
389preAdd 0
390posAdd 0
391o 51
392suid 48,0
393)
394)
395uid 1619,0
396)
397*41 (LogPort
398port (LogicalPort
399m 1
400decl (Decl
401n "RS485_C_RE"
402t "std_logic"
403o 36
404suid 50,0
405)
406)
407uid 1657,0
408)
409*42 (LogPort
410port (LogicalPort
411m 1
412decl (Decl
413n "RS485_C_DE"
414t "std_logic"
415o 34
416suid 51,0
417)
418)
419uid 1659,0
420)
421*43 (LogPort
422port (LogicalPort
423m 1
424decl (Decl
425n "RS485_E_RE"
426t "std_logic"
427o 39
428suid 52,0
429)
430)
431uid 1661,0
432)
433*44 (LogPort
434port (LogicalPort
435m 1
436decl (Decl
437n "RS485_E_DE"
438t "std_logic"
439o 37
440suid 53,0
441)
442)
443uid 1663,0
444)
445*45 (LogPort
446port (LogicalPort
447m 1
448decl (Decl
449n "DENABLE"
450t "std_logic"
451o 23
452suid 54,0
453i "'0'"
454)
455)
456uid 1665,0
457)
458*46 (LogPort
459port (LogicalPort
460m 1
461decl (Decl
462n "SRIN"
463t "std_logic"
464o 41
465suid 55,0
466i "'0'"
467)
468)
469uid 1667,0
470)
471*47 (LogPort
472port (LogicalPort
473m 1
474decl (Decl
475n "EE_CS"
476t "std_logic"
477o 29
478suid 56,0
479)
480)
481uid 1669,0
482)
483*48 (LogPort
484port (LogicalPort
485m 1
486decl (Decl
487n "D_T"
488t "std_logic_vector"
489b "(7 DOWNTO 0)"
490o 27
491suid 61,0
492i "(OTHERS => '0')"
493)
494)
495uid 2067,0
496)
497*49 (LogPort
498port (LogicalPort
499decl (Decl
500n "D_PLLLCK"
501t "std_logic_vector"
502b "(3 DOWNTO 0)"
503o 10
504suid 64,0
505)
506)
507uid 2918,0
508)
509*50 (LogPort
510port (LogicalPort
511m 1
512decl (Decl
513n "D_T2"
514t "std_logic_vector"
515b "(1 DOWNTO 0)"
516o 28
517suid 65,0
518i "(others => '0')"
519)
520)
521uid 2948,0
522)
523*51 (LogPort
524port (LogicalPort
525m 1
526decl (Decl
527n "A1_T"
528t "std_logic_vector"
529b "(7 DOWNTO 0)"
530o 19
531suid 66,0
532i "(OTHERS => '0')"
533)
534)
535uid 3025,0
536)
537*52 (LogPort
538port (LogicalPort
539m 1
540decl (Decl
541n "A0_T"
542t "std_logic_vector"
543b "(7 DOWNTO 0)"
544o 18
545suid 68,0
546i "(others => '0')"
547)
548)
549uid 3455,0
550)
551*53 (LogPort
552port (LogicalPort
553m 1
554decl (Decl
555n "RS485_C_DO"
556t "std_logic"
557o 35
558suid 70,0
559)
560)
561uid 3580,0
562)
563*54 (LogPort
564port (LogicalPort
565decl (Decl
566n "RS485_E_DI"
567t "std_logic"
568o 14
569suid 71,0
570)
571)
572uid 3684,0
573)
574*55 (LogPort
575port (LogicalPort
576m 1
577decl (Decl
578n "RS485_E_DO"
579t "std_logic"
580o 38
581suid 72,0
582)
583)
584uid 3686,0
585)
586*56 (LogPort
587port (LogicalPort
588m 1
589decl (Decl
590n "AMBER_LED"
591t "std_logic"
592o 20
593suid 77,0
594)
595)
596uid 4028,0
597)
598*57 (LogPort
599port (LogicalPort
600m 1
601decl (Decl
602n "GREEN_LED"
603t "std_logic"
604o 30
605suid 78,0
606)
607)
608uid 4030,0
609)
610*58 (LogPort
611port (LogicalPort
612m 1
613decl (Decl
614n "RED_LED"
615t "std_logic"
616o 33
617suid 79,0
618)
619)
620uid 4032,0
621)
622*59 (LogPort
623port (LogicalPort
624decl (Decl
625n "REFCLK"
626t "std_logic"
627o 13
628suid 81,0
629)
630)
631uid 4263,0
632)
633*60 (LogPort
634port (LogicalPort
635decl (Decl
636n "LINE"
637t "std_logic_vector"
638b "( 5 DOWNTO 0 )"
639o 12
640suid 82,0
641)
642)
643uid 4293,0
644)
645*61 (LogPort
646port (LogicalPort
647decl (Decl
648n "D_T_in"
649t "std_logic_vector"
650b "(1 DOWNTO 0)"
651o 11
652suid 83,0
653)
654)
655uid 4323,0
656)
657*62 (LogPort
658port (LogicalPort
659m 1
660decl (Decl
661n "TCS"
662t "std_logic_vector"
663b "(3 DOWNTO 0)"
664o 43
665suid 84,0
666)
667)
668uid 4837,0
669)
670*63 (LogPort
671port (LogicalPort
672m 1
673decl (Decl
674n "DSRCLK"
675t "std_logic_vector"
676b "(3 DOWNTO 0)"
677o 24
678suid 89,0
679i "(others => '0')"
680)
681)
682uid 5125,0
683)
684*64 (LogPort
685port (LogicalPort
686lang 2
687m 1
688decl (Decl
689n "TRG_V"
690t "std_logic"
691o 44
692suid 90,0
693i "'0'"
694)
695)
696uid 5731,0
697)
698*65 (LogPort
699port (LogicalPort
700m 1
701decl (Decl
702n "W_T"
703t "std_logic_vector"
704b "( 3 DOWNTO 0 )"
705o 49
706suid 91,0
707i "(others => '0')"
708)
709)
710uid 6061,0
711)
712]
713)
714pdm (PhysicalDM
715displayShortBounds 1
716editShortBounds 1
717uid 66,0
718optionalChildren [
719*66 (Sheet
720sheetRow (SheetRow
721headerVa (MVa
722cellColor "49152,49152,49152"
723fontColor "0,0,0"
724font "Tahoma,10,0"
725)
726cellVa (MVa
727cellColor "65535,65535,65535"
728fontColor "0,0,0"
729font "Tahoma,10,0"
730)
731groupVa (MVa
732cellColor "39936,56832,65280"
733fontColor "0,0,0"
734font "Tahoma,10,0"
735)
736emptyMRCItem *67 (MRCItem
737litem &1
738pos 3
739dimension 20
740)
741uid 68,0
742optionalChildren [
743*68 (MRCItem
744litem &2
745pos 0
746dimension 20
747uid 69,0
748)
749*69 (MRCItem
750litem &3
751pos 1
752dimension 23
753uid 70,0
754)
755*70 (MRCItem
756litem &4
757pos 2
758hidden 1
759dimension 20
760uid 71,0
761)
762*71 (MRCItem
763litem &14
764pos 0
765dimension 20
766uid 689,0
767)
768*72 (MRCItem
769litem &15
770pos 2
771dimension 20
772uid 1110,0
773)
774*73 (MRCItem
775litem &16
776pos 3
777dimension 20
778uid 1112,0
779)
780*74 (MRCItem
781litem &17
782pos 4
783dimension 20
784uid 1114,0
785)
786*75 (MRCItem
787litem &18
788pos 5
789dimension 20
790uid 1154,0
791)
792*76 (MRCItem
793litem &19
794pos 6
795dimension 20
796uid 1156,0
797)
798*77 (MRCItem
799litem &20
800pos 7
801dimension 20
802uid 1158,0
803)
804*78 (MRCItem
805litem &21
806pos 8
807dimension 20
808uid 1160,0
809)
810*79 (MRCItem
811litem &22
812pos 9
813dimension 20
814uid 1162,0
815)
816*80 (MRCItem
817litem &23
818pos 10
819dimension 20
820uid 1164,0
821)
822*81 (MRCItem
823litem &24
824pos 11
825dimension 20
826uid 1270,0
827)
828*82 (MRCItem
829litem &25
830pos 12
831dimension 20
832uid 1272,0
833)
834*83 (MRCItem
835litem &26
836pos 13
837dimension 20
838uid 1274,0
839)
840*84 (MRCItem
841litem &27
842pos 14
843dimension 20
844uid 1276,0
845)
846*85 (MRCItem
847litem &28
848pos 15
849dimension 20
850uid 1278,0
851)
852*86 (MRCItem
853litem &29
854pos 16
855dimension 20
856uid 1280,0
857)
858*87 (MRCItem
859litem &30
860pos 1
861dimension 20
862uid 1337,0
863)
864*88 (MRCItem
865litem &31
866pos 17
867dimension 20
868uid 1347,0
869)
870*89 (MRCItem
871litem &32
872pos 18
873dimension 20
874uid 1349,0
875)
876*90 (MRCItem
877litem &33
878pos 19
879dimension 20
880uid 1351,0
881)
882*91 (MRCItem
883litem &34
884pos 20
885dimension 20
886uid 1353,0
887)
888*92 (MRCItem
889litem &35
890pos 21
891dimension 20
892uid 1355,0
893)
894*93 (MRCItem
895litem &36
896pos 22
897dimension 20
898uid 1357,0
899)
900*94 (MRCItem
901litem &37
902pos 23
903dimension 20
904uid 1359,0
905)
906*95 (MRCItem
907litem &38
908pos 24
909dimension 20
910uid 1361,0
911)
912*96 (MRCItem
913litem &39
914pos 25
915dimension 20
916uid 1616,0
917)
918*97 (MRCItem
919litem &40
920pos 26
921dimension 20
922uid 1618,0
923)
924*98 (MRCItem
925litem &41
926pos 27
927dimension 20
928uid 1656,0
929)
930*99 (MRCItem
931litem &42
932pos 28
933dimension 20
934uid 1658,0
935)
936*100 (MRCItem
937litem &43
938pos 29
939dimension 20
940uid 1660,0
941)
942*101 (MRCItem
943litem &44
944pos 30
945dimension 20
946uid 1662,0
947)
948*102 (MRCItem
949litem &45
950pos 31
951dimension 20
952uid 1664,0
953)
954*103 (MRCItem
955litem &46
956pos 40
957dimension 20
958uid 1666,0
959)
960*104 (MRCItem
961litem &47
962pos 32
963dimension 20
964uid 1668,0
965)
966*105 (MRCItem
967litem &48
968pos 33
969dimension 20
970uid 2066,0
971)
972*106 (MRCItem
973litem &49
974pos 47
975dimension 20
976uid 2917,0
977)
978*107 (MRCItem
979litem &50
980pos 34
981dimension 20
982uid 2947,0
983)
984*108 (MRCItem
985litem &51
986pos 35
987dimension 20
988uid 3024,0
989)
990*109 (MRCItem
991litem &52
992pos 36
993dimension 20
994uid 3454,0
995)
996*110 (MRCItem
997litem &53
998pos 37
999dimension 20
1000uid 3579,0
1001)
1002*111 (MRCItem
1003litem &54
1004pos 38
1005dimension 20
1006uid 3683,0
1007)
1008*112 (MRCItem
1009litem &55
1010pos 39
1011dimension 20
1012uid 3685,0
1013)
1014*113 (MRCItem
1015litem &56
1016pos 41
1017dimension 20
1018uid 4027,0
1019)
1020*114 (MRCItem
1021litem &57
1022pos 42
1023dimension 20
1024uid 4029,0
1025)
1026*115 (MRCItem
1027litem &58
1028pos 43
1029dimension 20
1030uid 4031,0
1031)
1032*116 (MRCItem
1033litem &59
1034pos 45
1035dimension 20
1036uid 4262,0
1037)
1038*117 (MRCItem
1039litem &60
1040pos 44
1041dimension 20
1042uid 4292,0
1043)
1044*118 (MRCItem
1045litem &61
1046pos 46
1047dimension 20
1048uid 4322,0
1049)
1050*119 (MRCItem
1051litem &62
1052pos 48
1053dimension 20
1054uid 4836,0
1055)
1056*120 (MRCItem
1057litem &63
1058pos 49
1059dimension 20
1060uid 5124,0
1061)
1062*121 (MRCItem
1063litem &64
1064pos 50
1065dimension 20
1066uid 5730,0
1067)
1068*122 (MRCItem
1069litem &65
1070pos 51
1071dimension 20
1072uid 6060,0
1073)
1074]
1075)
1076sheetCol (SheetCol
1077propVa (MVa
1078cellColor "0,49152,49152"
1079fontColor "0,0,0"
1080font "Tahoma,10,0"
1081textAngle 90
1082)
1083uid 72,0
1084optionalChildren [
1085*123 (MRCItem
1086litem &5
1087pos 0
1088dimension 20
1089uid 73,0
1090)
1091*124 (MRCItem
1092litem &7
1093pos 1
1094dimension 50
1095uid 74,0
1096)
1097*125 (MRCItem
1098litem &8
1099pos 2
1100dimension 100
1101uid 75,0
1102)
1103*126 (MRCItem
1104litem &9
1105pos 3
1106dimension 50
1107uid 76,0
1108)
1109*127 (MRCItem
1110litem &10
1111pos 4
1112dimension 100
1113uid 77,0
1114)
1115*128 (MRCItem
1116litem &11
1117pos 5
1118dimension 100
1119uid 78,0
1120)
1121*129 (MRCItem
1122litem &12
1123pos 6
1124dimension 50
1125uid 79,0
1126)
1127*130 (MRCItem
1128litem &13
1129pos 7
1130dimension 80
1131uid 80,0
1132)
1133]
1134)
1135fixedCol 4
1136fixedRow 2
1137name "Ports"
1138uid 67,0
1139vaOverrides [
1140]
1141)
1142]
1143)
1144uid 52,0
1145)
1146genericsCommonDM (CommonDM
1147ldm (LogicalDM
1148emptyRow *131 (LEmptyRow
1149)
1150uid 82,0
1151optionalChildren [
1152*132 (RefLabelRowHdr
1153)
1154*133 (TitleRowHdr
1155)
1156*134 (FilterRowHdr
1157)
1158*135 (RefLabelColHdr
1159tm "RefLabelColHdrMgr"
1160)
1161*136 (RowExpandColHdr
1162tm "RowExpandColHdrMgr"
1163)
1164*137 (GroupColHdr
1165tm "GroupColHdrMgr"
1166)
1167*138 (NameColHdr
1168tm "GenericNameColHdrMgr"
1169)
1170*139 (TypeColHdr
1171tm "GenericTypeColHdrMgr"
1172)
1173*140 (InitColHdr
1174tm "GenericValueColHdrMgr"
1175)
1176*141 (PragmaColHdr
1177tm "GenericPragmaColHdrMgr"
1178)
1179*142 (EolColHdr
1180tm "GenericEolColHdrMgr"
1181)
1182]
1183)
1184pdm (PhysicalDM
1185displayShortBounds 1
1186editShortBounds 1
1187uid 94,0
1188optionalChildren [
1189*143 (Sheet
1190sheetRow (SheetRow
1191headerVa (MVa
1192cellColor "49152,49152,49152"
1193fontColor "0,0,0"
1194font "Tahoma,10,0"
1195)
1196cellVa (MVa
1197cellColor "65535,65535,65535"
1198fontColor "0,0,0"
1199font "Tahoma,10,0"
1200)
1201groupVa (MVa
1202cellColor "39936,56832,65280"
1203fontColor "0,0,0"
1204font "Tahoma,10,0"
1205)
1206emptyMRCItem *144 (MRCItem
1207litem &131
1208pos 3
1209dimension 20
1210)
1211uid 96,0
1212optionalChildren [
1213*145 (MRCItem
1214litem &132
1215pos 0
1216dimension 20
1217uid 97,0
1218)
1219*146 (MRCItem
1220litem &133
1221pos 1
1222dimension 23
1223uid 98,0
1224)
1225*147 (MRCItem
1226litem &134
1227pos 2
1228hidden 1
1229dimension 20
1230uid 99,0
1231)
1232]
1233)
1234sheetCol (SheetCol
1235propVa (MVa
1236cellColor "0,49152,49152"
1237fontColor "0,0,0"
1238font "Tahoma,10,0"
1239textAngle 90
1240)
1241uid 100,0
1242optionalChildren [
1243*148 (MRCItem
1244litem &135
1245pos 0
1246dimension 20
1247uid 101,0
1248)
1249*149 (MRCItem
1250litem &137
1251pos 1
1252dimension 50
1253uid 102,0
1254)
1255*150 (MRCItem
1256litem &138
1257pos 2
1258dimension 100
1259uid 103,0
1260)
1261*151 (MRCItem
1262litem &139
1263pos 3
1264dimension 100
1265uid 104,0
1266)
1267*152 (MRCItem
1268litem &140
1269pos 4
1270dimension 50
1271uid 105,0
1272)
1273*153 (MRCItem
1274litem &141
1275pos 5
1276dimension 50
1277uid 106,0
1278)
1279*154 (MRCItem
1280litem &142
1281pos 6
1282dimension 80
1283uid 107,0
1284)
1285]
1286)
1287fixedCol 3
1288fixedRow 2
1289name "Ports"
1290uid 95,0
1291vaOverrides [
1292]
1293)
1294]
1295)
1296uid 81,0
1297type 1
1298)
1299VExpander (VariableExpander
1300vvMap [
1301(vvPair
1302variable "HDLDir"
1303value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
1304)
1305(vvPair
1306variable "HDSDir"
1307value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1308)
1309(vvPair
1310variable "SideDataDesignDir"
1311value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
1312)
1313(vvPair
1314variable "SideDataUserDir"
1315value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
1316)
1317(vvPair
1318variable "SourceDir"
1319value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1320)
1321(vvPair
1322variable "appl"
1323value "HDL Designer"
1324)
1325(vvPair
1326variable "arch_name"
1327value "symbol"
1328)
1329(vvPair
1330variable "config"
1331value "%(unit)_%(view)_config"
1332)
1333(vvPair
1334variable "d"
1335value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
1336)
1337(vvPair
1338variable "d_logical"
1339value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
1340)
1341(vvPair
1342variable "date"
1343value "09.06.2011"
1344)
1345(vvPair
1346variable "day"
1347value "Do"
1348)
1349(vvPair
1350variable "day_long"
1351value "Donnerstag"
1352)
1353(vvPair
1354variable "dd"
1355value "09"
1356)
1357(vvPair
1358variable "entity_name"
1359value "FAD_Board"
1360)
1361(vvPair
1362variable "ext"
1363value "<TBD>"
1364)
1365(vvPair
1366variable "f"
1367value "symbol.sb"
1368)
1369(vvPair
1370variable "f_logical"
1371value "symbol.sb"
1372)
1373(vvPair
1374variable "f_noext"
1375value "symbol"
1376)
1377(vvPair
1378variable "group"
1379value "UNKNOWN"
1380)
1381(vvPair
1382variable "host"
1383value "IHP110"
1384)
1385(vvPair
1386variable "language"
1387value "VHDL"
1388)
1389(vvPair
1390variable "library"
1391value "FACT_FAD_lib"
1392)
1393(vvPair
1394variable "library_downstream_HdsLintPlugin"
1395value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
1396)
1397(vvPair
1398variable "library_downstream_ISEPARInvoke"
1399value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1400)
1401(vvPair
1402variable "library_downstream_ImpactInvoke"
1403value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1404)
1405(vvPair
1406variable "library_downstream_ModelSimCompiler"
1407value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
1408)
1409(vvPair
1410variable "library_downstream_XSTDataPrep"
1411value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1412)
1413(vvPair
1414variable "mm"
1415value "06"
1416)
1417(vvPair
1418variable "module_name"
1419value "FAD_Board"
1420)
1421(vvPair
1422variable "month"
1423value "Jun"
1424)
1425(vvPair
1426variable "month_long"
1427value "Juni"
1428)
1429(vvPair
1430variable "p"
1431value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
1432)
1433(vvPair
1434variable "p_logical"
1435value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
1436)
1437(vvPair
1438variable "package_name"
1439value "<Undefined Variable>"
1440)
1441(vvPair
1442variable "project_name"
1443value "FACT_FAD"
1444)
1445(vvPair
1446variable "series"
1447value "HDL Designer Series"
1448)
1449(vvPair
1450variable "task_DesignCompilerPath"
1451value "<TBD>"
1452)
1453(vvPair
1454variable "task_LeonardoPath"
1455value "<TBD>"
1456)
1457(vvPair
1458variable "task_ModelSimPath"
1459value "D:\\modeltech_6.5e\\win32"
1460)
1461(vvPair
1462variable "task_NC-SimPath"
1463value "<TBD>"
1464)
1465(vvPair
1466variable "task_PrecisionRTLPath"
1467value "<TBD>"
1468)
1469(vvPair
1470variable "task_QuestaSimPath"
1471value "<TBD>"
1472)
1473(vvPair
1474variable "task_VCSPath"
1475value "<TBD>"
1476)
1477(vvPair
1478variable "this_ext"
1479value "sb"
1480)
1481(vvPair
1482variable "this_file"
1483value "symbol"
1484)
1485(vvPair
1486variable "this_file_logical"
1487value "symbol"
1488)
1489(vvPair
1490variable "time"
1491value "16:34:52"
1492)
1493(vvPair
1494variable "unit"
1495value "FAD_Board"
1496)
1497(vvPair
1498variable "user"
1499value "daqct3"
1500)
1501(vvPair
1502variable "version"
1503value "2009.1 (Build 12)"
1504)
1505(vvPair
1506variable "view"
1507value "symbol"
1508)
1509(vvPair
1510variable "year"
1511value "2011"
1512)
1513(vvPair
1514variable "yy"
1515value "11"
1516)
1517]
1518)
1519LanguageMgr "VhdlLangMgr"
1520uid 51,0
1521optionalChildren [
1522*155 (SymbolBody
1523uid 8,0
1524optionalChildren [
1525*156 (CptPort
1526uid 693,0
1527ps "OnEdgeStrategy"
1528shape (Triangle
1529uid 694,0
1530ro 90
1531va (VaSet
1532vasetType 1
1533fg "0,65535,0"
1534)
1535xt "35000,21625,35750,22375"
1536)
1537tg (CPTG
1538uid 695,0
1539ps "CptPortTextPlaceStrategy"
1540stg "RightVerticalLayoutStrategy"
1541f (Text
1542uid 696,0
1543va (VaSet
1544)
1545xt "29800,21500,34000,22500"
1546st "RSRLOAD"
1547ju 2
1548blo "34000,22300"
1549tm "CptPortNameMgr"
1550)
1551t (Text
1552uid 697,0
1553va (VaSet
1554)
1555xt "32800,22500,34000,23500"
1556st "'0'"
1557ju 2
1558blo "34000,23300"
1559tm "InitValueDelayMgr"
1560)
1561)
1562dt (MLText
1563uid 698,0
1564va (VaSet
1565font "Courier New,8,0"
1566)
1567xt "44000,33200,76000,34000"
1568st "RSRLOAD : OUT std_logic := '0' ;
1569"
1570)
1571thePort (LogicalPort
1572m 1
1573decl (Decl
1574n "RSRLOAD"
1575t "std_logic"
1576o 40
1577suid 11,0
1578i "'0'"
1579)
1580)
1581)
1582*157 (CptPort
1583uid 1116,0
1584ps "OnEdgeStrategy"
1585shape (Triangle
1586uid 1117,0
1587ro 90
1588va (VaSet
1589vasetType 1
1590fg "0,65535,0"
1591)
1592xt "14250,11625,15000,12375"
1593)
1594tg (CPTG
1595uid 1118,0
1596ps "CptPortTextPlaceStrategy"
1597stg "VerticalLayoutStrategy"
1598f (Text
1599uid 1119,0
1600va (VaSet
1601)
1602xt "16000,11500,18800,12500"
1603st "X_50M"
1604blo "16000,12300"
1605tm "CptPortNameMgr"
1606)
1607)
1608dt (MLText
1609uid 1120,0
1610va (VaSet
1611font "Courier New,8,0"
1612)
1613xt "44000,14800,61500,15600"
1614st "X_50M : IN STD_LOGIC ;
1615"
1616)
1617thePort (LogicalPort
1618decl (Decl
1619n "X_50M"
1620t "STD_LOGIC"
1621preAdd 0
1622posAdd 0
1623o 17
1624suid 15,0
1625)
1626)
1627)
1628*158 (CptPort
1629uid 1121,0
1630ps "OnEdgeStrategy"
1631shape (Triangle
1632uid 1122,0
1633ro 90
1634va (VaSet
1635vasetType 1
1636fg "0,65535,0"
1637)
1638xt "14250,13625,15000,14375"
1639)
1640tg (CPTG
1641uid 1123,0
1642ps "CptPortTextPlaceStrategy"
1643stg "VerticalLayoutStrategy"
1644f (Text
1645uid 1124,0
1646va (VaSet
1647)
1648xt "16000,13500,18100,14500"
1649st "TRG"
1650blo "16000,14300"
1651tm "CptPortNameMgr"
1652)
1653)
1654dt (MLText
1655uid 1125,0
1656va (VaSet
1657font "Courier New,8,0"
1658)
1659xt "44000,13200,61500,14000"
1660st "TRG : IN STD_LOGIC ;
1661"
1662)
1663thePort (LogicalPort
1664decl (Decl
1665n "TRG"
1666t "STD_LOGIC"
1667o 15
1668suid 16,0
1669)
1670)
1671)
1672*159 (CptPort
1673uid 1126,0
1674ps "OnEdgeStrategy"
1675shape (Triangle
1676uid 1127,0
1677ro 90
1678va (VaSet
1679vasetType 1
1680fg "0,65535,0"
1681)
1682xt "35000,25625,35750,26375"
1683)
1684tg (CPTG
1685uid 1128,0
1686ps "CptPortTextPlaceStrategy"
1687stg "RightVerticalLayoutStrategy"
1688f (Text
1689uid 1129,0
1690va (VaSet
1691)
1692xt "28600,25500,34000,26500"
1693st "A_CLK : (3:0)"
1694ju 2
1695blo "34000,26300"
1696tm "CptPortNameMgr"
1697)
1698)
1699dt (MLText
1700uid 1130,0
1701va (VaSet
1702font "Courier New,8,0"
1703)
1704xt "44000,18000,71500,18800"
1705st "A_CLK : OUT std_logic_vector (3 downto 0) ;
1706"
1707)
1708thePort (LogicalPort
1709m 1
1710decl (Decl
1711n "A_CLK"
1712t "std_logic_vector"
1713b "(3 downto 0)"
1714o 21
1715suid 17,0
1716)
1717)
1718)
1719*160 (CptPort
1720uid 1166,0
1721ps "OnEdgeStrategy"
1722shape (Triangle
1723uid 1167,0
1724ro 90
1725va (VaSet
1726vasetType 1
1727fg "0,65535,0"
1728)
1729xt "35000,27625,35750,28375"
1730)
1731tg (CPTG
1732uid 1168,0
1733ps "CptPortTextPlaceStrategy"
1734stg "RightVerticalLayoutStrategy"
1735f (Text
1736uid 1169,0
1737va (VaSet
1738)
1739xt "30400,27500,34000,28500"
1740st "OE_ADC"
1741ju 2
1742blo "34000,28300"
1743tm "CptPortNameMgr"
1744)
1745)
1746dt (MLText
1747uid 1170,0
1748va (VaSet
1749font "Courier New,8,0"
1750)
1751xt "44000,26800,61500,27600"
1752st "OE_ADC : OUT STD_LOGIC ;
1753"
1754)
1755thePort (LogicalPort
1756m 1
1757decl (Decl
1758n "OE_ADC"
1759t "STD_LOGIC"
1760preAdd 0
1761posAdd 0
1762o 32
1763suid 18,0
1764)
1765)
1766)
1767*161 (CptPort
1768uid 1171,0
1769ps "OnEdgeStrategy"
1770shape (Triangle
1771uid 1172,0
1772ro 90
1773va (VaSet
1774vasetType 1
1775fg "0,65535,0"
1776)
1777xt "14250,15625,15000,16375"
1778)
1779tg (CPTG
1780uid 1173,0
1781ps "CptPortTextPlaceStrategy"
1782stg "VerticalLayoutStrategy"
1783f (Text
1784uid 1174,0
1785va (VaSet
1786)
1787xt "16000,15500,21600,16500"
1788st "A_OTR : (3:0)"
1789blo "16000,16300"
1790tm "CptPortNameMgr"
1791)
1792)
1793dt (MLText
1794uid 1175,0
1795va (VaSet
1796font "Courier New,8,0"
1797)
1798xt "44000,5200,71500,6000"
1799st "A_OTR : IN std_logic_vector (3 DOWNTO 0) ;
1800"
1801)
1802thePort (LogicalPort
1803decl (Decl
1804n "A_OTR"
1805t "std_logic_vector"
1806b "(3 DOWNTO 0)"
1807o 5
1808suid 19,0
1809)
1810)
1811)
1812*162 (CptPort
1813uid 1176,0
1814ps "OnEdgeStrategy"
1815shape (Triangle
1816uid 1177,0
1817ro 90
1818va (VaSet
1819vasetType 1
1820fg "0,65535,0"
1821)
1822xt "14250,17625,15000,18375"
1823)
1824tg (CPTG
1825uid 1178,0
1826ps "CptPortTextPlaceStrategy"
1827stg "VerticalLayoutStrategy"
1828f (Text
1829uid 1179,0
1830va (VaSet
1831)
1832xt "16000,17500,21300,18500"
1833st "A0_D : (11:0)"
1834blo "16000,18300"
1835tm "CptPortNameMgr"
1836)
1837)
1838dt (MLText
1839uid 1180,0
1840va (VaSet
1841font "Courier New,8,0"
1842)
1843xt "44000,2000,72000,2800"
1844st "A0_D : IN std_logic_vector (11 DOWNTO 0) ;
1845"
1846)
1847thePort (LogicalPort
1848decl (Decl
1849n "A0_D"
1850t "std_logic_vector"
1851b "(11 DOWNTO 0)"
1852o 1
1853suid 20,0
1854)
1855)
1856)
1857*163 (CptPort
1858uid 1181,0
1859ps "OnEdgeStrategy"
1860shape (Triangle
1861uid 1182,0
1862ro 90
1863va (VaSet
1864vasetType 1
1865fg "0,65535,0"
1866)
1867xt "14250,19625,15000,20375"
1868)
1869tg (CPTG
1870uid 1183,0
1871ps "CptPortTextPlaceStrategy"
1872stg "VerticalLayoutStrategy"
1873f (Text
1874uid 1184,0
1875va (VaSet
1876)
1877xt "16000,19500,21300,20500"
1878st "A1_D : (11:0)"
1879blo "16000,20300"
1880tm "CptPortNameMgr"
1881)
1882)
1883dt (MLText
1884uid 1185,0
1885va (VaSet
1886font "Courier New,8,0"
1887)
1888xt "44000,2800,72000,3600"
1889st "A1_D : IN std_logic_vector (11 DOWNTO 0) ;
1890"
1891)
1892thePort (LogicalPort
1893decl (Decl
1894n "A1_D"
1895t "std_logic_vector"
1896b "(11 DOWNTO 0)"
1897o 2
1898suid 21,0
1899)
1900)
1901)
1902*164 (CptPort
1903uid 1186,0
1904ps "OnEdgeStrategy"
1905shape (Triangle
1906uid 1187,0
1907ro 90
1908va (VaSet
1909vasetType 1
1910fg "0,65535,0"
1911)
1912xt "14250,21625,15000,22375"
1913)
1914tg (CPTG
1915uid 1188,0
1916ps "CptPortTextPlaceStrategy"
1917stg "VerticalLayoutStrategy"
1918f (Text
1919uid 1189,0
1920va (VaSet
1921)
1922xt "16000,21500,21300,22500"
1923st "A2_D : (11:0)"
1924blo "16000,22300"
1925tm "CptPortNameMgr"
1926)
1927)
1928dt (MLText
1929uid 1190,0
1930va (VaSet
1931font "Courier New,8,0"
1932)
1933xt "44000,3600,72000,4400"
1934st "A2_D : IN std_logic_vector (11 DOWNTO 0) ;
1935"
1936)
1937thePort (LogicalPort
1938decl (Decl
1939n "A2_D"
1940t "std_logic_vector"
1941b "(11 DOWNTO 0)"
1942o 3
1943suid 22,0
1944)
1945)
1946)
1947*165 (CptPort
1948uid 1191,0
1949ps "OnEdgeStrategy"
1950shape (Triangle
1951uid 1192,0
1952ro 90
1953va (VaSet
1954vasetType 1
1955fg "0,65535,0"
1956)
1957xt "14250,23625,15000,24375"
1958)
1959tg (CPTG
1960uid 1193,0
1961ps "CptPortTextPlaceStrategy"
1962stg "VerticalLayoutStrategy"
1963f (Text
1964uid 1194,0
1965va (VaSet
1966)
1967xt "16000,23500,21300,24500"
1968st "A3_D : (11:0)"
1969blo "16000,24300"
1970tm "CptPortNameMgr"
1971)
1972)
1973dt (MLText
1974uid 1195,0
1975va (VaSet
1976font "Courier New,8,0"
1977)
1978xt "44000,4400,72000,5200"
1979st "A3_D : IN std_logic_vector (11 DOWNTO 0) ;
1980"
1981)
1982thePort (LogicalPort
1983decl (Decl
1984n "A3_D"
1985t "std_logic_vector"
1986b "(11 DOWNTO 0)"
1987o 4
1988suid 23,0
1989)
1990)
1991)
1992*166 (CptPort
1993uid 1282,0
1994ps "OnEdgeStrategy"
1995shape (Triangle
1996uid 1283,0
1997ro 90
1998va (VaSet
1999vasetType 1
2000fg "0,65535,0"
2001)
2002xt "14250,33625,15000,34375"
2003)
2004tg (CPTG
2005uid 1284,0
2006ps "CptPortTextPlaceStrategy"
2007stg "VerticalLayoutStrategy"
2008f (Text
2009uid 1285,0
2010va (VaSet
2011)
2012xt "16000,33500,20600,34500"
2013st "D0_SROUT"
2014blo "16000,34300"
2015tm "CptPortNameMgr"
2016)
2017)
2018dt (MLText
2019uid 1286,0
2020va (VaSet
2021font "Courier New,8,0"
2022)
2023xt "44000,6000,61500,6800"
2024st "D0_SROUT : IN std_logic ;
2025"
2026)
2027thePort (LogicalPort
2028decl (Decl
2029n "D0_SROUT"
2030t "std_logic"
2031o 6
2032suid 28,0
2033)
2034)
2035)
2036*167 (CptPort
2037uid 1287,0
2038ps "OnEdgeStrategy"
2039shape (Triangle
2040uid 1288,0
2041ro 90
2042va (VaSet
2043vasetType 1
2044fg "0,65535,0"
2045)
2046xt "14250,35625,15000,36375"
2047)
2048tg (CPTG
2049uid 1289,0
2050ps "CptPortTextPlaceStrategy"
2051stg "VerticalLayoutStrategy"
2052f (Text
2053uid 1290,0
2054va (VaSet
2055)
2056xt "16000,35500,20600,36500"
2057st "D1_SROUT"
2058blo "16000,36300"
2059tm "CptPortNameMgr"
2060)
2061)
2062dt (MLText
2063uid 1291,0
2064va (VaSet
2065font "Courier New,8,0"
2066)
2067xt "44000,6800,61500,7600"
2068st "D1_SROUT : IN std_logic ;
2069"
2070)
2071thePort (LogicalPort
2072decl (Decl
2073n "D1_SROUT"
2074t "std_logic"
2075o 7
2076suid 29,0
2077)
2078)
2079)
2080*168 (CptPort
2081uid 1292,0
2082ps "OnEdgeStrategy"
2083shape (Triangle
2084uid 1293,0
2085ro 90
2086va (VaSet
2087vasetType 1
2088fg "0,65535,0"
2089)
2090xt "14250,37625,15000,38375"
2091)
2092tg (CPTG
2093uid 1294,0
2094ps "CptPortTextPlaceStrategy"
2095stg "VerticalLayoutStrategy"
2096f (Text
2097uid 1295,0
2098va (VaSet
2099)
2100xt "16000,37500,20600,38500"
2101st "D2_SROUT"
2102blo "16000,38300"
2103tm "CptPortNameMgr"
2104)
2105)
2106dt (MLText
2107uid 1296,0
2108va (VaSet
2109font "Courier New,8,0"
2110)
2111xt "44000,7600,61500,8400"
2112st "D2_SROUT : IN std_logic ;
2113"
2114)
2115thePort (LogicalPort
2116decl (Decl
2117n "D2_SROUT"
2118t "std_logic"
2119o 8
2120suid 30,0
2121)
2122)
2123)
2124*169 (CptPort
2125uid 1297,0
2126ps "OnEdgeStrategy"
2127shape (Triangle
2128uid 1298,0
2129ro 90
2130va (VaSet
2131vasetType 1
2132fg "0,65535,0"
2133)
2134xt "14250,39625,15000,40375"
2135)
2136tg (CPTG
2137uid 1299,0
2138ps "CptPortTextPlaceStrategy"
2139stg "VerticalLayoutStrategy"
2140f (Text
2141uid 1300,0
2142va (VaSet
2143)
2144xt "16000,39500,20600,40500"
2145st "D3_SROUT"
2146blo "16000,40300"
2147tm "CptPortNameMgr"
2148)
2149)
2150dt (MLText
2151uid 1301,0
2152va (VaSet
2153font "Courier New,8,0"
2154)
2155xt "44000,8400,61500,9200"
2156st "D3_SROUT : IN std_logic ;
2157"
2158)
2159thePort (LogicalPort
2160decl (Decl
2161n "D3_SROUT"
2162t "std_logic"
2163o 9
2164suid 31,0
2165)
2166)
2167)
2168*170 (CptPort
2169uid 1302,0
2170ps "OnEdgeStrategy"
2171shape (Triangle
2172uid 1303,0
2173ro 90
2174va (VaSet
2175vasetType 1
2176fg "0,65535,0"
2177)
2178xt "35000,29625,35750,30375"
2179)
2180tg (CPTG
2181uid 1304,0
2182ps "CptPortTextPlaceStrategy"
2183stg "RightVerticalLayoutStrategy"
2184f (Text
2185uid 1305,0
2186va (VaSet
2187)
2188xt "29500,29500,34000,30500"
2189st "D_A : (3:0)"
2190ju 2
2191blo "34000,30300"
2192tm "CptPortNameMgr"
2193)
2194t (Text
2195uid 1306,0
2196va (VaSet
2197)
2198xt "28300,30500,34000,31500"
2199st "(others => '0')"
2200ju 2
2201blo "34000,31300"
2202tm "InitValueDelayMgr"
2203)
2204)
2205dt (MLText
2206uid 1307,0
2207va (VaSet
2208font "Courier New,8,0"
2209)
2210xt "44000,22000,82000,22800"
2211st "D_A : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ;
2212"
2213)
2214thePort (LogicalPort
2215m 1
2216decl (Decl
2217n "D_A"
2218t "std_logic_vector"
2219b "(3 DOWNTO 0)"
2220o 26
2221suid 32,0
2222i "(others => '0')"
2223)
2224)
2225)
2226*171 (CptPort
2227uid 1308,0
2228ps "OnEdgeStrategy"
2229shape (Triangle
2230uid 1309,0
2231ro 90
2232va (VaSet
2233vasetType 1
2234fg "0,65535,0"
2235)
2236xt "35000,31625,35750,32375"
2237)
2238tg (CPTG
2239uid 1310,0
2240ps "CptPortTextPlaceStrategy"
2241stg "RightVerticalLayoutStrategy"
2242f (Text
2243uid 1311,0
2244va (VaSet
2245)
2246xt "30500,31500,34000,32500"
2247st "DWRITE"
2248ju 2
2249blo "34000,32300"
2250tm "CptPortNameMgr"
2251)
2252t (Text
2253uid 1312,0
2254va (VaSet
2255)
2256xt "32800,32500,34000,33500"
2257st "'0'"
2258ju 2
2259blo "34000,33300"
2260tm "InitValueDelayMgr"
2261)
2262)
2263dt (MLText
2264uid 1313,0
2265va (VaSet
2266font "Courier New,8,0"
2267)
2268xt "44000,21200,76000,22000"
2269st "DWRITE : OUT std_logic := '0' ;
2270"
2271)
2272thePort (LogicalPort
2273m 1
2274decl (Decl
2275n "DWRITE"
2276t "std_logic"
2277o 25
2278suid 33,0
2279i "'0'"
2280)
2281)
2282)
2283*172 (CptPort
2284uid 1363,0
2285ps "OnEdgeStrategy"
2286shape (Triangle
2287uid 1364,0
2288ro 90
2289va (VaSet
2290vasetType 1
2291fg "0,65535,0"
2292)
2293xt "35000,33625,35750,34375"
2294)
2295tg (CPTG
2296uid 1365,0
2297ps "CptPortTextPlaceStrategy"
2298stg "RightVerticalLayoutStrategy"
2299f (Text
2300uid 1366,0
2301va (VaSet
2302)
2303xt "30400,33500,34000,34500"
2304st "DAC_CS"
2305ju 2
2306blo "34000,34300"
2307tm "CptPortNameMgr"
2308)
2309)
2310dt (MLText
2311uid 1367,0
2312va (VaSet
2313font "Courier New,8,0"
2314)
2315xt "44000,18800,61500,19600"
2316st "DAC_CS : OUT std_logic ;
2317"
2318)
2319thePort (LogicalPort
2320m 1
2321decl (Decl
2322n "DAC_CS"
2323t "std_logic"
2324o 22
2325suid 34,0
2326)
2327)
2328)
2329*173 (CptPort
2330uid 1388,0
2331ps "OnEdgeStrategy"
2332shape (Triangle
2333uid 1389,0
2334ro 90
2335va (VaSet
2336vasetType 1
2337fg "0,65535,0"
2338)
2339xt "35000,43625,35750,44375"
2340)
2341tg (CPTG
2342uid 1390,0
2343ps "CptPortTextPlaceStrategy"
2344stg "RightVerticalLayoutStrategy"
2345f (Text
2346uid 1391,0
2347va (VaSet
2348)
2349xt "31200,43500,34000,44500"
2350st "S_CLK"
2351ju 2
2352blo "34000,44300"
2353tm "CptPortNameMgr"
2354)
2355)
2356dt (MLText
2357uid 1392,0
2358va (VaSet
2359font "Courier New,8,0"
2360)
2361xt "44000,34800,61500,35600"
2362st "S_CLK : OUT std_logic ;
2363"
2364)
2365thePort (LogicalPort
2366m 1
2367decl (Decl
2368n "S_CLK"
2369t "std_logic"
2370o 42
2371suid 39,0
2372)
2373)
2374)
2375*174 (CptPort
2376uid 1393,0
2377ps "OnEdgeStrategy"
2378shape (Triangle
2379uid 1394,0
2380ro 90
2381va (VaSet
2382vasetType 1
2383fg "0,65535,0"
2384)
2385xt "35000,45625,35750,46375"
2386)
2387tg (CPTG
2388uid 1395,0
2389ps "CptPortTextPlaceStrategy"
2390stg "RightVerticalLayoutStrategy"
2391f (Text
2392uid 1396,0
2393va (VaSet
2394)
2395xt "29400,45500,34000,46500"
2396st "W_A : (9:0)"
2397ju 2
2398blo "34000,46300"
2399tm "CptPortNameMgr"
2400)
2401)
2402dt (MLText
2403uid 1397,0
2404va (VaSet
2405font "Courier New,8,0"
2406)
2407xt "44000,37200,71500,38000"
2408st "W_A : OUT std_logic_vector (9 DOWNTO 0) ;
2409"
2410)
2411thePort (LogicalPort
2412m 1
2413decl (Decl
2414n "W_A"
2415t "std_logic_vector"
2416b "(9 DOWNTO 0)"
2417o 45
2418suid 40,0
2419)
2420)
2421)
2422*175 (CptPort
2423uid 1398,0
2424ps "OnEdgeStrategy"
2425shape (Diamond
2426uid 1399,0
2427ro 90
2428va (VaSet
2429vasetType 1
2430fg "0,65535,0"
2431)
2432xt "35000,47625,35750,48375"
2433)
2434tg (CPTG
2435uid 1400,0
2436ps "CptPortTextPlaceStrategy"
2437stg "RightVerticalLayoutStrategy"
2438f (Text
2439uid 1401,0
2440va (VaSet
2441)
2442xt "28300,47500,34000,48500"
2443st "W_D : (15:0)"
2444ju 2
2445blo "34000,48300"
2446tm "CptPortNameMgr"
2447)
2448)
2449dt (MLText
2450uid 1402,0
2451va (VaSet
2452font "Courier New,8,0"
2453)
2454xt "44000,42800,71000,43600"
2455st "W_D : INOUT std_logic_vector (15 DOWNTO 0)
2456"
2457)
2458thePort (LogicalPort
2459m 2
2460decl (Decl
2461n "W_D"
2462t "std_logic_vector"
2463b "(15 DOWNTO 0)"
2464o 52
2465suid 41,0
2466)
2467)
2468)
2469*176 (CptPort
2470uid 1403,0
2471ps "OnEdgeStrategy"
2472shape (Triangle
2473uid 1404,0
2474ro 90
2475va (VaSet
2476vasetType 1
2477fg "0,65535,0"
2478)
2479xt "35000,49625,35750,50375"
2480)
2481tg (CPTG
2482uid 1405,0
2483ps "CptPortTextPlaceStrategy"
2484stg "RightVerticalLayoutStrategy"
2485f (Text
2486uid 1406,0
2487va (VaSet
2488)
2489xt "30900,49500,34000,50500"
2490st "W_RES"
2491ju 2
2492blo "34000,50300"
2493tm "CptPortNameMgr"
2494)
2495t (Text
2496uid 1407,0
2497va (VaSet
2498)
2499xt "32800,50500,34000,51500"
2500st "'1'"
2501ju 2
2502blo "34000,51300"
2503tm "InitValueDelayMgr"
2504)
2505)
2506dt (MLText
2507uid 1408,0
2508va (VaSet
2509font "Courier New,8,0"
2510)
2511xt "44000,39600,76000,40400"
2512st "W_RES : OUT std_logic := '1' ;
2513"
2514)
2515thePort (LogicalPort
2516m 1
2517decl (Decl
2518n "W_RES"
2519t "std_logic"
2520o 48
2521suid 42,0
2522i "'1'"
2523)
2524)
2525)
2526*177 (CptPort
2527uid 1409,0
2528ps "OnEdgeStrategy"
2529shape (Triangle
2530uid 1410,0
2531ro 90
2532va (VaSet
2533vasetType 1
2534fg "0,65535,0"
2535)
2536xt "35000,51625,35750,52375"
2537)
2538tg (CPTG
2539uid 1411,0
2540ps "CptPortTextPlaceStrategy"
2541stg "RightVerticalLayoutStrategy"
2542f (Text
2543uid 1412,0
2544va (VaSet
2545)
2546xt "31300,51500,34000,52500"
2547st "W_RD"
2548ju 2
2549blo "34000,52300"
2550tm "CptPortNameMgr"
2551)
2552t (Text
2553uid 1413,0
2554va (VaSet
2555)
2556xt "32800,52500,34000,53500"
2557st "'1'"
2558ju 2
2559blo "34000,53300"
2560tm "InitValueDelayMgr"
2561)
2562)
2563dt (MLText
2564uid 1414,0
2565va (VaSet
2566font "Courier New,8,0"
2567)
2568xt "44000,38800,76000,39600"
2569st "W_RD : OUT std_logic := '1' ;
2570"
2571)
2572thePort (LogicalPort
2573m 1
2574decl (Decl
2575n "W_RD"
2576t "std_logic"
2577o 47
2578suid 43,0
2579i "'1'"
2580)
2581)
2582)
2583*178 (CptPort
2584uid 1415,0
2585ps "OnEdgeStrategy"
2586shape (Triangle
2587uid 1416,0
2588ro 90
2589va (VaSet
2590vasetType 1
2591fg "0,65535,0"
2592)
2593xt "35000,53625,35750,54375"
2594)
2595tg (CPTG
2596uid 1417,0
2597ps "CptPortTextPlaceStrategy"
2598stg "RightVerticalLayoutStrategy"
2599f (Text
2600uid 1418,0
2601va (VaSet
2602)
2603xt "30800,53500,34000,54500"
2604st "W_WR"
2605ju 2
2606blo "34000,54300"
2607tm "CptPortNameMgr"
2608)
2609t (Text
2610uid 1419,0
2611va (VaSet
2612)
2613xt "32600,54500,34000,55500"
2614st "'1'"
2615ju 2
2616blo "34000,55300"
2617tm "InitValueDelayMgr"
2618)
2619)
2620dt (MLText
2621uid 1420,0
2622va (VaSet
2623font "Courier New,8,0"
2624)
2625xt "44000,41200,76000,42000"
2626st "W_WR : OUT std_logic := '1' ;
2627"
2628)
2629thePort (LogicalPort
2630m 1
2631decl (Decl
2632n "W_WR"
2633t "std_logic"
2634o 50
2635suid 44,0
2636i "'1'"
2637)
2638)
2639)
2640*179 (CptPort
2641uid 1421,0
2642ps "OnEdgeStrategy"
2643shape (Triangle
2644uid 1422,0
2645ro 90
2646va (VaSet
2647vasetType 1
2648fg "0,65535,0"
2649)
2650xt "14250,41625,15000,42375"
2651)
2652tg (CPTG
2653uid 1423,0
2654ps "CptPortTextPlaceStrategy"
2655stg "VerticalLayoutStrategy"
2656f (Text
2657uid 1424,0
2658va (VaSet
2659)
2660xt "16000,41500,18800,42500"
2661st "W_INT"
2662blo "16000,42300"
2663tm "CptPortNameMgr"
2664)
2665)
2666dt (MLText
2667uid 1425,0
2668va (VaSet
2669font "Courier New,8,0"
2670)
2671xt "44000,14000,61500,14800"
2672st "W_INT : IN std_logic ;
2673"
2674)
2675thePort (LogicalPort
2676decl (Decl
2677n "W_INT"
2678t "std_logic"
2679o 16
2680suid 45,0
2681)
2682)
2683)
2684*180 (CptPort
2685uid 1426,0
2686ps "OnEdgeStrategy"
2687shape (Triangle
2688uid 1427,0
2689ro 90
2690va (VaSet
2691vasetType 1
2692fg "0,65535,0"
2693)
2694xt "35000,55625,35750,56375"
2695)
2696tg (CPTG
2697uid 1428,0
2698ps "CptPortTextPlaceStrategy"
2699stg "RightVerticalLayoutStrategy"
2700f (Text
2701uid 1429,0
2702va (VaSet
2703)
2704xt "31400,55500,34000,56500"
2705st "W_CS"
2706ju 2
2707blo "34000,56300"
2708tm "CptPortNameMgr"
2709)
2710t (Text
2711uid 1430,0
2712va (VaSet
2713)
2714xt "32800,56500,34000,57500"
2715st "'1'"
2716ju 2
2717blo "34000,57300"
2718tm "InitValueDelayMgr"
2719)
2720)
2721dt (MLText
2722uid 1431,0
2723va (VaSet
2724font "Courier New,8,0"
2725)
2726xt "44000,38000,76000,38800"
2727st "W_CS : OUT std_logic := '1' ;
2728"
2729)
2730thePort (LogicalPort
2731m 1
2732decl (Decl
2733n "W_CS"
2734t "std_logic"
2735o 46
2736suid 46,0
2737i "'1'"
2738)
2739)
2740)
2741*181 (CptPort
2742uid 1620,0
2743ps "OnEdgeStrategy"
2744shape (Triangle
2745uid 1621,0
2746ro 90
2747va (VaSet
2748vasetType 1
2749fg "0,65535,0"
2750)
2751xt "35000,57625,35750,58375"
2752)
2753tg (CPTG
2754uid 1622,0
2755ps "CptPortTextPlaceStrategy"
2756stg "RightVerticalLayoutStrategy"
2757f (Text
2758uid 1623,0
2759va (VaSet
2760)
2761xt "31600,57500,34000,58500"
2762st "MOSI"
2763ju 2
2764blo "34000,58300"
2765tm "CptPortNameMgr"
2766)
2767t (Text
2768uid 1624,0
2769va (VaSet
2770)
2771xt "32800,58500,34000,59500"
2772st "'0'"
2773ju 2
2774blo "34000,59300"
2775tm "InitValueDelayMgr"
2776)
2777)
2778dt (MLText
2779uid 1625,0
2780va (VaSet
2781font "Courier New,8,0"
2782)
2783xt "44000,26000,76000,26800"
2784st "MOSI : OUT std_logic := '0' ;
2785"
2786)
2787thePort (LogicalPort
2788m 1
2789decl (Decl
2790n "MOSI"
2791t "std_logic"
2792o 31
2793suid 47,0
2794i "'0'"
2795)
2796)
2797)
2798*182 (CptPort
2799uid 1626,0
2800ps "OnEdgeStrategy"
2801shape (Diamond
2802uid 1627,0
2803ro 90
2804va (VaSet
2805vasetType 1
2806fg "0,65535,0"
2807)
2808xt "35000,59625,35750,60375"
2809)
2810tg (CPTG
2811uid 1628,0
2812ps "CptPortTextPlaceStrategy"
2813stg "RightVerticalLayoutStrategy"
2814f (Text
2815uid 1629,0
2816va (VaSet
2817)
2818xt "31300,59500,34000,60500"
2819st "MISO"
2820ju 2
2821blo "34000,60300"
2822tm "CptPortNameMgr"
2823)
2824)
2825dt (MLText
2826uid 1630,0
2827va (VaSet
2828font "Courier New,8,0"
2829)
2830xt "44000,42000,61500,42800"
2831st "MISO : INOUT std_logic ;
2832"
2833)
2834thePort (LogicalPort
2835m 2
2836decl (Decl
2837n "MISO"
2838t "std_logic"
2839preAdd 0
2840posAdd 0
2841o 51
2842suid 48,0
2843)
2844)
2845)
2846*183 (CptPort
2847uid 1681,0
2848ps "OnEdgeStrategy"
2849shape (Triangle
2850uid 1682,0
2851ro 90
2852va (VaSet
2853vasetType 1
2854fg "0,65535,0"
2855)
2856xt "35000,63625,35750,64375"
2857)
2858tg (CPTG
2859uid 1683,0
2860ps "CptPortTextPlaceStrategy"
2861stg "RightVerticalLayoutStrategy"
2862f (Text
2863uid 1684,0
2864va (VaSet
2865)
2866xt "28400,63500,34000,64500"
2867st "RS485_C_RE"
2868ju 2
2869blo "34000,64300"
2870tm "CptPortNameMgr"
2871)
2872)
2873dt (MLText
2874uid 1685,0
2875va (VaSet
2876font "Courier New,8,0"
2877)
2878xt "44000,30000,61500,30800"
2879st "RS485_C_RE : OUT std_logic ;
2880"
2881)
2882thePort (LogicalPort
2883m 1
2884decl (Decl
2885n "RS485_C_RE"
2886t "std_logic"
2887o 36
2888suid 50,0
2889)
2890)
2891)
2892*184 (CptPort
2893uid 1686,0
2894ps "OnEdgeStrategy"
2895shape (Triangle
2896uid 1687,0
2897ro 90
2898va (VaSet
2899vasetType 1
2900fg "0,65535,0"
2901)
2902xt "35000,65625,35750,66375"
2903)
2904tg (CPTG
2905uid 1688,0
2906ps "CptPortTextPlaceStrategy"
2907stg "RightVerticalLayoutStrategy"
2908f (Text
2909uid 1689,0
2910va (VaSet
2911)
2912xt "28400,65500,34000,66500"
2913st "RS485_C_DE"
2914ju 2
2915blo "34000,66300"
2916tm "CptPortNameMgr"
2917)
2918)
2919dt (MLText
2920uid 1690,0
2921va (VaSet
2922font "Courier New,8,0"
2923)
2924xt "44000,28400,61500,29200"
2925st "RS485_C_DE : OUT std_logic ;
2926"
2927)
2928thePort (LogicalPort
2929m 1
2930decl (Decl
2931n "RS485_C_DE"
2932t "std_logic"
2933o 34
2934suid 51,0
2935)
2936)
2937)
2938*185 (CptPort
2939uid 1691,0
2940ps "OnEdgeStrategy"
2941shape (Triangle
2942uid 1692,0
2943ro 90
2944va (VaSet
2945vasetType 1
2946fg "0,65535,0"
2947)
2948xt "35000,67625,35750,68375"
2949)
2950tg (CPTG
2951uid 1693,0
2952ps "CptPortTextPlaceStrategy"
2953stg "RightVerticalLayoutStrategy"
2954f (Text
2955uid 1694,0
2956va (VaSet
2957)
2958xt "28500,67500,34000,68500"
2959st "RS485_E_RE"
2960ju 2
2961blo "34000,68300"
2962tm "CptPortNameMgr"
2963)
2964)
2965dt (MLText
2966uid 1695,0
2967va (VaSet
2968font "Courier New,8,0"
2969)
2970xt "44000,32400,61500,33200"
2971st "RS485_E_RE : OUT std_logic ;
2972"
2973)
2974thePort (LogicalPort
2975m 1
2976decl (Decl
2977n "RS485_E_RE"
2978t "std_logic"
2979o 39
2980suid 52,0
2981)
2982)
2983)
2984*186 (CptPort
2985uid 1696,0
2986ps "OnEdgeStrategy"
2987shape (Triangle
2988uid 1697,0
2989ro 90
2990va (VaSet
2991vasetType 1
2992fg "0,65535,0"
2993)
2994xt "35000,69625,35750,70375"
2995)
2996tg (CPTG
2997uid 1698,0
2998ps "CptPortTextPlaceStrategy"
2999stg "RightVerticalLayoutStrategy"
3000f (Text
3001uid 1699,0
3002va (VaSet
3003)
3004xt "28500,69500,34000,70500"
3005st "RS485_E_DE"
3006ju 2
3007blo "34000,70300"
3008tm "CptPortNameMgr"
3009)
3010)
3011dt (MLText
3012uid 1700,0
3013va (VaSet
3014font "Courier New,8,0"
3015)
3016xt "44000,30800,61500,31600"
3017st "RS485_E_DE : OUT std_logic ;
3018"
3019)
3020thePort (LogicalPort
3021m 1
3022decl (Decl
3023n "RS485_E_DE"
3024t "std_logic"
3025o 37
3026suid 53,0
3027)
3028)
3029)
3030*187 (CptPort
3031uid 1701,0
3032ps "OnEdgeStrategy"
3033shape (Triangle
3034uid 1702,0
3035ro 90
3036va (VaSet
3037vasetType 1
3038fg "0,65535,0"
3039)
3040xt "35000,71625,35750,72375"
3041)
3042tg (CPTG
3043uid 1703,0
3044ps "CptPortTextPlaceStrategy"
3045stg "RightVerticalLayoutStrategy"
3046f (Text
3047uid 1704,0
3048va (VaSet
3049)
3050xt "30000,71500,34000,72500"
3051st "DENABLE"
3052ju 2
3053blo "34000,72300"
3054tm "CptPortNameMgr"
3055)
3056t (Text
3057uid 1919,0
3058va (VaSet
3059)
3060xt "32800,72500,34000,73500"
3061st "'0'"
3062ju 2
3063blo "34000,73300"
3064tm "InitValueDelayMgr"
3065)
3066)
3067dt (MLText
3068uid 1705,0
3069va (VaSet
3070font "Courier New,8,0"
3071)
3072xt "44000,19600,76000,20400"
3073st "DENABLE : OUT std_logic := '0' ;
3074"
3075)
3076thePort (LogicalPort
3077m 1
3078decl (Decl
3079n "DENABLE"
3080t "std_logic"
3081o 23
3082suid 54,0
3083i "'0'"
3084)
3085)
3086)
3087*188 (CptPort
3088uid 1706,0
3089ps "OnEdgeStrategy"
3090shape (Triangle
3091uid 1707,0
3092ro 90
3093va (VaSet
3094vasetType 1
3095fg "0,65535,0"
3096)
3097xt "35000,73625,35750,74375"
3098)
3099tg (CPTG
3100uid 1708,0
3101ps "CptPortTextPlaceStrategy"
3102stg "RightVerticalLayoutStrategy"
3103f (Text
3104uid 1709,0
3105va (VaSet
3106)
3107xt "31700,73500,34000,74500"
3108st "SRIN"
3109ju 2
3110blo "34000,74300"
3111tm "CptPortNameMgr"
3112)
3113t (Text
3114uid 3982,0
3115va (VaSet
3116)
3117xt "32800,74500,34000,75500"
3118st "'0'"
3119ju 2
3120blo "34000,75300"
3121tm "InitValueDelayMgr"
3122)
3123)
3124dt (MLText
3125uid 1710,0
3126va (VaSet
3127font "Courier New,8,0"
3128)
3129xt "44000,34000,76000,34800"
3130st "SRIN : OUT std_logic := '0' ;
3131"
3132)
3133thePort (LogicalPort
3134m 1
3135decl (Decl
3136n "SRIN"
3137t "std_logic"
3138o 41
3139suid 55,0
3140i "'0'"
3141)
3142)
3143)
3144*189 (CptPort
3145uid 1711,0
3146ps "OnEdgeStrategy"
3147shape (Triangle
3148uid 1712,0
3149ro 90
3150va (VaSet
3151vasetType 1
3152fg "0,65535,0"
3153)
3154xt "35000,75625,35750,76375"
3155)
3156tg (CPTG
3157uid 1713,0
3158ps "CptPortTextPlaceStrategy"
3159stg "RightVerticalLayoutStrategy"
3160f (Text
3161uid 1714,0
3162va (VaSet
3163)
3164xt "31100,75500,34000,76500"
3165st "EE_CS"
3166ju 2
3167blo "34000,76300"
3168tm "CptPortNameMgr"
3169)
3170)
3171dt (MLText
3172uid 1715,0
3173va (VaSet
3174font "Courier New,8,0"
3175)
3176xt "44000,24400,61500,25200"
3177st "EE_CS : OUT std_logic ;
3178"
3179)
3180thePort (LogicalPort
3181m 1
3182decl (Decl
3183n "EE_CS"
3184t "std_logic"
3185o 29
3186suid 56,0
3187)
3188)
3189)
3190*190 (CptPort
3191uid 2068,0
3192ps "OnEdgeStrategy"
3193shape (Triangle
3194uid 2069,0
3195ro 90
3196va (VaSet
3197vasetType 1
3198fg "0,65535,0"
3199)
3200xt "35000,91625,35750,92375"
3201)
3202tg (CPTG
3203uid 2070,0
3204ps "CptPortTextPlaceStrategy"
3205stg "RightVerticalLayoutStrategy"
3206f (Text
3207uid 2071,0
3208va (VaSet
3209)
3210xt "29500,91500,34000,92500"
3211st "D_T : (7:0)"
3212ju 2
3213blo "34000,92300"
3214tm "CptPortNameMgr"
3215)
3216t (Text
3217uid 2072,0
3218va (VaSet
3219)
3220xt "27100,92500,34000,93500"
3221st "(OTHERS => '0')"
3222ju 2
3223blo "34000,93300"
3224tm "InitValueDelayMgr"
3225)
3226)
3227dt (MLText
3228uid 2073,0
3229va (VaSet
3230font "Courier New,8,0"
3231)
3232xt "44000,22800,82000,23600"
3233st "D_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
3234"
3235)
3236thePort (LogicalPort
3237m 1
3238decl (Decl
3239n "D_T"
3240t "std_logic_vector"
3241b "(7 DOWNTO 0)"
3242o 27
3243suid 61,0
3244i "(OTHERS => '0')"
3245)
3246)
3247)
3248*191 (CptPort
3249uid 2919,0
3250ps "OnEdgeStrategy"
3251shape (Triangle
3252uid 2920,0
3253ro 90
3254va (VaSet
3255vasetType 1
3256fg "0,65535,0"
3257)
3258xt "14250,45625,15000,46375"
3259)
3260tg (CPTG
3261uid 2921,0
3262ps "CptPortTextPlaceStrategy"
3263stg "VerticalLayoutStrategy"
3264f (Text
3265uid 2922,0
3266va (VaSet
3267)
3268xt "16000,45500,22800,46500"
3269st "D_PLLLCK : (3:0)"
3270blo "16000,46300"
3271tm "CptPortNameMgr"
3272)
3273)
3274dt (MLText
3275uid 2923,0
3276va (VaSet
3277font "Courier New,8,0"
3278)
3279xt "44000,9200,71500,10000"
3280st "D_PLLLCK : IN std_logic_vector (3 DOWNTO 0) ;
3281"
3282)
3283thePort (LogicalPort
3284decl (Decl
3285n "D_PLLLCK"
3286t "std_logic_vector"
3287b "(3 DOWNTO 0)"
3288o 10
3289suid 64,0
3290)
3291)
3292)
3293*192 (CptPort
3294uid 2949,0
3295ps "OnEdgeStrategy"
3296shape (Triangle
3297uid 2950,0
3298ro 90
3299va (VaSet
3300vasetType 1
3301fg "0,65535,0"
3302)
3303xt "35000,95625,35750,96375"
3304)
3305tg (CPTG
3306uid 2951,0
3307ps "CptPortTextPlaceStrategy"
3308stg "RightVerticalLayoutStrategy"
3309f (Text
3310uid 2952,0
3311va (VaSet
3312)
3313xt "29100,95500,34000,96500"
3314st "D_T2 : (1:0)"
3315ju 2
3316blo "34000,96300"
3317tm "CptPortNameMgr"
3318)
3319t (Text
3320uid 2953,0
3321va (VaSet
3322)
3323xt "28300,96500,34000,97500"
3324st "(others => '0')"
3325ju 2
3326blo "34000,97300"
3327tm "InitValueDelayMgr"
3328)
3329)
3330dt (MLText
3331uid 2954,0
3332va (VaSet
3333font "Courier New,8,0"
3334)
3335xt "44000,23600,82000,24400"
3336st "D_T2 : OUT std_logic_vector (1 DOWNTO 0) := (others => '0') ;
3337"
3338)
3339thePort (LogicalPort
3340m 1
3341decl (Decl
3342n "D_T2"
3343t "std_logic_vector"
3344b "(1 DOWNTO 0)"
3345o 28
3346suid 65,0
3347i "(others => '0')"
3348)
3349)
3350)
3351*193 (CptPort
3352uid 3026,0
3353ps "OnEdgeStrategy"
3354shape (Triangle
3355uid 3027,0
3356ro 90
3357va (VaSet
3358vasetType 1
3359fg "0,65535,0"
3360)
3361xt "35000,97625,35750,98375"
3362)
3363tg (CPTG
3364uid 3028,0
3365ps "CptPortTextPlaceStrategy"
3366stg "RightVerticalLayoutStrategy"
3367f (Text
3368uid 3029,0
3369va (VaSet
3370)
3371xt "29200,97500,34000,98500"
3372st "A1_T : (7:0)"
3373ju 2
3374blo "34000,98300"
3375tm "CptPortNameMgr"
3376)
3377t (Text
3378uid 3123,0
3379va (VaSet
3380)
3381xt "27100,98500,34000,99500"
3382st "(OTHERS => '0')"
3383ju 2
3384blo "34000,99300"
3385tm "InitValueDelayMgr"
3386)
3387)
3388dt (MLText
3389uid 3030,0
3390va (VaSet
3391font "Courier New,8,0"
3392)
3393xt "44000,16400,82000,17200"
3394st "A1_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
3395"
3396)
3397thePort (LogicalPort
3398m 1
3399decl (Decl
3400n "A1_T"
3401t "std_logic_vector"
3402b "(7 DOWNTO 0)"
3403o 19
3404suid 66,0
3405i "(OTHERS => '0')"
3406)
3407)
3408)
3409*194 (CptPort
3410uid 3456,0
3411ps "OnEdgeStrategy"
3412shape (Triangle
3413uid 3457,0
3414ro 90
3415va (VaSet
3416vasetType 1
3417fg "0,65535,0"
3418)
3419xt "35000,99625,35750,100375"
3420)
3421tg (CPTG
3422uid 3458,0
3423ps "CptPortTextPlaceStrategy"
3424stg "RightVerticalLayoutStrategy"
3425f (Text
3426uid 3459,0
3427va (VaSet
3428)
3429xt "29200,99500,34000,100500"
3430st "A0_T : (7:0)"
3431ju 2
3432blo "34000,100300"
3433tm "CptPortNameMgr"
3434)
3435t (Text
3436uid 3460,0
3437va (VaSet
3438)
3439xt "28300,100500,34000,101500"
3440st "(others => '0')"
3441ju 2
3442blo "34000,101300"
3443tm "InitValueDelayMgr"
3444)
3445)
3446dt (MLText
3447uid 3461,0
3448va (VaSet
3449font "Courier New,8,0"
3450)
3451xt "44000,15600,82000,16400"
3452st "A0_T : OUT std_logic_vector (7 DOWNTO 0) := (others => '0') ;
3453"
3454)
3455thePort (LogicalPort
3456m 1
3457decl (Decl
3458n "A0_T"
3459t "std_logic_vector"
3460b "(7 DOWNTO 0)"
3461o 18
3462suid 68,0
3463i "(others => '0')"
3464)
3465)
3466)
3467*195 (CptPort
3468uid 3586,0
3469ps "OnEdgeStrategy"
3470shape (Triangle
3471uid 3587,0
3472ro 90
3473va (VaSet
3474vasetType 1
3475fg "0,65535,0"
3476)
3477xt "35000,101625,35750,102375"
3478)
3479tg (CPTG
3480uid 3588,0
3481ps "CptPortTextPlaceStrategy"
3482stg "RightVerticalLayoutStrategy"
3483f (Text
3484uid 3589,0
3485va (VaSet
3486)
3487xt "28300,101500,34000,102500"
3488st "RS485_C_DO"
3489ju 2
3490blo "34000,102300"
3491tm "CptPortNameMgr"
3492)
3493)
3494dt (MLText
3495uid 3590,0
3496va (VaSet
3497font "Courier New,8,0"
3498)
3499xt "44000,29200,61500,30000"
3500st "RS485_C_DO : OUT std_logic ;
3501"
3502)
3503thePort (LogicalPort
3504m 1
3505decl (Decl
3506n "RS485_C_DO"
3507t "std_logic"
3508o 35
3509suid 70,0
3510)
3511)
3512)
3513*196 (CptPort
3514uid 3687,0
3515ps "OnEdgeStrategy"
3516shape (Triangle
3517uid 3688,0
3518ro 90
3519va (VaSet
3520vasetType 1
3521fg "0,65535,0"
3522)
3523xt "14250,49625,15000,50375"
3524)
3525tg (CPTG
3526uid 3689,0
3527ps "CptPortTextPlaceStrategy"
3528stg "VerticalLayoutStrategy"
3529f (Text
3530uid 3690,0
3531va (VaSet
3532)
3533xt "16000,49500,21200,50500"
3534st "RS485_E_DI"
3535blo "16000,50300"
3536tm "CptPortNameMgr"
3537)
3538)
3539dt (MLText
3540uid 3691,0
3541va (VaSet
3542font "Courier New,8,0"
3543)
3544xt "44000,12400,61500,13200"
3545st "RS485_E_DI : IN std_logic ;
3546"
3547)
3548thePort (LogicalPort
3549decl (Decl
3550n "RS485_E_DI"
3551t "std_logic"
3552o 14
3553suid 71,0
3554)
3555)
3556)
3557*197 (CptPort
3558uid 3692,0
3559ps "OnEdgeStrategy"
3560shape (Triangle
3561uid 4674,0
3562ro 90
3563va (VaSet
3564vasetType 1
3565fg "0,65535,0"
3566)
3567xt "35000,109625,35750,110375"
3568)
3569tg (CPTG
3570uid 3694,0
3571ps "CptPortTextPlaceStrategy"
3572stg "RightVerticalLayoutStrategy"
3573f (Text
3574uid 3695,0
3575va (VaSet
3576)
3577xt "28400,109500,34000,110500"
3578st "RS485_E_DO"
3579ju 2
3580blo "34000,110300"
3581tm "CptPortNameMgr"
3582)
3583)
3584dt (MLText
3585uid 3696,0
3586va (VaSet
3587font "Courier New,8,0"
3588)
3589xt "44000,31600,61500,32400"
3590st "RS485_E_DO : OUT std_logic ;
3591"
3592)
3593thePort (LogicalPort
3594m 1
3595decl (Decl
3596n "RS485_E_DO"
3597t "std_logic"
3598o 38
3599suid 72,0
3600)
3601)
3602)
3603*198 (CptPort
3604uid 4033,0
3605ps "OnEdgeStrategy"
3606shape (Triangle
3607uid 4034,0
3608ro 90
3609va (VaSet
3610vasetType 1
3611fg "0,65535,0"
3612)
3613xt "35000,103625,35750,104375"
3614)
3615tg (CPTG
3616uid 4035,0
3617ps "CptPortTextPlaceStrategy"
3618stg "RightVerticalLayoutStrategy"
3619f (Text
3620uid 4036,0
3621va (VaSet
3622)
3623xt "28900,103500,34000,104500"
3624st "AMBER_LED"
3625ju 2
3626blo "34000,104300"
3627tm "CptPortNameMgr"
3628)
3629)
3630dt (MLText
3631uid 4037,0
3632va (VaSet
3633font "Courier New,8,0"
3634)
3635xt "44000,17200,61500,18000"
3636st "AMBER_LED : OUT std_logic ;
3637"
3638)
3639thePort (LogicalPort
3640m 1
3641decl (Decl
3642n "AMBER_LED"
3643t "std_logic"
3644o 20
3645suid 77,0
3646)
3647)
3648)
3649*199 (CptPort
3650uid 4038,0
3651ps "OnEdgeStrategy"
3652shape (Triangle
3653uid 4039,0
3654ro 90
3655va (VaSet
3656vasetType 1
3657fg "0,65535,0"
3658)
3659xt "35000,105625,35750,106375"
3660)
3661tg (CPTG
3662uid 4040,0
3663ps "CptPortTextPlaceStrategy"
3664stg "RightVerticalLayoutStrategy"
3665f (Text
3666uid 4041,0
3667va (VaSet
3668)
3669xt "28900,105500,34000,106500"
3670st "GREEN_LED"
3671ju 2
3672blo "34000,106300"
3673tm "CptPortNameMgr"
3674)
3675)
3676dt (MLText
3677uid 4042,0
3678va (VaSet
3679font "Courier New,8,0"
3680)
3681xt "44000,25200,61500,26000"
3682st "GREEN_LED : OUT std_logic ;
3683"
3684)
3685thePort (LogicalPort
3686m 1
3687decl (Decl
3688n "GREEN_LED"
3689t "std_logic"
3690o 30
3691suid 78,0
3692)
3693)
3694)
3695*200 (CptPort
3696uid 4043,0
3697ps "OnEdgeStrategy"
3698shape (Triangle
3699uid 4044,0
3700ro 90
3701va (VaSet
3702vasetType 1
3703fg "0,65535,0"
3704)
3705xt "35000,107625,35750,108375"
3706)
3707tg (CPTG
3708uid 4045,0
3709ps "CptPortTextPlaceStrategy"
3710stg "RightVerticalLayoutStrategy"
3711f (Text
3712uid 4046,0
3713va (VaSet
3714)
3715xt "30000,107500,34000,108500"
3716st "RED_LED"
3717ju 2
3718blo "34000,108300"
3719tm "CptPortNameMgr"
3720)
3721)
3722dt (MLText
3723uid 4047,0
3724va (VaSet
3725font "Courier New,8,0"
3726)
3727xt "44000,27600,61500,28400"
3728st "RED_LED : OUT std_logic ;
3729"
3730)
3731thePort (LogicalPort
3732m 1
3733decl (Decl
3734n "RED_LED"
3735t "std_logic"
3736o 33
3737suid 79,0
3738)
3739)
3740)
3741*201 (CptPort
3742uid 4264,0
3743ps "OnEdgeStrategy"
3744shape (Triangle
3745uid 4265,0
3746ro 90
3747va (VaSet
3748vasetType 1
3749fg "0,65535,0"
3750)
3751xt "14250,55625,15000,56375"
3752)
3753tg (CPTG
3754uid 4266,0
3755ps "CptPortTextPlaceStrategy"
3756stg "VerticalLayoutStrategy"
3757f (Text
3758uid 4267,0
3759va (VaSet
3760)
3761xt "16000,55500,19500,56500"
3762st "REFCLK"
3763blo "16000,56300"
3764tm "CptPortNameMgr"
3765)
3766)
3767dt (MLText
3768uid 4268,0
3769va (VaSet
3770font "Courier New,8,0"
3771)
3772xt "44000,11600,61500,12400"
3773st "REFCLK : IN std_logic ;
3774"
3775)
3776thePort (LogicalPort
3777decl (Decl
3778n "REFCLK"
3779t "std_logic"
3780o 13
3781suid 81,0
3782)
3783)
3784)
3785*202 (CptPort
3786uid 4294,0
3787ps "OnEdgeStrategy"
3788shape (Triangle
3789uid 4295,0
3790ro 90
3791va (VaSet
3792vasetType 1
3793fg "0,65535,0"
3794)
3795xt "14250,57625,15000,58375"
3796)
3797tg (CPTG
3798uid 4296,0
3799ps "CptPortTextPlaceStrategy"
3800stg "VerticalLayoutStrategy"
3801f (Text
3802uid 4297,0
3803va (VaSet
3804)
3805xt "16000,57500,20700,58500"
3806st "LINE : (5:0)"
3807blo "16000,58300"
3808tm "CptPortNameMgr"
3809)
3810)
3811dt (MLText
3812uid 4298,0
3813va (VaSet
3814font "Courier New,8,0"
3815)
3816xt "44000,10800,72500,11600"
3817st "LINE : IN std_logic_vector ( 5 DOWNTO 0 ) ;
3818"
3819)
3820thePort (LogicalPort
3821decl (Decl
3822n "LINE"
3823t "std_logic_vector"
3824b "( 5 DOWNTO 0 )"
3825o 12
3826suid 82,0
3827)
3828)
3829)
3830*203 (CptPort
3831uid 4324,0
3832ps "OnEdgeStrategy"
3833shape (Triangle
3834uid 4325,0
3835ro 90
3836va (VaSet
3837vasetType 1
3838fg "0,65535,0"
3839)
3840xt "14250,59625,15000,60375"
3841)
3842tg (CPTG
3843uid 4326,0
3844ps "CptPortTextPlaceStrategy"
3845stg "VerticalLayoutStrategy"
3846f (Text
3847uid 4327,0
3848va (VaSet
3849)
3850xt "16000,59500,21500,60500"
3851st "D_T_in : (1:0)"
3852blo "16000,60300"
3853tm "CptPortNameMgr"
3854)
3855)
3856dt (MLText
3857uid 4328,0
3858va (VaSet
3859font "Courier New,8,0"
3860)
3861xt "44000,10000,71500,10800"
3862st "D_T_in : IN std_logic_vector (1 DOWNTO 0) ;
3863"
3864)
3865thePort (LogicalPort
3866decl (Decl
3867n "D_T_in"
3868t "std_logic_vector"
3869b "(1 DOWNTO 0)"
3870o 11
3871suid 83,0
3872)
3873)
3874)
3875*204 (CptPort
3876uid 4838,0
3877ps "OnEdgeStrategy"
3878shape (Triangle
3879uid 4839,0
3880ro 90
3881va (VaSet
3882vasetType 1
3883fg "0,65535,0"
3884)
3885xt "35000,111625,35750,112375"
3886)
3887tg (CPTG
3888uid 4840,0
3889ps "CptPortTextPlaceStrategy"
3890stg "RightVerticalLayoutStrategy"
3891f (Text
3892uid 4841,0
3893va (VaSet
3894)
3895xt "29400,111500,34000,112500"
3896st "TCS : (3:0)"
3897ju 2
3898blo "34000,112300"
3899tm "CptPortNameMgr"
3900)
3901)
3902dt (MLText
3903uid 4842,0
3904va (VaSet
3905font "Courier New,8,0"
3906)
3907xt "44000,35600,71500,36400"
3908st "TCS : OUT std_logic_vector (3 DOWNTO 0) ;
3909"
3910)
3911thePort (LogicalPort
3912m 1
3913decl (Decl
3914n "TCS"
3915t "std_logic_vector"
3916b "(3 DOWNTO 0)"
3917o 43
3918suid 84,0
3919)
3920)
3921)
3922*205 (CptPort
3923uid 5126,0
3924ps "OnEdgeStrategy"
3925shape (Triangle
3926uid 5127,0
3927ro 90
3928va (VaSet
3929vasetType 1
3930fg "0,65535,0"
3931)
3932xt "35000,113625,35750,114375"
3933)
3934tg (CPTG
3935uid 5128,0
3936ps "CptPortTextPlaceStrategy"
3937stg "RightVerticalLayoutStrategy"
3938f (Text
3939uid 5129,0
3940va (VaSet
3941)
3942xt "27800,113500,34000,114500"
3943st "DSRCLK : (3:0)"
3944ju 2
3945blo "34000,114300"
3946tm "CptPortNameMgr"
3947)
3948t (Text
3949uid 5130,0
3950va (VaSet
3951)
3952xt "28300,114500,34000,115500"
3953st "(others => '0')"
3954ju 2
3955blo "34000,115300"
3956tm "InitValueDelayMgr"
3957)
3958)
3959dt (MLText
3960uid 5131,0
3961va (VaSet
3962font "Courier New,8,0"
3963)
3964xt "44000,20400,82000,21200"
3965st "DSRCLK : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ;
3966"
3967)
3968thePort (LogicalPort
3969m 1
3970decl (Decl
3971n "DSRCLK"
3972t "std_logic_vector"
3973b "(3 DOWNTO 0)"
3974o 24
3975suid 89,0
3976i "(others => '0')"
3977)
3978)
3979)
3980*206 (CptPort
3981uid 5732,0
3982ps "OnEdgeStrategy"
3983shape (Triangle
3984uid 5733,0
3985ro 90
3986va (VaSet
3987vasetType 1
3988fg "0,65535,0"
3989)
3990xt "35000,115625,35750,116375"
3991)
3992tg (CPTG
3993uid 5734,0
3994ps "CptPortTextPlaceStrategy"
3995stg "RightVerticalLayoutStrategy"
3996f (Text
3997uid 5735,0
3998va (VaSet
3999)
4000xt "31000,115500,34000,116500"
4001st "TRG_V"
4002ju 2
4003blo "34000,116300"
4004tm "CptPortNameMgr"
4005)
4006t (Text
4007uid 5736,0
4008va (VaSet
4009)
4010xt "32800,116500,34000,117500"
4011st "'0'"
4012ju 2
4013blo "34000,117300"
4014tm "InitValueDelayMgr"
4015)
4016)
4017dt (MLText
4018uid 5737,0
4019va (VaSet
4020font "Courier New,8,0"
4021)
4022xt "44000,36400,76000,37200"
4023st "TRG_V : OUT std_logic := '0' ;
4024"
4025)
4026thePort (LogicalPort
4027lang 2
4028m 1
4029decl (Decl
4030n "TRG_V"
4031t "std_logic"
4032o 44
4033suid 90,0
4034i "'0'"
4035)
4036)
4037)
4038*207 (CptPort
4039uid 6062,0
4040ps "OnEdgeStrategy"
4041shape (Triangle
4042uid 6063,0
4043ro 90
4044va (VaSet
4045vasetType 1
4046fg "0,65535,0"
4047)
4048xt "35000,117625,35750,118375"
4049)
4050tg (CPTG
4051uid 6064,0
4052ps "CptPortTextPlaceStrategy"
4053stg "RightVerticalLayoutStrategy"
4054f (Text
4055uid 6065,0
4056va (VaSet
4057)
4058xt "28800,117500,34000,118500"
4059st "W_T : (3:0)"
4060ju 2
4061blo "34000,118300"
4062tm "CptPortNameMgr"
4063)
4064t (Text
4065uid 6066,0
4066va (VaSet
4067)
4068xt "27700,118500,34000,119500"
4069st "(others => '0')"
4070ju 2
4071blo "34000,119300"
4072tm "InitValueDelayMgr"
4073)
4074)
4075dt (MLText
4076uid 6067,0
4077va (VaSet
4078font "Courier New,8,0"
4079)
4080xt "44000,40400,82000,41200"
4081st "W_T : OUT std_logic_vector ( 3 DOWNTO 0 ) := (others => '0') ;
4082"
4083)
4084thePort (LogicalPort
4085m 1
4086decl (Decl
4087n "W_T"
4088t "std_logic_vector"
4089b "( 3 DOWNTO 0 )"
4090o 49
4091suid 91,0
4092i "(others => '0')"
4093)
4094)
4095)
4096]
4097shape (Rectangle
4098uid 9,0
4099va (VaSet
4100vasetType 1
4101fg "0,65535,0"
4102lineColor "0,32896,0"
4103lineWidth 2
4104)
4105xt "15000,6000,35000,121000"
4106)
4107oxt "15000,6000,33000,26000"
4108biTextGroup (BiTextGroup
4109uid 10,0
4110ps "CenterOffsetStrategy"
4111stg "VerticalLayoutStrategy"
4112first (Text
4113uid 11,0
4114va (VaSet
4115font "Arial,8,1"
4116)
4117xt "22200,15000,28400,16000"
4118st "FACT_FAD_lib"
4119blo "22200,15800"
4120)
4121second (Text
4122uid 12,0
4123va (VaSet
4124font "Arial,8,1"
4125)
4126xt "22200,16000,26900,17000"
4127st "FAD_Board"
4128blo "22200,16800"
4129)
4130)
4131gi *208 (GenericInterface
4132uid 13,0
4133ps "CenterOffsetStrategy"
4134matrix (Matrix
4135uid 14,0
4136text (MLText
4137uid 15,0
4138va (VaSet
4139font "Courier New,8,0"
4140)
4141xt "0,12000,11500,12800"
4142st "Generic Declarations"
4143)
4144header "Generic Declarations"
4145showHdrWhenContentsEmpty 1
4146)
4147elements [
4148]
4149)
4150portInstanceVisAsIs 1
4151portInstanceVis (PortSigDisplay
4152sIVOD 1
4153)
4154portVis (PortSigDisplay
4155sIVOD 1
4156)
4157)
4158*209 (Grouping
4159uid 16,0
4160optionalChildren [
4161*210 (CommentText
4162uid 18,0
4163shape (Rectangle
4164uid 19,0
4165sl 0
4166va (VaSet
4167vasetType 1
4168fg "65280,65280,46080"
4169)
4170xt "36000,48000,53000,49000"
4171)
4172oxt "18000,70000,35000,71000"
4173text (MLText
4174uid 20,0
4175va (VaSet
4176fg "0,0,32768"
4177bg "0,0,32768"
4178)
4179xt "36200,48000,47000,49000"
4180st "
4181by %user on %dd %month %year
4182"
4183tm "CommentText"
4184wrapOption 3
4185visibleHeight 1000
4186visibleWidth 17000
4187)
4188position 1
4189ignorePrefs 1
4190titleBlock 1
4191)
4192*211 (CommentText
4193uid 21,0
4194shape (Rectangle
4195uid 22,0
4196sl 0
4197va (VaSet
4198vasetType 1
4199fg "65280,65280,46080"
4200)
4201xt "53000,44000,57000,45000"
4202)
4203oxt "35000,66000,39000,67000"
4204text (MLText
4205uid 23,0
4206va (VaSet
4207fg "0,0,32768"
4208bg "0,0,32768"
4209)
4210xt "53200,44000,56500,45000"
4211st "
4212Project:
4213"
4214tm "CommentText"
4215wrapOption 3
4216visibleHeight 1000
4217visibleWidth 4000
4218)
4219position 1
4220ignorePrefs 1
4221titleBlock 1
4222)
4223*212 (CommentText
4224uid 24,0
4225shape (Rectangle
4226uid 25,0
4227sl 0
4228va (VaSet
4229vasetType 1
4230fg "65280,65280,46080"
4231)
4232xt "36000,46000,53000,47000"
4233)
4234oxt "18000,68000,35000,69000"
4235text (MLText
4236uid 26,0
4237va (VaSet
4238fg "0,0,32768"
4239bg "0,0,32768"
4240)
4241xt "36200,46000,47100,47000"
4242st "
4243<enter diagram title here>
4244"
4245tm "CommentText"
4246wrapOption 3
4247visibleHeight 1000
4248visibleWidth 17000
4249)
4250position 1
4251ignorePrefs 1
4252titleBlock 1
4253)
4254*213 (CommentText
4255uid 27,0
4256shape (Rectangle
4257uid 28,0
4258sl 0
4259va (VaSet
4260vasetType 1
4261fg "65280,65280,46080"
4262)
4263xt "32000,46000,36000,47000"
4264)
4265oxt "14000,68000,18000,69000"
4266text (MLText
4267uid 29,0
4268va (VaSet
4269fg "0,0,32768"
4270bg "0,0,32768"
4271)
4272xt "32200,46000,34500,47000"
4273st "
4274Title:
4275"
4276tm "CommentText"
4277wrapOption 3
4278visibleHeight 1000
4279visibleWidth 4000
4280)
4281position 1
4282ignorePrefs 1
4283titleBlock 1
4284)
4285*214 (CommentText
4286uid 30,0
4287shape (Rectangle
4288uid 31,0
4289sl 0
4290va (VaSet
4291vasetType 1
4292fg "65280,65280,46080"
4293)
4294xt "53000,45000,73000,49000"
4295)
4296oxt "35000,67000,55000,71000"
4297text (MLText
4298uid 32,0
4299va (VaSet
4300fg "0,0,32768"
4301bg "0,0,32768"
4302)
4303xt "53200,45200,63000,46200"
4304st "
4305<enter comments here>
4306"
4307tm "CommentText"
4308wrapOption 3
4309visibleHeight 4000
4310visibleWidth 20000
4311)
4312ignorePrefs 1
4313titleBlock 1
4314)
4315*215 (CommentText
4316uid 33,0
4317shape (Rectangle
4318uid 34,0
4319sl 0
4320va (VaSet
4321vasetType 1
4322fg "65280,65280,46080"
4323)
4324xt "57000,44000,73000,45000"
4325)
4326oxt "39000,66000,55000,67000"
4327text (MLText
4328uid 35,0
4329va (VaSet
4330fg "0,0,32768"
4331bg "0,0,32768"
4332)
4333xt "57200,44000,61900,45000"
4334st "
4335%project_name
4336"
4337tm "CommentText"
4338wrapOption 3
4339visibleHeight 1000
4340visibleWidth 16000
4341)
4342position 1
4343ignorePrefs 1
4344titleBlock 1
4345)
4346*216 (CommentText
4347uid 36,0
4348shape (Rectangle
4349uid 37,0
4350sl 0
4351va (VaSet
4352vasetType 1
4353fg "65280,65280,46080"
4354)
4355xt "32000,44000,53000,46000"
4356)
4357oxt "14000,66000,35000,68000"
4358text (MLText
4359uid 38,0
4360va (VaSet
4361fg "32768,0,0"
4362)
4363xt "39450,44000,45550,46000"
4364st "
4365TU Dortmund
4366Physik / EE
4367"
4368ju 0
4369tm "CommentText"
4370wrapOption 3
4371visibleHeight 2000
4372visibleWidth 21000
4373)
4374position 1
4375ignorePrefs 1
4376titleBlock 1
4377)
4378*217 (CommentText
4379uid 39,0
4380shape (Rectangle
4381uid 40,0
4382sl 0
4383va (VaSet
4384vasetType 1
4385fg "65280,65280,46080"
4386)
4387xt "32000,47000,36000,48000"
4388)
4389oxt "14000,69000,18000,70000"
4390text (MLText
4391uid 41,0
4392va (VaSet
4393fg "0,0,32768"
4394bg "0,0,32768"
4395)
4396xt "32200,47000,34500,48000"
4397st "
4398Path:
4399"
4400tm "CommentText"
4401wrapOption 3
4402visibleHeight 1000
4403visibleWidth 4000
4404)
4405position 1
4406ignorePrefs 1
4407titleBlock 1
4408)
4409*218 (CommentText
4410uid 42,0
4411shape (Rectangle
4412uid 43,0
4413sl 0
4414va (VaSet
4415vasetType 1
4416fg "65280,65280,46080"
4417)
4418xt "32000,48000,36000,49000"
4419)
4420oxt "14000,70000,18000,71000"
4421text (MLText
4422uid 44,0
4423va (VaSet
4424fg "0,0,32768"
4425bg "0,0,32768"
4426)
4427xt "32200,48000,35300,49000"
4428st "
4429Edited:
4430"
4431tm "CommentText"
4432wrapOption 3
4433visibleHeight 1000
4434visibleWidth 4000
4435)
4436position 1
4437ignorePrefs 1
4438titleBlock 1
4439)
4440*219 (CommentText
4441uid 45,0
4442shape (Rectangle
4443uid 46,0
4444sl 0
4445va (VaSet
4446vasetType 1
4447fg "65280,65280,46080"
4448)
4449xt "36000,47000,53000,48000"
4450)
4451oxt "18000,69000,35000,70000"
4452text (MLText
4453uid 47,0
4454va (VaSet
4455fg "0,0,32768"
4456bg "0,0,32768"
4457)
4458xt "36200,47000,51000,48000"
4459st "
4460%library/%unit/%view
4461"
4462tm "CommentText"
4463wrapOption 3
4464visibleHeight 1000
4465visibleWidth 17000
4466)
4467position 1
4468ignorePrefs 1
4469titleBlock 1
4470)
4471]
4472shape (GroupingShape
4473uid 17,0
4474va (VaSet
4475vasetType 1
4476fg "65535,65535,65535"
4477lineStyle 2
4478lineWidth 2
4479)
4480xt "32000,44000,73000,49000"
4481)
4482oxt "14000,66000,55000,71000"
4483)
4484]
4485bg "65535,65535,65535"
4486grid (Grid
4487origin "0,0"
4488isVisible 1
4489isActive 1
4490xSpacing 1000
4491xySpacing 1000
4492xShown 1
4493yShown 1
4494color "26368,26368,26368"
4495)
4496packageList *220 (PackageList
4497uid 48,0
4498stg "VerticalLayoutStrategy"
4499textVec [
4500*221 (Text
4501uid 49,0
4502va (VaSet
4503font "Arial,8,1"
4504)
4505xt "0,0,5400,1000"
4506st "Package List"
4507blo "0,800"
4508)
4509*222 (MLText
4510uid 50,0
4511va (VaSet
4512)
4513xt "0,1000,11900,4000"
4514st "LIBRARY ieee;
4515USE ieee.std_logic_1164.all;
4516USE ieee.std_logic_arith.all;"
4517tm "PackageList"
4518)
4519]
4520)
4521windowSize "0,0,1015,690"
4522viewArea "0,0,0,0"
4523cachedDiagramExtent "0,0,0,0"
4524pageBreakOrigin "0,0"
4525defaultCommentText (CommentText
4526shape (Rectangle
4527layer 0
4528va (VaSet
4529vasetType 1
4530fg "65280,65280,46080"
4531lineColor "0,0,32768"
4532)
4533xt "0,0,15000,5000"
4534)
4535text (MLText
4536va (VaSet
4537fg "0,0,32768"
4538)
4539xt "200,200,2400,1200"
4540st "
4541Text
4542"
4543tm "CommentText"
4544wrapOption 3
4545visibleHeight 4600
4546visibleWidth 14600
4547)
4548)
4549defaultPanel (Panel
4550shape (RectFrame
4551va (VaSet
4552vasetType 1
4553fg "65535,65535,65535"
4554lineColor "32768,0,0"
4555lineWidth 3
4556)
4557xt "0,0,20000,20000"
4558)
4559title (TextAssociate
4560ps "TopLeftStrategy"
4561text (Text
4562va (VaSet
4563font "Arial,8,1"
4564)
4565xt "1000,1000,3800,2000"
4566st "Panel0"
4567blo "1000,1800"
4568tm "PanelText"
4569)
4570)
4571)
4572parentGraphicsRef (HdmGraphicsRef
4573libraryName ""
4574entityName ""
4575viewName ""
4576)
4577defaultSymbolBody (SymbolBody
4578shape (Rectangle
4579va (VaSet
4580vasetType 1
4581fg "0,65535,0"
4582lineColor "0,32896,0"
4583lineWidth 2
4584)
4585xt "15000,6000,33000,26000"
4586)
4587biTextGroup (BiTextGroup
4588ps "CenterOffsetStrategy"
4589stg "VerticalLayoutStrategy"
4590first (Text
4591va (VaSet
4592font "Arial,8,1"
4593)
4594xt "22200,15000,25800,16000"
4595st "<library>"
4596blo "22200,15800"
4597)
4598second (Text
4599va (VaSet
4600font "Arial,8,1"
4601)
4602xt "22200,16000,24800,17000"
4603st "<cell>"
4604blo "22200,16800"
4605)
4606)
4607gi *223 (GenericInterface
4608ps "CenterOffsetStrategy"
4609matrix (Matrix
4610text (MLText
4611va (VaSet
4612font "Courier New,8,0"
4613)
4614xt "0,12000,11500,12800"
4615st "Generic Declarations"
4616)
4617header "Generic Declarations"
4618showHdrWhenContentsEmpty 1
4619)
4620elements [
4621]
4622)
4623portInstanceVisAsIs 1
4624portInstanceVis (PortSigDisplay
4625sIVOD 1
4626)
4627portVis (PortSigDisplay
4628sIVOD 1
4629)
4630)
4631defaultCptPort (CptPort
4632ps "OnEdgeStrategy"
4633shape (Triangle
4634ro 90
4635va (VaSet
4636vasetType 1
4637fg "0,65535,0"
4638)
4639xt "0,0,750,750"
4640)
4641tg (CPTG
4642ps "CptPortTextPlaceStrategy"
4643stg "VerticalLayoutStrategy"
4644f (Text
4645va (VaSet
4646)
4647xt "0,750,1400,1750"
4648st "In0"
4649blo "0,1550"
4650tm "CptPortNameMgr"
4651)
4652)
4653dt (MLText
4654va (VaSet
4655font "Courier New,8,0"
4656)
4657)
4658thePort (LogicalPort
4659decl (Decl
4660n "In0"
4661t "std_logic_vector"
4662b "(15 DOWNTO 0)"
4663o 0
4664)
4665)
4666)
4667defaultCptPortBuffer (CptPort
4668ps "OnEdgeStrategy"
4669shape (Diamond
4670va (VaSet
4671vasetType 1
4672fg "65535,65535,65535"
4673bg "0,0,0"
4674)
4675xt "0,0,750,750"
4676)
4677tg (CPTG
4678ps "CptPortTextPlaceStrategy"
4679stg "VerticalLayoutStrategy"
4680f (Text
4681va (VaSet
4682)
4683xt "0,750,2800,1750"
4684st "Buffer0"
4685blo "0,1550"
4686tm "CptPortNameMgr"
4687)
4688)
4689dt (MLText
4690va (VaSet
4691font "Courier New,8,0"
4692)
4693)
4694thePort (LogicalPort
4695m 3
4696decl (Decl
4697n "Buffer0"
4698t "std_logic_vector"
4699b "(15 DOWNTO 0)"
4700o 0
4701)
4702)
4703)
4704DeclarativeBlock *224 (SymDeclBlock
4705uid 1,0
4706stg "SymDeclLayoutStrategy"
4707declLabel (Text
4708uid 2,0
4709va (VaSet
4710font "Arial,8,1"
4711)
4712xt "42000,0,47400,1000"
4713st "Declarations"
4714blo "42000,800"
4715)
4716portLabel (Text
4717uid 3,0
4718va (VaSet
4719font "Arial,8,1"
4720)
4721xt "42000,1000,44700,2000"
4722st "Ports:"
4723blo "42000,1800"
4724)
4725externalLabel (Text
4726uid 4,0
4727va (VaSet
4728font "Arial,8,1"
4729)
4730xt "42000,43600,44400,44600"
4731st "User:"
4732blo "42000,44400"
4733)
4734internalLabel (Text
4735uid 6,0
4736va (VaSet
4737isHidden 1
4738font "Arial,8,1"
4739)
4740xt "42000,0,47800,1000"
4741st "Internal User:"
4742blo "42000,800"
4743)
4744externalText (MLText
4745uid 5,0
4746va (VaSet
4747font "Courier New,8,0"
4748)
4749xt "44000,44600,44000,44600"
4750tm "SyDeclarativeTextMgr"
4751)
4752internalText (MLText
4753uid 7,0
4754va (VaSet
4755isHidden 1
4756font "Courier New,8,0"
4757)
4758xt "42000,0,42000,0"
4759tm "SyDeclarativeTextMgr"
4760)
4761)
4762lastUid 6189,0
4763activeModelName "Symbol:CDM"
4764)
Note: See TracBrowser for help on using the repository browser.