1 | DocumentHdrVersion "1.1"
|
---|
2 | Header (DocumentHdr
|
---|
3 | version 2
|
---|
4 | dmPackageRefs [
|
---|
5 | (DmPackageRef
|
---|
6 | library "ieee"
|
---|
7 | unitName "std_logic_1164"
|
---|
8 | )
|
---|
9 | (DmPackageRef
|
---|
10 | library "ieee"
|
---|
11 | unitName "std_logic_arith"
|
---|
12 | )
|
---|
13 | ]
|
---|
14 | libraryRefs [
|
---|
15 | "ieee"
|
---|
16 | ]
|
---|
17 | )
|
---|
18 | version "24.1"
|
---|
19 | appVersion "2009.1 (Build 12)"
|
---|
20 | model (Symbol
|
---|
21 | commonDM (CommonDM
|
---|
22 | ldm (LogicalDM
|
---|
23 | suid 92,0
|
---|
24 | usingSuid 1
|
---|
25 | emptyRow *1 (LEmptyRow
|
---|
26 | )
|
---|
27 | uid 53,0
|
---|
28 | optionalChildren [
|
---|
29 | *2 (RefLabelRowHdr
|
---|
30 | )
|
---|
31 | *3 (TitleRowHdr
|
---|
32 | )
|
---|
33 | *4 (FilterRowHdr
|
---|
34 | )
|
---|
35 | *5 (RefLabelColHdr
|
---|
36 | tm "RefLabelColHdrMgr"
|
---|
37 | )
|
---|
38 | *6 (RowExpandColHdr
|
---|
39 | tm "RowExpandColHdrMgr"
|
---|
40 | )
|
---|
41 | *7 (GroupColHdr
|
---|
42 | tm "GroupColHdrMgr"
|
---|
43 | )
|
---|
44 | *8 (NameColHdr
|
---|
45 | tm "NameColHdrMgr"
|
---|
46 | )
|
---|
47 | *9 (ModeColHdr
|
---|
48 | tm "ModeColHdrMgr"
|
---|
49 | )
|
---|
50 | *10 (TypeColHdr
|
---|
51 | tm "TypeColHdrMgr"
|
---|
52 | )
|
---|
53 | *11 (BoundsColHdr
|
---|
54 | tm "BoundsColHdrMgr"
|
---|
55 | )
|
---|
56 | *12 (InitColHdr
|
---|
57 | tm "InitColHdrMgr"
|
---|
58 | )
|
---|
59 | *13 (EolColHdr
|
---|
60 | tm "EolColHdrMgr"
|
---|
61 | )
|
---|
62 | *14 (LogPort
|
---|
63 | port (LogicalPort
|
---|
64 | m 1
|
---|
65 | decl (Decl
|
---|
66 | n "RSRLOAD"
|
---|
67 | t "std_logic"
|
---|
68 | o 40
|
---|
69 | suid 11,0
|
---|
70 | i "'0'"
|
---|
71 | )
|
---|
72 | )
|
---|
73 | uid 690,0
|
---|
74 | )
|
---|
75 | *15 (LogPort
|
---|
76 | port (LogicalPort
|
---|
77 | decl (Decl
|
---|
78 | n "X_50M"
|
---|
79 | t "STD_LOGIC"
|
---|
80 | preAdd 0
|
---|
81 | posAdd 0
|
---|
82 | o 17
|
---|
83 | suid 15,0
|
---|
84 | )
|
---|
85 | )
|
---|
86 | uid 1111,0
|
---|
87 | )
|
---|
88 | *16 (LogPort
|
---|
89 | port (LogicalPort
|
---|
90 | decl (Decl
|
---|
91 | n "TRG"
|
---|
92 | t "STD_LOGIC"
|
---|
93 | o 15
|
---|
94 | suid 16,0
|
---|
95 | )
|
---|
96 | )
|
---|
97 | uid 1113,0
|
---|
98 | )
|
---|
99 | *17 (LogPort
|
---|
100 | port (LogicalPort
|
---|
101 | m 1
|
---|
102 | decl (Decl
|
---|
103 | n "A_CLK"
|
---|
104 | t "std_logic_vector"
|
---|
105 | b "(3 downto 0)"
|
---|
106 | o 21
|
---|
107 | suid 17,0
|
---|
108 | )
|
---|
109 | )
|
---|
110 | uid 1115,0
|
---|
111 | )
|
---|
112 | *18 (LogPort
|
---|
113 | port (LogicalPort
|
---|
114 | m 1
|
---|
115 | decl (Decl
|
---|
116 | n "OE_ADC"
|
---|
117 | t "STD_LOGIC"
|
---|
118 | preAdd 0
|
---|
119 | posAdd 0
|
---|
120 | o 32
|
---|
121 | suid 18,0
|
---|
122 | )
|
---|
123 | )
|
---|
124 | uid 1155,0
|
---|
125 | )
|
---|
126 | *19 (LogPort
|
---|
127 | port (LogicalPort
|
---|
128 | decl (Decl
|
---|
129 | n "A_OTR"
|
---|
130 | t "std_logic_vector"
|
---|
131 | b "(3 DOWNTO 0)"
|
---|
132 | o 5
|
---|
133 | suid 19,0
|
---|
134 | )
|
---|
135 | )
|
---|
136 | uid 1157,0
|
---|
137 | )
|
---|
138 | *20 (LogPort
|
---|
139 | port (LogicalPort
|
---|
140 | decl (Decl
|
---|
141 | n "A0_D"
|
---|
142 | t "std_logic_vector"
|
---|
143 | b "(11 DOWNTO 0)"
|
---|
144 | o 1
|
---|
145 | suid 20,0
|
---|
146 | )
|
---|
147 | )
|
---|
148 | uid 1159,0
|
---|
149 | )
|
---|
150 | *21 (LogPort
|
---|
151 | port (LogicalPort
|
---|
152 | decl (Decl
|
---|
153 | n "A1_D"
|
---|
154 | t "std_logic_vector"
|
---|
155 | b "(11 DOWNTO 0)"
|
---|
156 | o 2
|
---|
157 | suid 21,0
|
---|
158 | )
|
---|
159 | )
|
---|
160 | uid 1161,0
|
---|
161 | )
|
---|
162 | *22 (LogPort
|
---|
163 | port (LogicalPort
|
---|
164 | decl (Decl
|
---|
165 | n "A2_D"
|
---|
166 | t "std_logic_vector"
|
---|
167 | b "(11 DOWNTO 0)"
|
---|
168 | o 3
|
---|
169 | suid 22,0
|
---|
170 | )
|
---|
171 | )
|
---|
172 | uid 1163,0
|
---|
173 | )
|
---|
174 | *23 (LogPort
|
---|
175 | port (LogicalPort
|
---|
176 | decl (Decl
|
---|
177 | n "A3_D"
|
---|
178 | t "std_logic_vector"
|
---|
179 | b "(11 DOWNTO 0)"
|
---|
180 | o 4
|
---|
181 | suid 23,0
|
---|
182 | )
|
---|
183 | )
|
---|
184 | uid 1165,0
|
---|
185 | )
|
---|
186 | *24 (LogPort
|
---|
187 | port (LogicalPort
|
---|
188 | decl (Decl
|
---|
189 | n "D0_SROUT"
|
---|
190 | t "std_logic"
|
---|
191 | o 6
|
---|
192 | suid 28,0
|
---|
193 | )
|
---|
194 | )
|
---|
195 | uid 1271,0
|
---|
196 | )
|
---|
197 | *25 (LogPort
|
---|
198 | port (LogicalPort
|
---|
199 | decl (Decl
|
---|
200 | n "D1_SROUT"
|
---|
201 | t "std_logic"
|
---|
202 | o 7
|
---|
203 | suid 29,0
|
---|
204 | )
|
---|
205 | )
|
---|
206 | uid 1273,0
|
---|
207 | )
|
---|
208 | *26 (LogPort
|
---|
209 | port (LogicalPort
|
---|
210 | decl (Decl
|
---|
211 | n "D2_SROUT"
|
---|
212 | t "std_logic"
|
---|
213 | o 8
|
---|
214 | suid 30,0
|
---|
215 | )
|
---|
216 | )
|
---|
217 | uid 1275,0
|
---|
218 | )
|
---|
219 | *27 (LogPort
|
---|
220 | port (LogicalPort
|
---|
221 | decl (Decl
|
---|
222 | n "D3_SROUT"
|
---|
223 | t "std_logic"
|
---|
224 | o 9
|
---|
225 | suid 31,0
|
---|
226 | )
|
---|
227 | )
|
---|
228 | uid 1277,0
|
---|
229 | )
|
---|
230 | *28 (LogPort
|
---|
231 | port (LogicalPort
|
---|
232 | m 1
|
---|
233 | decl (Decl
|
---|
234 | n "D_A"
|
---|
235 | t "std_logic_vector"
|
---|
236 | b "(3 DOWNTO 0)"
|
---|
237 | o 26
|
---|
238 | suid 32,0
|
---|
239 | i "(others => '0')"
|
---|
240 | )
|
---|
241 | )
|
---|
242 | uid 1279,0
|
---|
243 | )
|
---|
244 | *29 (LogPort
|
---|
245 | port (LogicalPort
|
---|
246 | m 1
|
---|
247 | decl (Decl
|
---|
248 | n "DWRITE"
|
---|
249 | t "std_logic"
|
---|
250 | o 25
|
---|
251 | suid 33,0
|
---|
252 | i "'0'"
|
---|
253 | )
|
---|
254 | )
|
---|
255 | uid 1281,0
|
---|
256 | )
|
---|
257 | *30 (LogPort
|
---|
258 | port (LogicalPort
|
---|
259 | m 1
|
---|
260 | decl (Decl
|
---|
261 | n "DAC_CS"
|
---|
262 | t "std_logic"
|
---|
263 | o 22
|
---|
264 | suid 34,0
|
---|
265 | )
|
---|
266 | )
|
---|
267 | uid 1338,0
|
---|
268 | )
|
---|
269 | *31 (LogPort
|
---|
270 | port (LogicalPort
|
---|
271 | m 1
|
---|
272 | decl (Decl
|
---|
273 | n "S_CLK"
|
---|
274 | t "std_logic"
|
---|
275 | o 42
|
---|
276 | suid 39,0
|
---|
277 | )
|
---|
278 | )
|
---|
279 | uid 1348,0
|
---|
280 | )
|
---|
281 | *32 (LogPort
|
---|
282 | port (LogicalPort
|
---|
283 | m 1
|
---|
284 | decl (Decl
|
---|
285 | n "W_A"
|
---|
286 | t "std_logic_vector"
|
---|
287 | b "(9 DOWNTO 0)"
|
---|
288 | o 45
|
---|
289 | suid 40,0
|
---|
290 | )
|
---|
291 | )
|
---|
292 | uid 1350,0
|
---|
293 | )
|
---|
294 | *33 (LogPort
|
---|
295 | port (LogicalPort
|
---|
296 | m 2
|
---|
297 | decl (Decl
|
---|
298 | n "W_D"
|
---|
299 | t "std_logic_vector"
|
---|
300 | b "(15 DOWNTO 0)"
|
---|
301 | o 52
|
---|
302 | suid 41,0
|
---|
303 | )
|
---|
304 | )
|
---|
305 | uid 1352,0
|
---|
306 | )
|
---|
307 | *34 (LogPort
|
---|
308 | port (LogicalPort
|
---|
309 | m 1
|
---|
310 | decl (Decl
|
---|
311 | n "W_RES"
|
---|
312 | t "std_logic"
|
---|
313 | o 48
|
---|
314 | suid 42,0
|
---|
315 | i "'1'"
|
---|
316 | )
|
---|
317 | )
|
---|
318 | uid 1354,0
|
---|
319 | )
|
---|
320 | *35 (LogPort
|
---|
321 | port (LogicalPort
|
---|
322 | m 1
|
---|
323 | decl (Decl
|
---|
324 | n "W_RD"
|
---|
325 | t "std_logic"
|
---|
326 | o 47
|
---|
327 | suid 43,0
|
---|
328 | i "'1'"
|
---|
329 | )
|
---|
330 | )
|
---|
331 | uid 1356,0
|
---|
332 | )
|
---|
333 | *36 (LogPort
|
---|
334 | port (LogicalPort
|
---|
335 | m 1
|
---|
336 | decl (Decl
|
---|
337 | n "W_WR"
|
---|
338 | t "std_logic"
|
---|
339 | o 50
|
---|
340 | suid 44,0
|
---|
341 | i "'1'"
|
---|
342 | )
|
---|
343 | )
|
---|
344 | uid 1358,0
|
---|
345 | )
|
---|
346 | *37 (LogPort
|
---|
347 | port (LogicalPort
|
---|
348 | decl (Decl
|
---|
349 | n "W_INT"
|
---|
350 | t "std_logic"
|
---|
351 | o 16
|
---|
352 | suid 45,0
|
---|
353 | )
|
---|
354 | )
|
---|
355 | uid 1360,0
|
---|
356 | )
|
---|
357 | *38 (LogPort
|
---|
358 | port (LogicalPort
|
---|
359 | m 1
|
---|
360 | decl (Decl
|
---|
361 | n "W_CS"
|
---|
362 | t "std_logic"
|
---|
363 | o 46
|
---|
364 | suid 46,0
|
---|
365 | i "'1'"
|
---|
366 | )
|
---|
367 | )
|
---|
368 | uid 1362,0
|
---|
369 | )
|
---|
370 | *39 (LogPort
|
---|
371 | port (LogicalPort
|
---|
372 | m 1
|
---|
373 | decl (Decl
|
---|
374 | n "MOSI"
|
---|
375 | t "std_logic"
|
---|
376 | o 31
|
---|
377 | suid 47,0
|
---|
378 | i "'0'"
|
---|
379 | )
|
---|
380 | )
|
---|
381 | uid 1617,0
|
---|
382 | )
|
---|
383 | *40 (LogPort
|
---|
384 | port (LogicalPort
|
---|
385 | m 2
|
---|
386 | decl (Decl
|
---|
387 | n "MISO"
|
---|
388 | t "std_logic"
|
---|
389 | preAdd 0
|
---|
390 | posAdd 0
|
---|
391 | o 51
|
---|
392 | suid 48,0
|
---|
393 | )
|
---|
394 | )
|
---|
395 | uid 1619,0
|
---|
396 | )
|
---|
397 | *41 (LogPort
|
---|
398 | port (LogicalPort
|
---|
399 | m 1
|
---|
400 | decl (Decl
|
---|
401 | n "RS485_C_RE"
|
---|
402 | t "std_logic"
|
---|
403 | o 36
|
---|
404 | suid 50,0
|
---|
405 | )
|
---|
406 | )
|
---|
407 | uid 1657,0
|
---|
408 | )
|
---|
409 | *42 (LogPort
|
---|
410 | port (LogicalPort
|
---|
411 | m 1
|
---|
412 | decl (Decl
|
---|
413 | n "RS485_C_DE"
|
---|
414 | t "std_logic"
|
---|
415 | o 34
|
---|
416 | suid 51,0
|
---|
417 | )
|
---|
418 | )
|
---|
419 | uid 1659,0
|
---|
420 | )
|
---|
421 | *43 (LogPort
|
---|
422 | port (LogicalPort
|
---|
423 | m 1
|
---|
424 | decl (Decl
|
---|
425 | n "RS485_E_RE"
|
---|
426 | t "std_logic"
|
---|
427 | o 39
|
---|
428 | suid 52,0
|
---|
429 | )
|
---|
430 | )
|
---|
431 | uid 1661,0
|
---|
432 | )
|
---|
433 | *44 (LogPort
|
---|
434 | port (LogicalPort
|
---|
435 | m 1
|
---|
436 | decl (Decl
|
---|
437 | n "RS485_E_DE"
|
---|
438 | t "std_logic"
|
---|
439 | o 37
|
---|
440 | suid 53,0
|
---|
441 | )
|
---|
442 | )
|
---|
443 | uid 1663,0
|
---|
444 | )
|
---|
445 | *45 (LogPort
|
---|
446 | port (LogicalPort
|
---|
447 | m 1
|
---|
448 | decl (Decl
|
---|
449 | n "DENABLE"
|
---|
450 | t "std_logic"
|
---|
451 | o 23
|
---|
452 | suid 54,0
|
---|
453 | i "'0'"
|
---|
454 | )
|
---|
455 | )
|
---|
456 | uid 1665,0
|
---|
457 | )
|
---|
458 | *46 (LogPort
|
---|
459 | port (LogicalPort
|
---|
460 | m 1
|
---|
461 | decl (Decl
|
---|
462 | n "SRIN"
|
---|
463 | t "std_logic"
|
---|
464 | o 41
|
---|
465 | suid 55,0
|
---|
466 | i "'0'"
|
---|
467 | )
|
---|
468 | )
|
---|
469 | uid 1667,0
|
---|
470 | )
|
---|
471 | *47 (LogPort
|
---|
472 | port (LogicalPort
|
---|
473 | m 1
|
---|
474 | decl (Decl
|
---|
475 | n "EE_CS"
|
---|
476 | t "std_logic"
|
---|
477 | o 29
|
---|
478 | suid 56,0
|
---|
479 | )
|
---|
480 | )
|
---|
481 | uid 1669,0
|
---|
482 | )
|
---|
483 | *48 (LogPort
|
---|
484 | port (LogicalPort
|
---|
485 | m 1
|
---|
486 | decl (Decl
|
---|
487 | n "D_T"
|
---|
488 | t "std_logic_vector"
|
---|
489 | b "(7 DOWNTO 0)"
|
---|
490 | o 27
|
---|
491 | suid 61,0
|
---|
492 | i "(OTHERS => '0')"
|
---|
493 | )
|
---|
494 | )
|
---|
495 | uid 2067,0
|
---|
496 | )
|
---|
497 | *49 (LogPort
|
---|
498 | port (LogicalPort
|
---|
499 | decl (Decl
|
---|
500 | n "D_PLLLCK"
|
---|
501 | t "std_logic_vector"
|
---|
502 | b "(3 DOWNTO 0)"
|
---|
503 | o 10
|
---|
504 | suid 64,0
|
---|
505 | )
|
---|
506 | )
|
---|
507 | uid 2918,0
|
---|
508 | )
|
---|
509 | *50 (LogPort
|
---|
510 | port (LogicalPort
|
---|
511 | m 1
|
---|
512 | decl (Decl
|
---|
513 | n "D_T2"
|
---|
514 | t "std_logic_vector"
|
---|
515 | b "(1 DOWNTO 0)"
|
---|
516 | o 28
|
---|
517 | suid 65,0
|
---|
518 | i "(others => '0')"
|
---|
519 | )
|
---|
520 | )
|
---|
521 | uid 2948,0
|
---|
522 | )
|
---|
523 | *51 (LogPort
|
---|
524 | port (LogicalPort
|
---|
525 | m 1
|
---|
526 | decl (Decl
|
---|
527 | n "A1_T"
|
---|
528 | t "std_logic_vector"
|
---|
529 | b "(7 DOWNTO 0)"
|
---|
530 | o 19
|
---|
531 | suid 66,0
|
---|
532 | i "(OTHERS => '0')"
|
---|
533 | )
|
---|
534 | )
|
---|
535 | uid 3025,0
|
---|
536 | )
|
---|
537 | *52 (LogPort
|
---|
538 | port (LogicalPort
|
---|
539 | m 1
|
---|
540 | decl (Decl
|
---|
541 | n "A0_T"
|
---|
542 | t "std_logic_vector"
|
---|
543 | b "(7 DOWNTO 0)"
|
---|
544 | o 18
|
---|
545 | suid 68,0
|
---|
546 | i "(others => '0')"
|
---|
547 | )
|
---|
548 | )
|
---|
549 | uid 3455,0
|
---|
550 | )
|
---|
551 | *53 (LogPort
|
---|
552 | port (LogicalPort
|
---|
553 | m 1
|
---|
554 | decl (Decl
|
---|
555 | n "RS485_C_DO"
|
---|
556 | t "std_logic"
|
---|
557 | o 35
|
---|
558 | suid 70,0
|
---|
559 | )
|
---|
560 | )
|
---|
561 | uid 3580,0
|
---|
562 | )
|
---|
563 | *54 (LogPort
|
---|
564 | port (LogicalPort
|
---|
565 | decl (Decl
|
---|
566 | n "RS485_E_DI"
|
---|
567 | t "std_logic"
|
---|
568 | o 14
|
---|
569 | suid 71,0
|
---|
570 | )
|
---|
571 | )
|
---|
572 | uid 3684,0
|
---|
573 | )
|
---|
574 | *55 (LogPort
|
---|
575 | port (LogicalPort
|
---|
576 | m 1
|
---|
577 | decl (Decl
|
---|
578 | n "RS485_E_DO"
|
---|
579 | t "std_logic"
|
---|
580 | o 38
|
---|
581 | suid 72,0
|
---|
582 | )
|
---|
583 | )
|
---|
584 | uid 3686,0
|
---|
585 | )
|
---|
586 | *56 (LogPort
|
---|
587 | port (LogicalPort
|
---|
588 | m 1
|
---|
589 | decl (Decl
|
---|
590 | n "AMBER_LED"
|
---|
591 | t "std_logic"
|
---|
592 | o 20
|
---|
593 | suid 77,0
|
---|
594 | )
|
---|
595 | )
|
---|
596 | uid 4028,0
|
---|
597 | )
|
---|
598 | *57 (LogPort
|
---|
599 | port (LogicalPort
|
---|
600 | m 1
|
---|
601 | decl (Decl
|
---|
602 | n "GREEN_LED"
|
---|
603 | t "std_logic"
|
---|
604 | o 30
|
---|
605 | suid 78,0
|
---|
606 | )
|
---|
607 | )
|
---|
608 | uid 4030,0
|
---|
609 | )
|
---|
610 | *58 (LogPort
|
---|
611 | port (LogicalPort
|
---|
612 | m 1
|
---|
613 | decl (Decl
|
---|
614 | n "RED_LED"
|
---|
615 | t "std_logic"
|
---|
616 | o 33
|
---|
617 | suid 79,0
|
---|
618 | )
|
---|
619 | )
|
---|
620 | uid 4032,0
|
---|
621 | )
|
---|
622 | *59 (LogPort
|
---|
623 | port (LogicalPort
|
---|
624 | decl (Decl
|
---|
625 | n "REFCLK"
|
---|
626 | t "std_logic"
|
---|
627 | o 13
|
---|
628 | suid 81,0
|
---|
629 | )
|
---|
630 | )
|
---|
631 | uid 4263,0
|
---|
632 | )
|
---|
633 | *60 (LogPort
|
---|
634 | port (LogicalPort
|
---|
635 | decl (Decl
|
---|
636 | n "LINE"
|
---|
637 | t "std_logic_vector"
|
---|
638 | b "( 5 DOWNTO 0 )"
|
---|
639 | o 12
|
---|
640 | suid 82,0
|
---|
641 | )
|
---|
642 | )
|
---|
643 | uid 4293,0
|
---|
644 | )
|
---|
645 | *61 (LogPort
|
---|
646 | port (LogicalPort
|
---|
647 | decl (Decl
|
---|
648 | n "D_T_in"
|
---|
649 | t "std_logic_vector"
|
---|
650 | b "(1 DOWNTO 0)"
|
---|
651 | o 11
|
---|
652 | suid 83,0
|
---|
653 | )
|
---|
654 | )
|
---|
655 | uid 4323,0
|
---|
656 | )
|
---|
657 | *62 (LogPort
|
---|
658 | port (LogicalPort
|
---|
659 | m 1
|
---|
660 | decl (Decl
|
---|
661 | n "TCS"
|
---|
662 | t "std_logic_vector"
|
---|
663 | b "(3 DOWNTO 0)"
|
---|
664 | o 43
|
---|
665 | suid 84,0
|
---|
666 | )
|
---|
667 | )
|
---|
668 | uid 4837,0
|
---|
669 | )
|
---|
670 | *63 (LogPort
|
---|
671 | port (LogicalPort
|
---|
672 | m 1
|
---|
673 | decl (Decl
|
---|
674 | n "DSRCLK"
|
---|
675 | t "std_logic_vector"
|
---|
676 | b "(3 DOWNTO 0)"
|
---|
677 | o 24
|
---|
678 | suid 89,0
|
---|
679 | i "(others => '0')"
|
---|
680 | )
|
---|
681 | )
|
---|
682 | uid 5125,0
|
---|
683 | )
|
---|
684 | *64 (LogPort
|
---|
685 | port (LogicalPort
|
---|
686 | lang 2
|
---|
687 | m 1
|
---|
688 | decl (Decl
|
---|
689 | n "TRG_V"
|
---|
690 | t "std_logic"
|
---|
691 | o 44
|
---|
692 | suid 90,0
|
---|
693 | i "'0'"
|
---|
694 | )
|
---|
695 | )
|
---|
696 | uid 5731,0
|
---|
697 | )
|
---|
698 | *65 (LogPort
|
---|
699 | port (LogicalPort
|
---|
700 | m 1
|
---|
701 | decl (Decl
|
---|
702 | n "W_T"
|
---|
703 | t "std_logic_vector"
|
---|
704 | b "( 3 DOWNTO 0 )"
|
---|
705 | o 49
|
---|
706 | suid 91,0
|
---|
707 | i "(others => '0')"
|
---|
708 | )
|
---|
709 | )
|
---|
710 | uid 6061,0
|
---|
711 | )
|
---|
712 | ]
|
---|
713 | )
|
---|
714 | pdm (PhysicalDM
|
---|
715 | displayShortBounds 1
|
---|
716 | editShortBounds 1
|
---|
717 | uid 66,0
|
---|
718 | optionalChildren [
|
---|
719 | *66 (Sheet
|
---|
720 | sheetRow (SheetRow
|
---|
721 | headerVa (MVa
|
---|
722 | cellColor "49152,49152,49152"
|
---|
723 | fontColor "0,0,0"
|
---|
724 | font "Tahoma,10,0"
|
---|
725 | )
|
---|
726 | cellVa (MVa
|
---|
727 | cellColor "65535,65535,65535"
|
---|
728 | fontColor "0,0,0"
|
---|
729 | font "Tahoma,10,0"
|
---|
730 | )
|
---|
731 | groupVa (MVa
|
---|
732 | cellColor "39936,56832,65280"
|
---|
733 | fontColor "0,0,0"
|
---|
734 | font "Tahoma,10,0"
|
---|
735 | )
|
---|
736 | emptyMRCItem *67 (MRCItem
|
---|
737 | litem &1
|
---|
738 | pos 3
|
---|
739 | dimension 20
|
---|
740 | )
|
---|
741 | uid 68,0
|
---|
742 | optionalChildren [
|
---|
743 | *68 (MRCItem
|
---|
744 | litem &2
|
---|
745 | pos 0
|
---|
746 | dimension 20
|
---|
747 | uid 69,0
|
---|
748 | )
|
---|
749 | *69 (MRCItem
|
---|
750 | litem &3
|
---|
751 | pos 1
|
---|
752 | dimension 23
|
---|
753 | uid 70,0
|
---|
754 | )
|
---|
755 | *70 (MRCItem
|
---|
756 | litem &4
|
---|
757 | pos 2
|
---|
758 | hidden 1
|
---|
759 | dimension 20
|
---|
760 | uid 71,0
|
---|
761 | )
|
---|
762 | *71 (MRCItem
|
---|
763 | litem &14
|
---|
764 | pos 0
|
---|
765 | dimension 20
|
---|
766 | uid 689,0
|
---|
767 | )
|
---|
768 | *72 (MRCItem
|
---|
769 | litem &15
|
---|
770 | pos 2
|
---|
771 | dimension 20
|
---|
772 | uid 1110,0
|
---|
773 | )
|
---|
774 | *73 (MRCItem
|
---|
775 | litem &16
|
---|
776 | pos 3
|
---|
777 | dimension 20
|
---|
778 | uid 1112,0
|
---|
779 | )
|
---|
780 | *74 (MRCItem
|
---|
781 | litem &17
|
---|
782 | pos 4
|
---|
783 | dimension 20
|
---|
784 | uid 1114,0
|
---|
785 | )
|
---|
786 | *75 (MRCItem
|
---|
787 | litem &18
|
---|
788 | pos 5
|
---|
789 | dimension 20
|
---|
790 | uid 1154,0
|
---|
791 | )
|
---|
792 | *76 (MRCItem
|
---|
793 | litem &19
|
---|
794 | pos 6
|
---|
795 | dimension 20
|
---|
796 | uid 1156,0
|
---|
797 | )
|
---|
798 | *77 (MRCItem
|
---|
799 | litem &20
|
---|
800 | pos 7
|
---|
801 | dimension 20
|
---|
802 | uid 1158,0
|
---|
803 | )
|
---|
804 | *78 (MRCItem
|
---|
805 | litem &21
|
---|
806 | pos 8
|
---|
807 | dimension 20
|
---|
808 | uid 1160,0
|
---|
809 | )
|
---|
810 | *79 (MRCItem
|
---|
811 | litem &22
|
---|
812 | pos 9
|
---|
813 | dimension 20
|
---|
814 | uid 1162,0
|
---|
815 | )
|
---|
816 | *80 (MRCItem
|
---|
817 | litem &23
|
---|
818 | pos 10
|
---|
819 | dimension 20
|
---|
820 | uid 1164,0
|
---|
821 | )
|
---|
822 | *81 (MRCItem
|
---|
823 | litem &24
|
---|
824 | pos 11
|
---|
825 | dimension 20
|
---|
826 | uid 1270,0
|
---|
827 | )
|
---|
828 | *82 (MRCItem
|
---|
829 | litem &25
|
---|
830 | pos 12
|
---|
831 | dimension 20
|
---|
832 | uid 1272,0
|
---|
833 | )
|
---|
834 | *83 (MRCItem
|
---|
835 | litem &26
|
---|
836 | pos 13
|
---|
837 | dimension 20
|
---|
838 | uid 1274,0
|
---|
839 | )
|
---|
840 | *84 (MRCItem
|
---|
841 | litem &27
|
---|
842 | pos 14
|
---|
843 | dimension 20
|
---|
844 | uid 1276,0
|
---|
845 | )
|
---|
846 | *85 (MRCItem
|
---|
847 | litem &28
|
---|
848 | pos 15
|
---|
849 | dimension 20
|
---|
850 | uid 1278,0
|
---|
851 | )
|
---|
852 | *86 (MRCItem
|
---|
853 | litem &29
|
---|
854 | pos 16
|
---|
855 | dimension 20
|
---|
856 | uid 1280,0
|
---|
857 | )
|
---|
858 | *87 (MRCItem
|
---|
859 | litem &30
|
---|
860 | pos 1
|
---|
861 | dimension 20
|
---|
862 | uid 1337,0
|
---|
863 | )
|
---|
864 | *88 (MRCItem
|
---|
865 | litem &31
|
---|
866 | pos 17
|
---|
867 | dimension 20
|
---|
868 | uid 1347,0
|
---|
869 | )
|
---|
870 | *89 (MRCItem
|
---|
871 | litem &32
|
---|
872 | pos 18
|
---|
873 | dimension 20
|
---|
874 | uid 1349,0
|
---|
875 | )
|
---|
876 | *90 (MRCItem
|
---|
877 | litem &33
|
---|
878 | pos 19
|
---|
879 | dimension 20
|
---|
880 | uid 1351,0
|
---|
881 | )
|
---|
882 | *91 (MRCItem
|
---|
883 | litem &34
|
---|
884 | pos 20
|
---|
885 | dimension 20
|
---|
886 | uid 1353,0
|
---|
887 | )
|
---|
888 | *92 (MRCItem
|
---|
889 | litem &35
|
---|
890 | pos 21
|
---|
891 | dimension 20
|
---|
892 | uid 1355,0
|
---|
893 | )
|
---|
894 | *93 (MRCItem
|
---|
895 | litem &36
|
---|
896 | pos 22
|
---|
897 | dimension 20
|
---|
898 | uid 1357,0
|
---|
899 | )
|
---|
900 | *94 (MRCItem
|
---|
901 | litem &37
|
---|
902 | pos 23
|
---|
903 | dimension 20
|
---|
904 | uid 1359,0
|
---|
905 | )
|
---|
906 | *95 (MRCItem
|
---|
907 | litem &38
|
---|
908 | pos 24
|
---|
909 | dimension 20
|
---|
910 | uid 1361,0
|
---|
911 | )
|
---|
912 | *96 (MRCItem
|
---|
913 | litem &39
|
---|
914 | pos 25
|
---|
915 | dimension 20
|
---|
916 | uid 1616,0
|
---|
917 | )
|
---|
918 | *97 (MRCItem
|
---|
919 | litem &40
|
---|
920 | pos 26
|
---|
921 | dimension 20
|
---|
922 | uid 1618,0
|
---|
923 | )
|
---|
924 | *98 (MRCItem
|
---|
925 | litem &41
|
---|
926 | pos 27
|
---|
927 | dimension 20
|
---|
928 | uid 1656,0
|
---|
929 | )
|
---|
930 | *99 (MRCItem
|
---|
931 | litem &42
|
---|
932 | pos 28
|
---|
933 | dimension 20
|
---|
934 | uid 1658,0
|
---|
935 | )
|
---|
936 | *100 (MRCItem
|
---|
937 | litem &43
|
---|
938 | pos 29
|
---|
939 | dimension 20
|
---|
940 | uid 1660,0
|
---|
941 | )
|
---|
942 | *101 (MRCItem
|
---|
943 | litem &44
|
---|
944 | pos 30
|
---|
945 | dimension 20
|
---|
946 | uid 1662,0
|
---|
947 | )
|
---|
948 | *102 (MRCItem
|
---|
949 | litem &45
|
---|
950 | pos 31
|
---|
951 | dimension 20
|
---|
952 | uid 1664,0
|
---|
953 | )
|
---|
954 | *103 (MRCItem
|
---|
955 | litem &46
|
---|
956 | pos 40
|
---|
957 | dimension 20
|
---|
958 | uid 1666,0
|
---|
959 | )
|
---|
960 | *104 (MRCItem
|
---|
961 | litem &47
|
---|
962 | pos 32
|
---|
963 | dimension 20
|
---|
964 | uid 1668,0
|
---|
965 | )
|
---|
966 | *105 (MRCItem
|
---|
967 | litem &48
|
---|
968 | pos 33
|
---|
969 | dimension 20
|
---|
970 | uid 2066,0
|
---|
971 | )
|
---|
972 | *106 (MRCItem
|
---|
973 | litem &49
|
---|
974 | pos 47
|
---|
975 | dimension 20
|
---|
976 | uid 2917,0
|
---|
977 | )
|
---|
978 | *107 (MRCItem
|
---|
979 | litem &50
|
---|
980 | pos 34
|
---|
981 | dimension 20
|
---|
982 | uid 2947,0
|
---|
983 | )
|
---|
984 | *108 (MRCItem
|
---|
985 | litem &51
|
---|
986 | pos 35
|
---|
987 | dimension 20
|
---|
988 | uid 3024,0
|
---|
989 | )
|
---|
990 | *109 (MRCItem
|
---|
991 | litem &52
|
---|
992 | pos 36
|
---|
993 | dimension 20
|
---|
994 | uid 3454,0
|
---|
995 | )
|
---|
996 | *110 (MRCItem
|
---|
997 | litem &53
|
---|
998 | pos 37
|
---|
999 | dimension 20
|
---|
1000 | uid 3579,0
|
---|
1001 | )
|
---|
1002 | *111 (MRCItem
|
---|
1003 | litem &54
|
---|
1004 | pos 38
|
---|
1005 | dimension 20
|
---|
1006 | uid 3683,0
|
---|
1007 | )
|
---|
1008 | *112 (MRCItem
|
---|
1009 | litem &55
|
---|
1010 | pos 39
|
---|
1011 | dimension 20
|
---|
1012 | uid 3685,0
|
---|
1013 | )
|
---|
1014 | *113 (MRCItem
|
---|
1015 | litem &56
|
---|
1016 | pos 41
|
---|
1017 | dimension 20
|
---|
1018 | uid 4027,0
|
---|
1019 | )
|
---|
1020 | *114 (MRCItem
|
---|
1021 | litem &57
|
---|
1022 | pos 42
|
---|
1023 | dimension 20
|
---|
1024 | uid 4029,0
|
---|
1025 | )
|
---|
1026 | *115 (MRCItem
|
---|
1027 | litem &58
|
---|
1028 | pos 43
|
---|
1029 | dimension 20
|
---|
1030 | uid 4031,0
|
---|
1031 | )
|
---|
1032 | *116 (MRCItem
|
---|
1033 | litem &59
|
---|
1034 | pos 45
|
---|
1035 | dimension 20
|
---|
1036 | uid 4262,0
|
---|
1037 | )
|
---|
1038 | *117 (MRCItem
|
---|
1039 | litem &60
|
---|
1040 | pos 44
|
---|
1041 | dimension 20
|
---|
1042 | uid 4292,0
|
---|
1043 | )
|
---|
1044 | *118 (MRCItem
|
---|
1045 | litem &61
|
---|
1046 | pos 46
|
---|
1047 | dimension 20
|
---|
1048 | uid 4322,0
|
---|
1049 | )
|
---|
1050 | *119 (MRCItem
|
---|
1051 | litem &62
|
---|
1052 | pos 48
|
---|
1053 | dimension 20
|
---|
1054 | uid 4836,0
|
---|
1055 | )
|
---|
1056 | *120 (MRCItem
|
---|
1057 | litem &63
|
---|
1058 | pos 49
|
---|
1059 | dimension 20
|
---|
1060 | uid 5124,0
|
---|
1061 | )
|
---|
1062 | *121 (MRCItem
|
---|
1063 | litem &64
|
---|
1064 | pos 50
|
---|
1065 | dimension 20
|
---|
1066 | uid 5730,0
|
---|
1067 | )
|
---|
1068 | *122 (MRCItem
|
---|
1069 | litem &65
|
---|
1070 | pos 51
|
---|
1071 | dimension 20
|
---|
1072 | uid 6060,0
|
---|
1073 | )
|
---|
1074 | ]
|
---|
1075 | )
|
---|
1076 | sheetCol (SheetCol
|
---|
1077 | propVa (MVa
|
---|
1078 | cellColor "0,49152,49152"
|
---|
1079 | fontColor "0,0,0"
|
---|
1080 | font "Tahoma,10,0"
|
---|
1081 | textAngle 90
|
---|
1082 | )
|
---|
1083 | uid 72,0
|
---|
1084 | optionalChildren [
|
---|
1085 | *123 (MRCItem
|
---|
1086 | litem &5
|
---|
1087 | pos 0
|
---|
1088 | dimension 20
|
---|
1089 | uid 73,0
|
---|
1090 | )
|
---|
1091 | *124 (MRCItem
|
---|
1092 | litem &7
|
---|
1093 | pos 1
|
---|
1094 | dimension 50
|
---|
1095 | uid 74,0
|
---|
1096 | )
|
---|
1097 | *125 (MRCItem
|
---|
1098 | litem &8
|
---|
1099 | pos 2
|
---|
1100 | dimension 100
|
---|
1101 | uid 75,0
|
---|
1102 | )
|
---|
1103 | *126 (MRCItem
|
---|
1104 | litem &9
|
---|
1105 | pos 3
|
---|
1106 | dimension 50
|
---|
1107 | uid 76,0
|
---|
1108 | )
|
---|
1109 | *127 (MRCItem
|
---|
1110 | litem &10
|
---|
1111 | pos 4
|
---|
1112 | dimension 100
|
---|
1113 | uid 77,0
|
---|
1114 | )
|
---|
1115 | *128 (MRCItem
|
---|
1116 | litem &11
|
---|
1117 | pos 5
|
---|
1118 | dimension 100
|
---|
1119 | uid 78,0
|
---|
1120 | )
|
---|
1121 | *129 (MRCItem
|
---|
1122 | litem &12
|
---|
1123 | pos 6
|
---|
1124 | dimension 50
|
---|
1125 | uid 79,0
|
---|
1126 | )
|
---|
1127 | *130 (MRCItem
|
---|
1128 | litem &13
|
---|
1129 | pos 7
|
---|
1130 | dimension 80
|
---|
1131 | uid 80,0
|
---|
1132 | )
|
---|
1133 | ]
|
---|
1134 | )
|
---|
1135 | fixedCol 4
|
---|
1136 | fixedRow 2
|
---|
1137 | name "Ports"
|
---|
1138 | uid 67,0
|
---|
1139 | vaOverrides [
|
---|
1140 | ]
|
---|
1141 | )
|
---|
1142 | ]
|
---|
1143 | )
|
---|
1144 | uid 52,0
|
---|
1145 | )
|
---|
1146 | genericsCommonDM (CommonDM
|
---|
1147 | ldm (LogicalDM
|
---|
1148 | emptyRow *131 (LEmptyRow
|
---|
1149 | )
|
---|
1150 | uid 82,0
|
---|
1151 | optionalChildren [
|
---|
1152 | *132 (RefLabelRowHdr
|
---|
1153 | )
|
---|
1154 | *133 (TitleRowHdr
|
---|
1155 | )
|
---|
1156 | *134 (FilterRowHdr
|
---|
1157 | )
|
---|
1158 | *135 (RefLabelColHdr
|
---|
1159 | tm "RefLabelColHdrMgr"
|
---|
1160 | )
|
---|
1161 | *136 (RowExpandColHdr
|
---|
1162 | tm "RowExpandColHdrMgr"
|
---|
1163 | )
|
---|
1164 | *137 (GroupColHdr
|
---|
1165 | tm "GroupColHdrMgr"
|
---|
1166 | )
|
---|
1167 | *138 (NameColHdr
|
---|
1168 | tm "GenericNameColHdrMgr"
|
---|
1169 | )
|
---|
1170 | *139 (TypeColHdr
|
---|
1171 | tm "GenericTypeColHdrMgr"
|
---|
1172 | )
|
---|
1173 | *140 (InitColHdr
|
---|
1174 | tm "GenericValueColHdrMgr"
|
---|
1175 | )
|
---|
1176 | *141 (PragmaColHdr
|
---|
1177 | tm "GenericPragmaColHdrMgr"
|
---|
1178 | )
|
---|
1179 | *142 (EolColHdr
|
---|
1180 | tm "GenericEolColHdrMgr"
|
---|
1181 | )
|
---|
1182 | ]
|
---|
1183 | )
|
---|
1184 | pdm (PhysicalDM
|
---|
1185 | displayShortBounds 1
|
---|
1186 | editShortBounds 1
|
---|
1187 | uid 94,0
|
---|
1188 | optionalChildren [
|
---|
1189 | *143 (Sheet
|
---|
1190 | sheetRow (SheetRow
|
---|
1191 | headerVa (MVa
|
---|
1192 | cellColor "49152,49152,49152"
|
---|
1193 | fontColor "0,0,0"
|
---|
1194 | font "Tahoma,10,0"
|
---|
1195 | )
|
---|
1196 | cellVa (MVa
|
---|
1197 | cellColor "65535,65535,65535"
|
---|
1198 | fontColor "0,0,0"
|
---|
1199 | font "Tahoma,10,0"
|
---|
1200 | )
|
---|
1201 | groupVa (MVa
|
---|
1202 | cellColor "39936,56832,65280"
|
---|
1203 | fontColor "0,0,0"
|
---|
1204 | font "Tahoma,10,0"
|
---|
1205 | )
|
---|
1206 | emptyMRCItem *144 (MRCItem
|
---|
1207 | litem &131
|
---|
1208 | pos 3
|
---|
1209 | dimension 20
|
---|
1210 | )
|
---|
1211 | uid 96,0
|
---|
1212 | optionalChildren [
|
---|
1213 | *145 (MRCItem
|
---|
1214 | litem &132
|
---|
1215 | pos 0
|
---|
1216 | dimension 20
|
---|
1217 | uid 97,0
|
---|
1218 | )
|
---|
1219 | *146 (MRCItem
|
---|
1220 | litem &133
|
---|
1221 | pos 1
|
---|
1222 | dimension 23
|
---|
1223 | uid 98,0
|
---|
1224 | )
|
---|
1225 | *147 (MRCItem
|
---|
1226 | litem &134
|
---|
1227 | pos 2
|
---|
1228 | hidden 1
|
---|
1229 | dimension 20
|
---|
1230 | uid 99,0
|
---|
1231 | )
|
---|
1232 | ]
|
---|
1233 | )
|
---|
1234 | sheetCol (SheetCol
|
---|
1235 | propVa (MVa
|
---|
1236 | cellColor "0,49152,49152"
|
---|
1237 | fontColor "0,0,0"
|
---|
1238 | font "Tahoma,10,0"
|
---|
1239 | textAngle 90
|
---|
1240 | )
|
---|
1241 | uid 100,0
|
---|
1242 | optionalChildren [
|
---|
1243 | *148 (MRCItem
|
---|
1244 | litem &135
|
---|
1245 | pos 0
|
---|
1246 | dimension 20
|
---|
1247 | uid 101,0
|
---|
1248 | )
|
---|
1249 | *149 (MRCItem
|
---|
1250 | litem &137
|
---|
1251 | pos 1
|
---|
1252 | dimension 50
|
---|
1253 | uid 102,0
|
---|
1254 | )
|
---|
1255 | *150 (MRCItem
|
---|
1256 | litem &138
|
---|
1257 | pos 2
|
---|
1258 | dimension 100
|
---|
1259 | uid 103,0
|
---|
1260 | )
|
---|
1261 | *151 (MRCItem
|
---|
1262 | litem &139
|
---|
1263 | pos 3
|
---|
1264 | dimension 100
|
---|
1265 | uid 104,0
|
---|
1266 | )
|
---|
1267 | *152 (MRCItem
|
---|
1268 | litem &140
|
---|
1269 | pos 4
|
---|
1270 | dimension 50
|
---|
1271 | uid 105,0
|
---|
1272 | )
|
---|
1273 | *153 (MRCItem
|
---|
1274 | litem &141
|
---|
1275 | pos 5
|
---|
1276 | dimension 50
|
---|
1277 | uid 106,0
|
---|
1278 | )
|
---|
1279 | *154 (MRCItem
|
---|
1280 | litem &142
|
---|
1281 | pos 6
|
---|
1282 | dimension 80
|
---|
1283 | uid 107,0
|
---|
1284 | )
|
---|
1285 | ]
|
---|
1286 | )
|
---|
1287 | fixedCol 3
|
---|
1288 | fixedRow 2
|
---|
1289 | name "Ports"
|
---|
1290 | uid 95,0
|
---|
1291 | vaOverrides [
|
---|
1292 | ]
|
---|
1293 | )
|
---|
1294 | ]
|
---|
1295 | )
|
---|
1296 | uid 81,0
|
---|
1297 | type 1
|
---|
1298 | )
|
---|
1299 | VExpander (VariableExpander
|
---|
1300 | vvMap [
|
---|
1301 | (vvPair
|
---|
1302 | variable "HDLDir"
|
---|
1303 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
|
---|
1304 | )
|
---|
1305 | (vvPair
|
---|
1306 | variable "HDSDir"
|
---|
1307 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
|
---|
1308 | )
|
---|
1309 | (vvPair
|
---|
1310 | variable "SideDataDesignDir"
|
---|
1311 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
|
---|
1312 | )
|
---|
1313 | (vvPair
|
---|
1314 | variable "SideDataUserDir"
|
---|
1315 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
|
---|
1316 | )
|
---|
1317 | (vvPair
|
---|
1318 | variable "SourceDir"
|
---|
1319 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
|
---|
1320 | )
|
---|
1321 | (vvPair
|
---|
1322 | variable "appl"
|
---|
1323 | value "HDL Designer"
|
---|
1324 | )
|
---|
1325 | (vvPair
|
---|
1326 | variable "arch_name"
|
---|
1327 | value "symbol"
|
---|
1328 | )
|
---|
1329 | (vvPair
|
---|
1330 | variable "config"
|
---|
1331 | value "%(unit)_%(view)_config"
|
---|
1332 | )
|
---|
1333 | (vvPair
|
---|
1334 | variable "d"
|
---|
1335 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
|
---|
1336 | )
|
---|
1337 | (vvPair
|
---|
1338 | variable "d_logical"
|
---|
1339 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
|
---|
1340 | )
|
---|
1341 | (vvPair
|
---|
1342 | variable "date"
|
---|
1343 | value "09.06.2011"
|
---|
1344 | )
|
---|
1345 | (vvPair
|
---|
1346 | variable "day"
|
---|
1347 | value "Do"
|
---|
1348 | )
|
---|
1349 | (vvPair
|
---|
1350 | variable "day_long"
|
---|
1351 | value "Donnerstag"
|
---|
1352 | )
|
---|
1353 | (vvPair
|
---|
1354 | variable "dd"
|
---|
1355 | value "09"
|
---|
1356 | )
|
---|
1357 | (vvPair
|
---|
1358 | variable "entity_name"
|
---|
1359 | value "FAD_Board"
|
---|
1360 | )
|
---|
1361 | (vvPair
|
---|
1362 | variable "ext"
|
---|
1363 | value "<TBD>"
|
---|
1364 | )
|
---|
1365 | (vvPair
|
---|
1366 | variable "f"
|
---|
1367 | value "symbol.sb"
|
---|
1368 | )
|
---|
1369 | (vvPair
|
---|
1370 | variable "f_logical"
|
---|
1371 | value "symbol.sb"
|
---|
1372 | )
|
---|
1373 | (vvPair
|
---|
1374 | variable "f_noext"
|
---|
1375 | value "symbol"
|
---|
1376 | )
|
---|
1377 | (vvPair
|
---|
1378 | variable "group"
|
---|
1379 | value "UNKNOWN"
|
---|
1380 | )
|
---|
1381 | (vvPair
|
---|
1382 | variable "host"
|
---|
1383 | value "IHP110"
|
---|
1384 | )
|
---|
1385 | (vvPair
|
---|
1386 | variable "language"
|
---|
1387 | value "VHDL"
|
---|
1388 | )
|
---|
1389 | (vvPair
|
---|
1390 | variable "library"
|
---|
1391 | value "FACT_FAD_lib"
|
---|
1392 | )
|
---|
1393 | (vvPair
|
---|
1394 | variable "library_downstream_HdsLintPlugin"
|
---|
1395 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
|
---|
1396 | )
|
---|
1397 | (vvPair
|
---|
1398 | variable "library_downstream_ISEPARInvoke"
|
---|
1399 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
1400 | )
|
---|
1401 | (vvPair
|
---|
1402 | variable "library_downstream_ImpactInvoke"
|
---|
1403 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
1404 | )
|
---|
1405 | (vvPair
|
---|
1406 | variable "library_downstream_ModelSimCompiler"
|
---|
1407 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
|
---|
1408 | )
|
---|
1409 | (vvPair
|
---|
1410 | variable "library_downstream_XSTDataPrep"
|
---|
1411 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
1412 | )
|
---|
1413 | (vvPair
|
---|
1414 | variable "mm"
|
---|
1415 | value "06"
|
---|
1416 | )
|
---|
1417 | (vvPair
|
---|
1418 | variable "module_name"
|
---|
1419 | value "FAD_Board"
|
---|
1420 | )
|
---|
1421 | (vvPair
|
---|
1422 | variable "month"
|
---|
1423 | value "Jun"
|
---|
1424 | )
|
---|
1425 | (vvPair
|
---|
1426 | variable "month_long"
|
---|
1427 | value "Juni"
|
---|
1428 | )
|
---|
1429 | (vvPair
|
---|
1430 | variable "p"
|
---|
1431 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
|
---|
1432 | )
|
---|
1433 | (vvPair
|
---|
1434 | variable "p_logical"
|
---|
1435 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
|
---|
1436 | )
|
---|
1437 | (vvPair
|
---|
1438 | variable "package_name"
|
---|
1439 | value "<Undefined Variable>"
|
---|
1440 | )
|
---|
1441 | (vvPair
|
---|
1442 | variable "project_name"
|
---|
1443 | value "FACT_FAD"
|
---|
1444 | )
|
---|
1445 | (vvPair
|
---|
1446 | variable "series"
|
---|
1447 | value "HDL Designer Series"
|
---|
1448 | )
|
---|
1449 | (vvPair
|
---|
1450 | variable "task_DesignCompilerPath"
|
---|
1451 | value "<TBD>"
|
---|
1452 | )
|
---|
1453 | (vvPair
|
---|
1454 | variable "task_LeonardoPath"
|
---|
1455 | value "<TBD>"
|
---|
1456 | )
|
---|
1457 | (vvPair
|
---|
1458 | variable "task_ModelSimPath"
|
---|
1459 | value "D:\\modeltech_6.5e\\win32"
|
---|
1460 | )
|
---|
1461 | (vvPair
|
---|
1462 | variable "task_NC-SimPath"
|
---|
1463 | value "<TBD>"
|
---|
1464 | )
|
---|
1465 | (vvPair
|
---|
1466 | variable "task_PrecisionRTLPath"
|
---|
1467 | value "<TBD>"
|
---|
1468 | )
|
---|
1469 | (vvPair
|
---|
1470 | variable "task_QuestaSimPath"
|
---|
1471 | value "<TBD>"
|
---|
1472 | )
|
---|
1473 | (vvPair
|
---|
1474 | variable "task_VCSPath"
|
---|
1475 | value "<TBD>"
|
---|
1476 | )
|
---|
1477 | (vvPair
|
---|
1478 | variable "this_ext"
|
---|
1479 | value "sb"
|
---|
1480 | )
|
---|
1481 | (vvPair
|
---|
1482 | variable "this_file"
|
---|
1483 | value "symbol"
|
---|
1484 | )
|
---|
1485 | (vvPair
|
---|
1486 | variable "this_file_logical"
|
---|
1487 | value "symbol"
|
---|
1488 | )
|
---|
1489 | (vvPair
|
---|
1490 | variable "time"
|
---|
1491 | value "16:34:52"
|
---|
1492 | )
|
---|
1493 | (vvPair
|
---|
1494 | variable "unit"
|
---|
1495 | value "FAD_Board"
|
---|
1496 | )
|
---|
1497 | (vvPair
|
---|
1498 | variable "user"
|
---|
1499 | value "daqct3"
|
---|
1500 | )
|
---|
1501 | (vvPair
|
---|
1502 | variable "version"
|
---|
1503 | value "2009.1 (Build 12)"
|
---|
1504 | )
|
---|
1505 | (vvPair
|
---|
1506 | variable "view"
|
---|
1507 | value "symbol"
|
---|
1508 | )
|
---|
1509 | (vvPair
|
---|
1510 | variable "year"
|
---|
1511 | value "2011"
|
---|
1512 | )
|
---|
1513 | (vvPair
|
---|
1514 | variable "yy"
|
---|
1515 | value "11"
|
---|
1516 | )
|
---|
1517 | ]
|
---|
1518 | )
|
---|
1519 | LanguageMgr "VhdlLangMgr"
|
---|
1520 | uid 51,0
|
---|
1521 | optionalChildren [
|
---|
1522 | *155 (SymbolBody
|
---|
1523 | uid 8,0
|
---|
1524 | optionalChildren [
|
---|
1525 | *156 (CptPort
|
---|
1526 | uid 693,0
|
---|
1527 | ps "OnEdgeStrategy"
|
---|
1528 | shape (Triangle
|
---|
1529 | uid 694,0
|
---|
1530 | ro 90
|
---|
1531 | va (VaSet
|
---|
1532 | vasetType 1
|
---|
1533 | fg "0,65535,0"
|
---|
1534 | )
|
---|
1535 | xt "35000,21625,35750,22375"
|
---|
1536 | )
|
---|
1537 | tg (CPTG
|
---|
1538 | uid 695,0
|
---|
1539 | ps "CptPortTextPlaceStrategy"
|
---|
1540 | stg "RightVerticalLayoutStrategy"
|
---|
1541 | f (Text
|
---|
1542 | uid 696,0
|
---|
1543 | va (VaSet
|
---|
1544 | )
|
---|
1545 | xt "29800,21500,34000,22500"
|
---|
1546 | st "RSRLOAD"
|
---|
1547 | ju 2
|
---|
1548 | blo "34000,22300"
|
---|
1549 | tm "CptPortNameMgr"
|
---|
1550 | )
|
---|
1551 | t (Text
|
---|
1552 | uid 697,0
|
---|
1553 | va (VaSet
|
---|
1554 | )
|
---|
1555 | xt "32800,22500,34000,23500"
|
---|
1556 | st "'0'"
|
---|
1557 | ju 2
|
---|
1558 | blo "34000,23300"
|
---|
1559 | tm "InitValueDelayMgr"
|
---|
1560 | )
|
---|
1561 | )
|
---|
1562 | dt (MLText
|
---|
1563 | uid 698,0
|
---|
1564 | va (VaSet
|
---|
1565 | font "Courier New,8,0"
|
---|
1566 | )
|
---|
1567 | xt "44000,33200,76000,34000"
|
---|
1568 | st "RSRLOAD : OUT std_logic := '0' ;
|
---|
1569 | "
|
---|
1570 | )
|
---|
1571 | thePort (LogicalPort
|
---|
1572 | m 1
|
---|
1573 | decl (Decl
|
---|
1574 | n "RSRLOAD"
|
---|
1575 | t "std_logic"
|
---|
1576 | o 40
|
---|
1577 | suid 11,0
|
---|
1578 | i "'0'"
|
---|
1579 | )
|
---|
1580 | )
|
---|
1581 | )
|
---|
1582 | *157 (CptPort
|
---|
1583 | uid 1116,0
|
---|
1584 | ps "OnEdgeStrategy"
|
---|
1585 | shape (Triangle
|
---|
1586 | uid 1117,0
|
---|
1587 | ro 90
|
---|
1588 | va (VaSet
|
---|
1589 | vasetType 1
|
---|
1590 | fg "0,65535,0"
|
---|
1591 | )
|
---|
1592 | xt "14250,11625,15000,12375"
|
---|
1593 | )
|
---|
1594 | tg (CPTG
|
---|
1595 | uid 1118,0
|
---|
1596 | ps "CptPortTextPlaceStrategy"
|
---|
1597 | stg "VerticalLayoutStrategy"
|
---|
1598 | f (Text
|
---|
1599 | uid 1119,0
|
---|
1600 | va (VaSet
|
---|
1601 | )
|
---|
1602 | xt "16000,11500,18800,12500"
|
---|
1603 | st "X_50M"
|
---|
1604 | blo "16000,12300"
|
---|
1605 | tm "CptPortNameMgr"
|
---|
1606 | )
|
---|
1607 | )
|
---|
1608 | dt (MLText
|
---|
1609 | uid 1120,0
|
---|
1610 | va (VaSet
|
---|
1611 | font "Courier New,8,0"
|
---|
1612 | )
|
---|
1613 | xt "44000,14800,61500,15600"
|
---|
1614 | st "X_50M : IN STD_LOGIC ;
|
---|
1615 | "
|
---|
1616 | )
|
---|
1617 | thePort (LogicalPort
|
---|
1618 | decl (Decl
|
---|
1619 | n "X_50M"
|
---|
1620 | t "STD_LOGIC"
|
---|
1621 | preAdd 0
|
---|
1622 | posAdd 0
|
---|
1623 | o 17
|
---|
1624 | suid 15,0
|
---|
1625 | )
|
---|
1626 | )
|
---|
1627 | )
|
---|
1628 | *158 (CptPort
|
---|
1629 | uid 1121,0
|
---|
1630 | ps "OnEdgeStrategy"
|
---|
1631 | shape (Triangle
|
---|
1632 | uid 1122,0
|
---|
1633 | ro 90
|
---|
1634 | va (VaSet
|
---|
1635 | vasetType 1
|
---|
1636 | fg "0,65535,0"
|
---|
1637 | )
|
---|
1638 | xt "14250,13625,15000,14375"
|
---|
1639 | )
|
---|
1640 | tg (CPTG
|
---|
1641 | uid 1123,0
|
---|
1642 | ps "CptPortTextPlaceStrategy"
|
---|
1643 | stg "VerticalLayoutStrategy"
|
---|
1644 | f (Text
|
---|
1645 | uid 1124,0
|
---|
1646 | va (VaSet
|
---|
1647 | )
|
---|
1648 | xt "16000,13500,18100,14500"
|
---|
1649 | st "TRG"
|
---|
1650 | blo "16000,14300"
|
---|
1651 | tm "CptPortNameMgr"
|
---|
1652 | )
|
---|
1653 | )
|
---|
1654 | dt (MLText
|
---|
1655 | uid 1125,0
|
---|
1656 | va (VaSet
|
---|
1657 | font "Courier New,8,0"
|
---|
1658 | )
|
---|
1659 | xt "44000,13200,61500,14000"
|
---|
1660 | st "TRG : IN STD_LOGIC ;
|
---|
1661 | "
|
---|
1662 | )
|
---|
1663 | thePort (LogicalPort
|
---|
1664 | decl (Decl
|
---|
1665 | n "TRG"
|
---|
1666 | t "STD_LOGIC"
|
---|
1667 | o 15
|
---|
1668 | suid 16,0
|
---|
1669 | )
|
---|
1670 | )
|
---|
1671 | )
|
---|
1672 | *159 (CptPort
|
---|
1673 | uid 1126,0
|
---|
1674 | ps "OnEdgeStrategy"
|
---|
1675 | shape (Triangle
|
---|
1676 | uid 1127,0
|
---|
1677 | ro 90
|
---|
1678 | va (VaSet
|
---|
1679 | vasetType 1
|
---|
1680 | fg "0,65535,0"
|
---|
1681 | )
|
---|
1682 | xt "35000,25625,35750,26375"
|
---|
1683 | )
|
---|
1684 | tg (CPTG
|
---|
1685 | uid 1128,0
|
---|
1686 | ps "CptPortTextPlaceStrategy"
|
---|
1687 | stg "RightVerticalLayoutStrategy"
|
---|
1688 | f (Text
|
---|
1689 | uid 1129,0
|
---|
1690 | va (VaSet
|
---|
1691 | )
|
---|
1692 | xt "28600,25500,34000,26500"
|
---|
1693 | st "A_CLK : (3:0)"
|
---|
1694 | ju 2
|
---|
1695 | blo "34000,26300"
|
---|
1696 | tm "CptPortNameMgr"
|
---|
1697 | )
|
---|
1698 | )
|
---|
1699 | dt (MLText
|
---|
1700 | uid 1130,0
|
---|
1701 | va (VaSet
|
---|
1702 | font "Courier New,8,0"
|
---|
1703 | )
|
---|
1704 | xt "44000,18000,71500,18800"
|
---|
1705 | st "A_CLK : OUT std_logic_vector (3 downto 0) ;
|
---|
1706 | "
|
---|
1707 | )
|
---|
1708 | thePort (LogicalPort
|
---|
1709 | m 1
|
---|
1710 | decl (Decl
|
---|
1711 | n "A_CLK"
|
---|
1712 | t "std_logic_vector"
|
---|
1713 | b "(3 downto 0)"
|
---|
1714 | o 21
|
---|
1715 | suid 17,0
|
---|
1716 | )
|
---|
1717 | )
|
---|
1718 | )
|
---|
1719 | *160 (CptPort
|
---|
1720 | uid 1166,0
|
---|
1721 | ps "OnEdgeStrategy"
|
---|
1722 | shape (Triangle
|
---|
1723 | uid 1167,0
|
---|
1724 | ro 90
|
---|
1725 | va (VaSet
|
---|
1726 | vasetType 1
|
---|
1727 | fg "0,65535,0"
|
---|
1728 | )
|
---|
1729 | xt "35000,27625,35750,28375"
|
---|
1730 | )
|
---|
1731 | tg (CPTG
|
---|
1732 | uid 1168,0
|
---|
1733 | ps "CptPortTextPlaceStrategy"
|
---|
1734 | stg "RightVerticalLayoutStrategy"
|
---|
1735 | f (Text
|
---|
1736 | uid 1169,0
|
---|
1737 | va (VaSet
|
---|
1738 | )
|
---|
1739 | xt "30400,27500,34000,28500"
|
---|
1740 | st "OE_ADC"
|
---|
1741 | ju 2
|
---|
1742 | blo "34000,28300"
|
---|
1743 | tm "CptPortNameMgr"
|
---|
1744 | )
|
---|
1745 | )
|
---|
1746 | dt (MLText
|
---|
1747 | uid 1170,0
|
---|
1748 | va (VaSet
|
---|
1749 | font "Courier New,8,0"
|
---|
1750 | )
|
---|
1751 | xt "44000,26800,61500,27600"
|
---|
1752 | st "OE_ADC : OUT STD_LOGIC ;
|
---|
1753 | "
|
---|
1754 | )
|
---|
1755 | thePort (LogicalPort
|
---|
1756 | m 1
|
---|
1757 | decl (Decl
|
---|
1758 | n "OE_ADC"
|
---|
1759 | t "STD_LOGIC"
|
---|
1760 | preAdd 0
|
---|
1761 | posAdd 0
|
---|
1762 | o 32
|
---|
1763 | suid 18,0
|
---|
1764 | )
|
---|
1765 | )
|
---|
1766 | )
|
---|
1767 | *161 (CptPort
|
---|
1768 | uid 1171,0
|
---|
1769 | ps "OnEdgeStrategy"
|
---|
1770 | shape (Triangle
|
---|
1771 | uid 1172,0
|
---|
1772 | ro 90
|
---|
1773 | va (VaSet
|
---|
1774 | vasetType 1
|
---|
1775 | fg "0,65535,0"
|
---|
1776 | )
|
---|
1777 | xt "14250,15625,15000,16375"
|
---|
1778 | )
|
---|
1779 | tg (CPTG
|
---|
1780 | uid 1173,0
|
---|
1781 | ps "CptPortTextPlaceStrategy"
|
---|
1782 | stg "VerticalLayoutStrategy"
|
---|
1783 | f (Text
|
---|
1784 | uid 1174,0
|
---|
1785 | va (VaSet
|
---|
1786 | )
|
---|
1787 | xt "16000,15500,21600,16500"
|
---|
1788 | st "A_OTR : (3:0)"
|
---|
1789 | blo "16000,16300"
|
---|
1790 | tm "CptPortNameMgr"
|
---|
1791 | )
|
---|
1792 | )
|
---|
1793 | dt (MLText
|
---|
1794 | uid 1175,0
|
---|
1795 | va (VaSet
|
---|
1796 | font "Courier New,8,0"
|
---|
1797 | )
|
---|
1798 | xt "44000,5200,71500,6000"
|
---|
1799 | st "A_OTR : IN std_logic_vector (3 DOWNTO 0) ;
|
---|
1800 | "
|
---|
1801 | )
|
---|
1802 | thePort (LogicalPort
|
---|
1803 | decl (Decl
|
---|
1804 | n "A_OTR"
|
---|
1805 | t "std_logic_vector"
|
---|
1806 | b "(3 DOWNTO 0)"
|
---|
1807 | o 5
|
---|
1808 | suid 19,0
|
---|
1809 | )
|
---|
1810 | )
|
---|
1811 | )
|
---|
1812 | *162 (CptPort
|
---|
1813 | uid 1176,0
|
---|
1814 | ps "OnEdgeStrategy"
|
---|
1815 | shape (Triangle
|
---|
1816 | uid 1177,0
|
---|
1817 | ro 90
|
---|
1818 | va (VaSet
|
---|
1819 | vasetType 1
|
---|
1820 | fg "0,65535,0"
|
---|
1821 | )
|
---|
1822 | xt "14250,17625,15000,18375"
|
---|
1823 | )
|
---|
1824 | tg (CPTG
|
---|
1825 | uid 1178,0
|
---|
1826 | ps "CptPortTextPlaceStrategy"
|
---|
1827 | stg "VerticalLayoutStrategy"
|
---|
1828 | f (Text
|
---|
1829 | uid 1179,0
|
---|
1830 | va (VaSet
|
---|
1831 | )
|
---|
1832 | xt "16000,17500,21300,18500"
|
---|
1833 | st "A0_D : (11:0)"
|
---|
1834 | blo "16000,18300"
|
---|
1835 | tm "CptPortNameMgr"
|
---|
1836 | )
|
---|
1837 | )
|
---|
1838 | dt (MLText
|
---|
1839 | uid 1180,0
|
---|
1840 | va (VaSet
|
---|
1841 | font "Courier New,8,0"
|
---|
1842 | )
|
---|
1843 | xt "44000,2000,72000,2800"
|
---|
1844 | st "A0_D : IN std_logic_vector (11 DOWNTO 0) ;
|
---|
1845 | "
|
---|
1846 | )
|
---|
1847 | thePort (LogicalPort
|
---|
1848 | decl (Decl
|
---|
1849 | n "A0_D"
|
---|
1850 | t "std_logic_vector"
|
---|
1851 | b "(11 DOWNTO 0)"
|
---|
1852 | o 1
|
---|
1853 | suid 20,0
|
---|
1854 | )
|
---|
1855 | )
|
---|
1856 | )
|
---|
1857 | *163 (CptPort
|
---|
1858 | uid 1181,0
|
---|
1859 | ps "OnEdgeStrategy"
|
---|
1860 | shape (Triangle
|
---|
1861 | uid 1182,0
|
---|
1862 | ro 90
|
---|
1863 | va (VaSet
|
---|
1864 | vasetType 1
|
---|
1865 | fg "0,65535,0"
|
---|
1866 | )
|
---|
1867 | xt "14250,19625,15000,20375"
|
---|
1868 | )
|
---|
1869 | tg (CPTG
|
---|
1870 | uid 1183,0
|
---|
1871 | ps "CptPortTextPlaceStrategy"
|
---|
1872 | stg "VerticalLayoutStrategy"
|
---|
1873 | f (Text
|
---|
1874 | uid 1184,0
|
---|
1875 | va (VaSet
|
---|
1876 | )
|
---|
1877 | xt "16000,19500,21300,20500"
|
---|
1878 | st "A1_D : (11:0)"
|
---|
1879 | blo "16000,20300"
|
---|
1880 | tm "CptPortNameMgr"
|
---|
1881 | )
|
---|
1882 | )
|
---|
1883 | dt (MLText
|
---|
1884 | uid 1185,0
|
---|
1885 | va (VaSet
|
---|
1886 | font "Courier New,8,0"
|
---|
1887 | )
|
---|
1888 | xt "44000,2800,72000,3600"
|
---|
1889 | st "A1_D : IN std_logic_vector (11 DOWNTO 0) ;
|
---|
1890 | "
|
---|
1891 | )
|
---|
1892 | thePort (LogicalPort
|
---|
1893 | decl (Decl
|
---|
1894 | n "A1_D"
|
---|
1895 | t "std_logic_vector"
|
---|
1896 | b "(11 DOWNTO 0)"
|
---|
1897 | o 2
|
---|
1898 | suid 21,0
|
---|
1899 | )
|
---|
1900 | )
|
---|
1901 | )
|
---|
1902 | *164 (CptPort
|
---|
1903 | uid 1186,0
|
---|
1904 | ps "OnEdgeStrategy"
|
---|
1905 | shape (Triangle
|
---|
1906 | uid 1187,0
|
---|
1907 | ro 90
|
---|
1908 | va (VaSet
|
---|
1909 | vasetType 1
|
---|
1910 | fg "0,65535,0"
|
---|
1911 | )
|
---|
1912 | xt "14250,21625,15000,22375"
|
---|
1913 | )
|
---|
1914 | tg (CPTG
|
---|
1915 | uid 1188,0
|
---|
1916 | ps "CptPortTextPlaceStrategy"
|
---|
1917 | stg "VerticalLayoutStrategy"
|
---|
1918 | f (Text
|
---|
1919 | uid 1189,0
|
---|
1920 | va (VaSet
|
---|
1921 | )
|
---|
1922 | xt "16000,21500,21300,22500"
|
---|
1923 | st "A2_D : (11:0)"
|
---|
1924 | blo "16000,22300"
|
---|
1925 | tm "CptPortNameMgr"
|
---|
1926 | )
|
---|
1927 | )
|
---|
1928 | dt (MLText
|
---|
1929 | uid 1190,0
|
---|
1930 | va (VaSet
|
---|
1931 | font "Courier New,8,0"
|
---|
1932 | )
|
---|
1933 | xt "44000,3600,72000,4400"
|
---|
1934 | st "A2_D : IN std_logic_vector (11 DOWNTO 0) ;
|
---|
1935 | "
|
---|
1936 | )
|
---|
1937 | thePort (LogicalPort
|
---|
1938 | decl (Decl
|
---|
1939 | n "A2_D"
|
---|
1940 | t "std_logic_vector"
|
---|
1941 | b "(11 DOWNTO 0)"
|
---|
1942 | o 3
|
---|
1943 | suid 22,0
|
---|
1944 | )
|
---|
1945 | )
|
---|
1946 | )
|
---|
1947 | *165 (CptPort
|
---|
1948 | uid 1191,0
|
---|
1949 | ps "OnEdgeStrategy"
|
---|
1950 | shape (Triangle
|
---|
1951 | uid 1192,0
|
---|
1952 | ro 90
|
---|
1953 | va (VaSet
|
---|
1954 | vasetType 1
|
---|
1955 | fg "0,65535,0"
|
---|
1956 | )
|
---|
1957 | xt "14250,23625,15000,24375"
|
---|
1958 | )
|
---|
1959 | tg (CPTG
|
---|
1960 | uid 1193,0
|
---|
1961 | ps "CptPortTextPlaceStrategy"
|
---|
1962 | stg "VerticalLayoutStrategy"
|
---|
1963 | f (Text
|
---|
1964 | uid 1194,0
|
---|
1965 | va (VaSet
|
---|
1966 | )
|
---|
1967 | xt "16000,23500,21300,24500"
|
---|
1968 | st "A3_D : (11:0)"
|
---|
1969 | blo "16000,24300"
|
---|
1970 | tm "CptPortNameMgr"
|
---|
1971 | )
|
---|
1972 | )
|
---|
1973 | dt (MLText
|
---|
1974 | uid 1195,0
|
---|
1975 | va (VaSet
|
---|
1976 | font "Courier New,8,0"
|
---|
1977 | )
|
---|
1978 | xt "44000,4400,72000,5200"
|
---|
1979 | st "A3_D : IN std_logic_vector (11 DOWNTO 0) ;
|
---|
1980 | "
|
---|
1981 | )
|
---|
1982 | thePort (LogicalPort
|
---|
1983 | decl (Decl
|
---|
1984 | n "A3_D"
|
---|
1985 | t "std_logic_vector"
|
---|
1986 | b "(11 DOWNTO 0)"
|
---|
1987 | o 4
|
---|
1988 | suid 23,0
|
---|
1989 | )
|
---|
1990 | )
|
---|
1991 | )
|
---|
1992 | *166 (CptPort
|
---|
1993 | uid 1282,0
|
---|
1994 | ps "OnEdgeStrategy"
|
---|
1995 | shape (Triangle
|
---|
1996 | uid 1283,0
|
---|
1997 | ro 90
|
---|
1998 | va (VaSet
|
---|
1999 | vasetType 1
|
---|
2000 | fg "0,65535,0"
|
---|
2001 | )
|
---|
2002 | xt "14250,33625,15000,34375"
|
---|
2003 | )
|
---|
2004 | tg (CPTG
|
---|
2005 | uid 1284,0
|
---|
2006 | ps "CptPortTextPlaceStrategy"
|
---|
2007 | stg "VerticalLayoutStrategy"
|
---|
2008 | f (Text
|
---|
2009 | uid 1285,0
|
---|
2010 | va (VaSet
|
---|
2011 | )
|
---|
2012 | xt "16000,33500,20600,34500"
|
---|
2013 | st "D0_SROUT"
|
---|
2014 | blo "16000,34300"
|
---|
2015 | tm "CptPortNameMgr"
|
---|
2016 | )
|
---|
2017 | )
|
---|
2018 | dt (MLText
|
---|
2019 | uid 1286,0
|
---|
2020 | va (VaSet
|
---|
2021 | font "Courier New,8,0"
|
---|
2022 | )
|
---|
2023 | xt "44000,6000,61500,6800"
|
---|
2024 | st "D0_SROUT : IN std_logic ;
|
---|
2025 | "
|
---|
2026 | )
|
---|
2027 | thePort (LogicalPort
|
---|
2028 | decl (Decl
|
---|
2029 | n "D0_SROUT"
|
---|
2030 | t "std_logic"
|
---|
2031 | o 6
|
---|
2032 | suid 28,0
|
---|
2033 | )
|
---|
2034 | )
|
---|
2035 | )
|
---|
2036 | *167 (CptPort
|
---|
2037 | uid 1287,0
|
---|
2038 | ps "OnEdgeStrategy"
|
---|
2039 | shape (Triangle
|
---|
2040 | uid 1288,0
|
---|
2041 | ro 90
|
---|
2042 | va (VaSet
|
---|
2043 | vasetType 1
|
---|
2044 | fg "0,65535,0"
|
---|
2045 | )
|
---|
2046 | xt "14250,35625,15000,36375"
|
---|
2047 | )
|
---|
2048 | tg (CPTG
|
---|
2049 | uid 1289,0
|
---|
2050 | ps "CptPortTextPlaceStrategy"
|
---|
2051 | stg "VerticalLayoutStrategy"
|
---|
2052 | f (Text
|
---|
2053 | uid 1290,0
|
---|
2054 | va (VaSet
|
---|
2055 | )
|
---|
2056 | xt "16000,35500,20600,36500"
|
---|
2057 | st "D1_SROUT"
|
---|
2058 | blo "16000,36300"
|
---|
2059 | tm "CptPortNameMgr"
|
---|
2060 | )
|
---|
2061 | )
|
---|
2062 | dt (MLText
|
---|
2063 | uid 1291,0
|
---|
2064 | va (VaSet
|
---|
2065 | font "Courier New,8,0"
|
---|
2066 | )
|
---|
2067 | xt "44000,6800,61500,7600"
|
---|
2068 | st "D1_SROUT : IN std_logic ;
|
---|
2069 | "
|
---|
2070 | )
|
---|
2071 | thePort (LogicalPort
|
---|
2072 | decl (Decl
|
---|
2073 | n "D1_SROUT"
|
---|
2074 | t "std_logic"
|
---|
2075 | o 7
|
---|
2076 | suid 29,0
|
---|
2077 | )
|
---|
2078 | )
|
---|
2079 | )
|
---|
2080 | *168 (CptPort
|
---|
2081 | uid 1292,0
|
---|
2082 | ps "OnEdgeStrategy"
|
---|
2083 | shape (Triangle
|
---|
2084 | uid 1293,0
|
---|
2085 | ro 90
|
---|
2086 | va (VaSet
|
---|
2087 | vasetType 1
|
---|
2088 | fg "0,65535,0"
|
---|
2089 | )
|
---|
2090 | xt "14250,37625,15000,38375"
|
---|
2091 | )
|
---|
2092 | tg (CPTG
|
---|
2093 | uid 1294,0
|
---|
2094 | ps "CptPortTextPlaceStrategy"
|
---|
2095 | stg "VerticalLayoutStrategy"
|
---|
2096 | f (Text
|
---|
2097 | uid 1295,0
|
---|
2098 | va (VaSet
|
---|
2099 | )
|
---|
2100 | xt "16000,37500,20600,38500"
|
---|
2101 | st "D2_SROUT"
|
---|
2102 | blo "16000,38300"
|
---|
2103 | tm "CptPortNameMgr"
|
---|
2104 | )
|
---|
2105 | )
|
---|
2106 | dt (MLText
|
---|
2107 | uid 1296,0
|
---|
2108 | va (VaSet
|
---|
2109 | font "Courier New,8,0"
|
---|
2110 | )
|
---|
2111 | xt "44000,7600,61500,8400"
|
---|
2112 | st "D2_SROUT : IN std_logic ;
|
---|
2113 | "
|
---|
2114 | )
|
---|
2115 | thePort (LogicalPort
|
---|
2116 | decl (Decl
|
---|
2117 | n "D2_SROUT"
|
---|
2118 | t "std_logic"
|
---|
2119 | o 8
|
---|
2120 | suid 30,0
|
---|
2121 | )
|
---|
2122 | )
|
---|
2123 | )
|
---|
2124 | *169 (CptPort
|
---|
2125 | uid 1297,0
|
---|
2126 | ps "OnEdgeStrategy"
|
---|
2127 | shape (Triangle
|
---|
2128 | uid 1298,0
|
---|
2129 | ro 90
|
---|
2130 | va (VaSet
|
---|
2131 | vasetType 1
|
---|
2132 | fg "0,65535,0"
|
---|
2133 | )
|
---|
2134 | xt "14250,39625,15000,40375"
|
---|
2135 | )
|
---|
2136 | tg (CPTG
|
---|
2137 | uid 1299,0
|
---|
2138 | ps "CptPortTextPlaceStrategy"
|
---|
2139 | stg "VerticalLayoutStrategy"
|
---|
2140 | f (Text
|
---|
2141 | uid 1300,0
|
---|
2142 | va (VaSet
|
---|
2143 | )
|
---|
2144 | xt "16000,39500,20600,40500"
|
---|
2145 | st "D3_SROUT"
|
---|
2146 | blo "16000,40300"
|
---|
2147 | tm "CptPortNameMgr"
|
---|
2148 | )
|
---|
2149 | )
|
---|
2150 | dt (MLText
|
---|
2151 | uid 1301,0
|
---|
2152 | va (VaSet
|
---|
2153 | font "Courier New,8,0"
|
---|
2154 | )
|
---|
2155 | xt "44000,8400,61500,9200"
|
---|
2156 | st "D3_SROUT : IN std_logic ;
|
---|
2157 | "
|
---|
2158 | )
|
---|
2159 | thePort (LogicalPort
|
---|
2160 | decl (Decl
|
---|
2161 | n "D3_SROUT"
|
---|
2162 | t "std_logic"
|
---|
2163 | o 9
|
---|
2164 | suid 31,0
|
---|
2165 | )
|
---|
2166 | )
|
---|
2167 | )
|
---|
2168 | *170 (CptPort
|
---|
2169 | uid 1302,0
|
---|
2170 | ps "OnEdgeStrategy"
|
---|
2171 | shape (Triangle
|
---|
2172 | uid 1303,0
|
---|
2173 | ro 90
|
---|
2174 | va (VaSet
|
---|
2175 | vasetType 1
|
---|
2176 | fg "0,65535,0"
|
---|
2177 | )
|
---|
2178 | xt "35000,29625,35750,30375"
|
---|
2179 | )
|
---|
2180 | tg (CPTG
|
---|
2181 | uid 1304,0
|
---|
2182 | ps "CptPortTextPlaceStrategy"
|
---|
2183 | stg "RightVerticalLayoutStrategy"
|
---|
2184 | f (Text
|
---|
2185 | uid 1305,0
|
---|
2186 | va (VaSet
|
---|
2187 | )
|
---|
2188 | xt "29500,29500,34000,30500"
|
---|
2189 | st "D_A : (3:0)"
|
---|
2190 | ju 2
|
---|
2191 | blo "34000,30300"
|
---|
2192 | tm "CptPortNameMgr"
|
---|
2193 | )
|
---|
2194 | t (Text
|
---|
2195 | uid 1306,0
|
---|
2196 | va (VaSet
|
---|
2197 | )
|
---|
2198 | xt "28300,30500,34000,31500"
|
---|
2199 | st "(others => '0')"
|
---|
2200 | ju 2
|
---|
2201 | blo "34000,31300"
|
---|
2202 | tm "InitValueDelayMgr"
|
---|
2203 | )
|
---|
2204 | )
|
---|
2205 | dt (MLText
|
---|
2206 | uid 1307,0
|
---|
2207 | va (VaSet
|
---|
2208 | font "Courier New,8,0"
|
---|
2209 | )
|
---|
2210 | xt "44000,22000,82000,22800"
|
---|
2211 | st "D_A : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ;
|
---|
2212 | "
|
---|
2213 | )
|
---|
2214 | thePort (LogicalPort
|
---|
2215 | m 1
|
---|
2216 | decl (Decl
|
---|
2217 | n "D_A"
|
---|
2218 | t "std_logic_vector"
|
---|
2219 | b "(3 DOWNTO 0)"
|
---|
2220 | o 26
|
---|
2221 | suid 32,0
|
---|
2222 | i "(others => '0')"
|
---|
2223 | )
|
---|
2224 | )
|
---|
2225 | )
|
---|
2226 | *171 (CptPort
|
---|
2227 | uid 1308,0
|
---|
2228 | ps "OnEdgeStrategy"
|
---|
2229 | shape (Triangle
|
---|
2230 | uid 1309,0
|
---|
2231 | ro 90
|
---|
2232 | va (VaSet
|
---|
2233 | vasetType 1
|
---|
2234 | fg "0,65535,0"
|
---|
2235 | )
|
---|
2236 | xt "35000,31625,35750,32375"
|
---|
2237 | )
|
---|
2238 | tg (CPTG
|
---|
2239 | uid 1310,0
|
---|
2240 | ps "CptPortTextPlaceStrategy"
|
---|
2241 | stg "RightVerticalLayoutStrategy"
|
---|
2242 | f (Text
|
---|
2243 | uid 1311,0
|
---|
2244 | va (VaSet
|
---|
2245 | )
|
---|
2246 | xt "30500,31500,34000,32500"
|
---|
2247 | st "DWRITE"
|
---|
2248 | ju 2
|
---|
2249 | blo "34000,32300"
|
---|
2250 | tm "CptPortNameMgr"
|
---|
2251 | )
|
---|
2252 | t (Text
|
---|
2253 | uid 1312,0
|
---|
2254 | va (VaSet
|
---|
2255 | )
|
---|
2256 | xt "32800,32500,34000,33500"
|
---|
2257 | st "'0'"
|
---|
2258 | ju 2
|
---|
2259 | blo "34000,33300"
|
---|
2260 | tm "InitValueDelayMgr"
|
---|
2261 | )
|
---|
2262 | )
|
---|
2263 | dt (MLText
|
---|
2264 | uid 1313,0
|
---|
2265 | va (VaSet
|
---|
2266 | font "Courier New,8,0"
|
---|
2267 | )
|
---|
2268 | xt "44000,21200,76000,22000"
|
---|
2269 | st "DWRITE : OUT std_logic := '0' ;
|
---|
2270 | "
|
---|
2271 | )
|
---|
2272 | thePort (LogicalPort
|
---|
2273 | m 1
|
---|
2274 | decl (Decl
|
---|
2275 | n "DWRITE"
|
---|
2276 | t "std_logic"
|
---|
2277 | o 25
|
---|
2278 | suid 33,0
|
---|
2279 | i "'0'"
|
---|
2280 | )
|
---|
2281 | )
|
---|
2282 | )
|
---|
2283 | *172 (CptPort
|
---|
2284 | uid 1363,0
|
---|
2285 | ps "OnEdgeStrategy"
|
---|
2286 | shape (Triangle
|
---|
2287 | uid 1364,0
|
---|
2288 | ro 90
|
---|
2289 | va (VaSet
|
---|
2290 | vasetType 1
|
---|
2291 | fg "0,65535,0"
|
---|
2292 | )
|
---|
2293 | xt "35000,33625,35750,34375"
|
---|
2294 | )
|
---|
2295 | tg (CPTG
|
---|
2296 | uid 1365,0
|
---|
2297 | ps "CptPortTextPlaceStrategy"
|
---|
2298 | stg "RightVerticalLayoutStrategy"
|
---|
2299 | f (Text
|
---|
2300 | uid 1366,0
|
---|
2301 | va (VaSet
|
---|
2302 | )
|
---|
2303 | xt "30400,33500,34000,34500"
|
---|
2304 | st "DAC_CS"
|
---|
2305 | ju 2
|
---|
2306 | blo "34000,34300"
|
---|
2307 | tm "CptPortNameMgr"
|
---|
2308 | )
|
---|
2309 | )
|
---|
2310 | dt (MLText
|
---|
2311 | uid 1367,0
|
---|
2312 | va (VaSet
|
---|
2313 | font "Courier New,8,0"
|
---|
2314 | )
|
---|
2315 | xt "44000,18800,61500,19600"
|
---|
2316 | st "DAC_CS : OUT std_logic ;
|
---|
2317 | "
|
---|
2318 | )
|
---|
2319 | thePort (LogicalPort
|
---|
2320 | m 1
|
---|
2321 | decl (Decl
|
---|
2322 | n "DAC_CS"
|
---|
2323 | t "std_logic"
|
---|
2324 | o 22
|
---|
2325 | suid 34,0
|
---|
2326 | )
|
---|
2327 | )
|
---|
2328 | )
|
---|
2329 | *173 (CptPort
|
---|
2330 | uid 1388,0
|
---|
2331 | ps "OnEdgeStrategy"
|
---|
2332 | shape (Triangle
|
---|
2333 | uid 1389,0
|
---|
2334 | ro 90
|
---|
2335 | va (VaSet
|
---|
2336 | vasetType 1
|
---|
2337 | fg "0,65535,0"
|
---|
2338 | )
|
---|
2339 | xt "35000,43625,35750,44375"
|
---|
2340 | )
|
---|
2341 | tg (CPTG
|
---|
2342 | uid 1390,0
|
---|
2343 | ps "CptPortTextPlaceStrategy"
|
---|
2344 | stg "RightVerticalLayoutStrategy"
|
---|
2345 | f (Text
|
---|
2346 | uid 1391,0
|
---|
2347 | va (VaSet
|
---|
2348 | )
|
---|
2349 | xt "31200,43500,34000,44500"
|
---|
2350 | st "S_CLK"
|
---|
2351 | ju 2
|
---|
2352 | blo "34000,44300"
|
---|
2353 | tm "CptPortNameMgr"
|
---|
2354 | )
|
---|
2355 | )
|
---|
2356 | dt (MLText
|
---|
2357 | uid 1392,0
|
---|
2358 | va (VaSet
|
---|
2359 | font "Courier New,8,0"
|
---|
2360 | )
|
---|
2361 | xt "44000,34800,61500,35600"
|
---|
2362 | st "S_CLK : OUT std_logic ;
|
---|
2363 | "
|
---|
2364 | )
|
---|
2365 | thePort (LogicalPort
|
---|
2366 | m 1
|
---|
2367 | decl (Decl
|
---|
2368 | n "S_CLK"
|
---|
2369 | t "std_logic"
|
---|
2370 | o 42
|
---|
2371 | suid 39,0
|
---|
2372 | )
|
---|
2373 | )
|
---|
2374 | )
|
---|
2375 | *174 (CptPort
|
---|
2376 | uid 1393,0
|
---|
2377 | ps "OnEdgeStrategy"
|
---|
2378 | shape (Triangle
|
---|
2379 | uid 1394,0
|
---|
2380 | ro 90
|
---|
2381 | va (VaSet
|
---|
2382 | vasetType 1
|
---|
2383 | fg "0,65535,0"
|
---|
2384 | )
|
---|
2385 | xt "35000,45625,35750,46375"
|
---|
2386 | )
|
---|
2387 | tg (CPTG
|
---|
2388 | uid 1395,0
|
---|
2389 | ps "CptPortTextPlaceStrategy"
|
---|
2390 | stg "RightVerticalLayoutStrategy"
|
---|
2391 | f (Text
|
---|
2392 | uid 1396,0
|
---|
2393 | va (VaSet
|
---|
2394 | )
|
---|
2395 | xt "29400,45500,34000,46500"
|
---|
2396 | st "W_A : (9:0)"
|
---|
2397 | ju 2
|
---|
2398 | blo "34000,46300"
|
---|
2399 | tm "CptPortNameMgr"
|
---|
2400 | )
|
---|
2401 | )
|
---|
2402 | dt (MLText
|
---|
2403 | uid 1397,0
|
---|
2404 | va (VaSet
|
---|
2405 | font "Courier New,8,0"
|
---|
2406 | )
|
---|
2407 | xt "44000,37200,71500,38000"
|
---|
2408 | st "W_A : OUT std_logic_vector (9 DOWNTO 0) ;
|
---|
2409 | "
|
---|
2410 | )
|
---|
2411 | thePort (LogicalPort
|
---|
2412 | m 1
|
---|
2413 | decl (Decl
|
---|
2414 | n "W_A"
|
---|
2415 | t "std_logic_vector"
|
---|
2416 | b "(9 DOWNTO 0)"
|
---|
2417 | o 45
|
---|
2418 | suid 40,0
|
---|
2419 | )
|
---|
2420 | )
|
---|
2421 | )
|
---|
2422 | *175 (CptPort
|
---|
2423 | uid 1398,0
|
---|
2424 | ps "OnEdgeStrategy"
|
---|
2425 | shape (Diamond
|
---|
2426 | uid 1399,0
|
---|
2427 | ro 90
|
---|
2428 | va (VaSet
|
---|
2429 | vasetType 1
|
---|
2430 | fg "0,65535,0"
|
---|
2431 | )
|
---|
2432 | xt "35000,47625,35750,48375"
|
---|
2433 | )
|
---|
2434 | tg (CPTG
|
---|
2435 | uid 1400,0
|
---|
2436 | ps "CptPortTextPlaceStrategy"
|
---|
2437 | stg "RightVerticalLayoutStrategy"
|
---|
2438 | f (Text
|
---|
2439 | uid 1401,0
|
---|
2440 | va (VaSet
|
---|
2441 | )
|
---|
2442 | xt "28300,47500,34000,48500"
|
---|
2443 | st "W_D : (15:0)"
|
---|
2444 | ju 2
|
---|
2445 | blo "34000,48300"
|
---|
2446 | tm "CptPortNameMgr"
|
---|
2447 | )
|
---|
2448 | )
|
---|
2449 | dt (MLText
|
---|
2450 | uid 1402,0
|
---|
2451 | va (VaSet
|
---|
2452 | font "Courier New,8,0"
|
---|
2453 | )
|
---|
2454 | xt "44000,42800,71000,43600"
|
---|
2455 | st "W_D : INOUT std_logic_vector (15 DOWNTO 0)
|
---|
2456 | "
|
---|
2457 | )
|
---|
2458 | thePort (LogicalPort
|
---|
2459 | m 2
|
---|
2460 | decl (Decl
|
---|
2461 | n "W_D"
|
---|
2462 | t "std_logic_vector"
|
---|
2463 | b "(15 DOWNTO 0)"
|
---|
2464 | o 52
|
---|
2465 | suid 41,0
|
---|
2466 | )
|
---|
2467 | )
|
---|
2468 | )
|
---|
2469 | *176 (CptPort
|
---|
2470 | uid 1403,0
|
---|
2471 | ps "OnEdgeStrategy"
|
---|
2472 | shape (Triangle
|
---|
2473 | uid 1404,0
|
---|
2474 | ro 90
|
---|
2475 | va (VaSet
|
---|
2476 | vasetType 1
|
---|
2477 | fg "0,65535,0"
|
---|
2478 | )
|
---|
2479 | xt "35000,49625,35750,50375"
|
---|
2480 | )
|
---|
2481 | tg (CPTG
|
---|
2482 | uid 1405,0
|
---|
2483 | ps "CptPortTextPlaceStrategy"
|
---|
2484 | stg "RightVerticalLayoutStrategy"
|
---|
2485 | f (Text
|
---|
2486 | uid 1406,0
|
---|
2487 | va (VaSet
|
---|
2488 | )
|
---|
2489 | xt "30900,49500,34000,50500"
|
---|
2490 | st "W_RES"
|
---|
2491 | ju 2
|
---|
2492 | blo "34000,50300"
|
---|
2493 | tm "CptPortNameMgr"
|
---|
2494 | )
|
---|
2495 | t (Text
|
---|
2496 | uid 1407,0
|
---|
2497 | va (VaSet
|
---|
2498 | )
|
---|
2499 | xt "32800,50500,34000,51500"
|
---|
2500 | st "'1'"
|
---|
2501 | ju 2
|
---|
2502 | blo "34000,51300"
|
---|
2503 | tm "InitValueDelayMgr"
|
---|
2504 | )
|
---|
2505 | )
|
---|
2506 | dt (MLText
|
---|
2507 | uid 1408,0
|
---|
2508 | va (VaSet
|
---|
2509 | font "Courier New,8,0"
|
---|
2510 | )
|
---|
2511 | xt "44000,39600,76000,40400"
|
---|
2512 | st "W_RES : OUT std_logic := '1' ;
|
---|
2513 | "
|
---|
2514 | )
|
---|
2515 | thePort (LogicalPort
|
---|
2516 | m 1
|
---|
2517 | decl (Decl
|
---|
2518 | n "W_RES"
|
---|
2519 | t "std_logic"
|
---|
2520 | o 48
|
---|
2521 | suid 42,0
|
---|
2522 | i "'1'"
|
---|
2523 | )
|
---|
2524 | )
|
---|
2525 | )
|
---|
2526 | *177 (CptPort
|
---|
2527 | uid 1409,0
|
---|
2528 | ps "OnEdgeStrategy"
|
---|
2529 | shape (Triangle
|
---|
2530 | uid 1410,0
|
---|
2531 | ro 90
|
---|
2532 | va (VaSet
|
---|
2533 | vasetType 1
|
---|
2534 | fg "0,65535,0"
|
---|
2535 | )
|
---|
2536 | xt "35000,51625,35750,52375"
|
---|
2537 | )
|
---|
2538 | tg (CPTG
|
---|
2539 | uid 1411,0
|
---|
2540 | ps "CptPortTextPlaceStrategy"
|
---|
2541 | stg "RightVerticalLayoutStrategy"
|
---|
2542 | f (Text
|
---|
2543 | uid 1412,0
|
---|
2544 | va (VaSet
|
---|
2545 | )
|
---|
2546 | xt "31300,51500,34000,52500"
|
---|
2547 | st "W_RD"
|
---|
2548 | ju 2
|
---|
2549 | blo "34000,52300"
|
---|
2550 | tm "CptPortNameMgr"
|
---|
2551 | )
|
---|
2552 | t (Text
|
---|
2553 | uid 1413,0
|
---|
2554 | va (VaSet
|
---|
2555 | )
|
---|
2556 | xt "32800,52500,34000,53500"
|
---|
2557 | st "'1'"
|
---|
2558 | ju 2
|
---|
2559 | blo "34000,53300"
|
---|
2560 | tm "InitValueDelayMgr"
|
---|
2561 | )
|
---|
2562 | )
|
---|
2563 | dt (MLText
|
---|
2564 | uid 1414,0
|
---|
2565 | va (VaSet
|
---|
2566 | font "Courier New,8,0"
|
---|
2567 | )
|
---|
2568 | xt "44000,38800,76000,39600"
|
---|
2569 | st "W_RD : OUT std_logic := '1' ;
|
---|
2570 | "
|
---|
2571 | )
|
---|
2572 | thePort (LogicalPort
|
---|
2573 | m 1
|
---|
2574 | decl (Decl
|
---|
2575 | n "W_RD"
|
---|
2576 | t "std_logic"
|
---|
2577 | o 47
|
---|
2578 | suid 43,0
|
---|
2579 | i "'1'"
|
---|
2580 | )
|
---|
2581 | )
|
---|
2582 | )
|
---|
2583 | *178 (CptPort
|
---|
2584 | uid 1415,0
|
---|
2585 | ps "OnEdgeStrategy"
|
---|
2586 | shape (Triangle
|
---|
2587 | uid 1416,0
|
---|
2588 | ro 90
|
---|
2589 | va (VaSet
|
---|
2590 | vasetType 1
|
---|
2591 | fg "0,65535,0"
|
---|
2592 | )
|
---|
2593 | xt "35000,53625,35750,54375"
|
---|
2594 | )
|
---|
2595 | tg (CPTG
|
---|
2596 | uid 1417,0
|
---|
2597 | ps "CptPortTextPlaceStrategy"
|
---|
2598 | stg "RightVerticalLayoutStrategy"
|
---|
2599 | f (Text
|
---|
2600 | uid 1418,0
|
---|
2601 | va (VaSet
|
---|
2602 | )
|
---|
2603 | xt "30800,53500,34000,54500"
|
---|
2604 | st "W_WR"
|
---|
2605 | ju 2
|
---|
2606 | blo "34000,54300"
|
---|
2607 | tm "CptPortNameMgr"
|
---|
2608 | )
|
---|
2609 | t (Text
|
---|
2610 | uid 1419,0
|
---|
2611 | va (VaSet
|
---|
2612 | )
|
---|
2613 | xt "32600,54500,34000,55500"
|
---|
2614 | st "'1'"
|
---|
2615 | ju 2
|
---|
2616 | blo "34000,55300"
|
---|
2617 | tm "InitValueDelayMgr"
|
---|
2618 | )
|
---|
2619 | )
|
---|
2620 | dt (MLText
|
---|
2621 | uid 1420,0
|
---|
2622 | va (VaSet
|
---|
2623 | font "Courier New,8,0"
|
---|
2624 | )
|
---|
2625 | xt "44000,41200,76000,42000"
|
---|
2626 | st "W_WR : OUT std_logic := '1' ;
|
---|
2627 | "
|
---|
2628 | )
|
---|
2629 | thePort (LogicalPort
|
---|
2630 | m 1
|
---|
2631 | decl (Decl
|
---|
2632 | n "W_WR"
|
---|
2633 | t "std_logic"
|
---|
2634 | o 50
|
---|
2635 | suid 44,0
|
---|
2636 | i "'1'"
|
---|
2637 | )
|
---|
2638 | )
|
---|
2639 | )
|
---|
2640 | *179 (CptPort
|
---|
2641 | uid 1421,0
|
---|
2642 | ps "OnEdgeStrategy"
|
---|
2643 | shape (Triangle
|
---|
2644 | uid 1422,0
|
---|
2645 | ro 90
|
---|
2646 | va (VaSet
|
---|
2647 | vasetType 1
|
---|
2648 | fg "0,65535,0"
|
---|
2649 | )
|
---|
2650 | xt "14250,41625,15000,42375"
|
---|
2651 | )
|
---|
2652 | tg (CPTG
|
---|
2653 | uid 1423,0
|
---|
2654 | ps "CptPortTextPlaceStrategy"
|
---|
2655 | stg "VerticalLayoutStrategy"
|
---|
2656 | f (Text
|
---|
2657 | uid 1424,0
|
---|
2658 | va (VaSet
|
---|
2659 | )
|
---|
2660 | xt "16000,41500,18800,42500"
|
---|
2661 | st "W_INT"
|
---|
2662 | blo "16000,42300"
|
---|
2663 | tm "CptPortNameMgr"
|
---|
2664 | )
|
---|
2665 | )
|
---|
2666 | dt (MLText
|
---|
2667 | uid 1425,0
|
---|
2668 | va (VaSet
|
---|
2669 | font "Courier New,8,0"
|
---|
2670 | )
|
---|
2671 | xt "44000,14000,61500,14800"
|
---|
2672 | st "W_INT : IN std_logic ;
|
---|
2673 | "
|
---|
2674 | )
|
---|
2675 | thePort (LogicalPort
|
---|
2676 | decl (Decl
|
---|
2677 | n "W_INT"
|
---|
2678 | t "std_logic"
|
---|
2679 | o 16
|
---|
2680 | suid 45,0
|
---|
2681 | )
|
---|
2682 | )
|
---|
2683 | )
|
---|
2684 | *180 (CptPort
|
---|
2685 | uid 1426,0
|
---|
2686 | ps "OnEdgeStrategy"
|
---|
2687 | shape (Triangle
|
---|
2688 | uid 1427,0
|
---|
2689 | ro 90
|
---|
2690 | va (VaSet
|
---|
2691 | vasetType 1
|
---|
2692 | fg "0,65535,0"
|
---|
2693 | )
|
---|
2694 | xt "35000,55625,35750,56375"
|
---|
2695 | )
|
---|
2696 | tg (CPTG
|
---|
2697 | uid 1428,0
|
---|
2698 | ps "CptPortTextPlaceStrategy"
|
---|
2699 | stg "RightVerticalLayoutStrategy"
|
---|
2700 | f (Text
|
---|
2701 | uid 1429,0
|
---|
2702 | va (VaSet
|
---|
2703 | )
|
---|
2704 | xt "31400,55500,34000,56500"
|
---|
2705 | st "W_CS"
|
---|
2706 | ju 2
|
---|
2707 | blo "34000,56300"
|
---|
2708 | tm "CptPortNameMgr"
|
---|
2709 | )
|
---|
2710 | t (Text
|
---|
2711 | uid 1430,0
|
---|
2712 | va (VaSet
|
---|
2713 | )
|
---|
2714 | xt "32800,56500,34000,57500"
|
---|
2715 | st "'1'"
|
---|
2716 | ju 2
|
---|
2717 | blo "34000,57300"
|
---|
2718 | tm "InitValueDelayMgr"
|
---|
2719 | )
|
---|
2720 | )
|
---|
2721 | dt (MLText
|
---|
2722 | uid 1431,0
|
---|
2723 | va (VaSet
|
---|
2724 | font "Courier New,8,0"
|
---|
2725 | )
|
---|
2726 | xt "44000,38000,76000,38800"
|
---|
2727 | st "W_CS : OUT std_logic := '1' ;
|
---|
2728 | "
|
---|
2729 | )
|
---|
2730 | thePort (LogicalPort
|
---|
2731 | m 1
|
---|
2732 | decl (Decl
|
---|
2733 | n "W_CS"
|
---|
2734 | t "std_logic"
|
---|
2735 | o 46
|
---|
2736 | suid 46,0
|
---|
2737 | i "'1'"
|
---|
2738 | )
|
---|
2739 | )
|
---|
2740 | )
|
---|
2741 | *181 (CptPort
|
---|
2742 | uid 1620,0
|
---|
2743 | ps "OnEdgeStrategy"
|
---|
2744 | shape (Triangle
|
---|
2745 | uid 1621,0
|
---|
2746 | ro 90
|
---|
2747 | va (VaSet
|
---|
2748 | vasetType 1
|
---|
2749 | fg "0,65535,0"
|
---|
2750 | )
|
---|
2751 | xt "35000,57625,35750,58375"
|
---|
2752 | )
|
---|
2753 | tg (CPTG
|
---|
2754 | uid 1622,0
|
---|
2755 | ps "CptPortTextPlaceStrategy"
|
---|
2756 | stg "RightVerticalLayoutStrategy"
|
---|
2757 | f (Text
|
---|
2758 | uid 1623,0
|
---|
2759 | va (VaSet
|
---|
2760 | )
|
---|
2761 | xt "31600,57500,34000,58500"
|
---|
2762 | st "MOSI"
|
---|
2763 | ju 2
|
---|
2764 | blo "34000,58300"
|
---|
2765 | tm "CptPortNameMgr"
|
---|
2766 | )
|
---|
2767 | t (Text
|
---|
2768 | uid 1624,0
|
---|
2769 | va (VaSet
|
---|
2770 | )
|
---|
2771 | xt "32800,58500,34000,59500"
|
---|
2772 | st "'0'"
|
---|
2773 | ju 2
|
---|
2774 | blo "34000,59300"
|
---|
2775 | tm "InitValueDelayMgr"
|
---|
2776 | )
|
---|
2777 | )
|
---|
2778 | dt (MLText
|
---|
2779 | uid 1625,0
|
---|
2780 | va (VaSet
|
---|
2781 | font "Courier New,8,0"
|
---|
2782 | )
|
---|
2783 | xt "44000,26000,76000,26800"
|
---|
2784 | st "MOSI : OUT std_logic := '0' ;
|
---|
2785 | "
|
---|
2786 | )
|
---|
2787 | thePort (LogicalPort
|
---|
2788 | m 1
|
---|
2789 | decl (Decl
|
---|
2790 | n "MOSI"
|
---|
2791 | t "std_logic"
|
---|
2792 | o 31
|
---|
2793 | suid 47,0
|
---|
2794 | i "'0'"
|
---|
2795 | )
|
---|
2796 | )
|
---|
2797 | )
|
---|
2798 | *182 (CptPort
|
---|
2799 | uid 1626,0
|
---|
2800 | ps "OnEdgeStrategy"
|
---|
2801 | shape (Diamond
|
---|
2802 | uid 1627,0
|
---|
2803 | ro 90
|
---|
2804 | va (VaSet
|
---|
2805 | vasetType 1
|
---|
2806 | fg "0,65535,0"
|
---|
2807 | )
|
---|
2808 | xt "35000,59625,35750,60375"
|
---|
2809 | )
|
---|
2810 | tg (CPTG
|
---|
2811 | uid 1628,0
|
---|
2812 | ps "CptPortTextPlaceStrategy"
|
---|
2813 | stg "RightVerticalLayoutStrategy"
|
---|
2814 | f (Text
|
---|
2815 | uid 1629,0
|
---|
2816 | va (VaSet
|
---|
2817 | )
|
---|
2818 | xt "31300,59500,34000,60500"
|
---|
2819 | st "MISO"
|
---|
2820 | ju 2
|
---|
2821 | blo "34000,60300"
|
---|
2822 | tm "CptPortNameMgr"
|
---|
2823 | )
|
---|
2824 | )
|
---|
2825 | dt (MLText
|
---|
2826 | uid 1630,0
|
---|
2827 | va (VaSet
|
---|
2828 | font "Courier New,8,0"
|
---|
2829 | )
|
---|
2830 | xt "44000,42000,61500,42800"
|
---|
2831 | st "MISO : INOUT std_logic ;
|
---|
2832 | "
|
---|
2833 | )
|
---|
2834 | thePort (LogicalPort
|
---|
2835 | m 2
|
---|
2836 | decl (Decl
|
---|
2837 | n "MISO"
|
---|
2838 | t "std_logic"
|
---|
2839 | preAdd 0
|
---|
2840 | posAdd 0
|
---|
2841 | o 51
|
---|
2842 | suid 48,0
|
---|
2843 | )
|
---|
2844 | )
|
---|
2845 | )
|
---|
2846 | *183 (CptPort
|
---|
2847 | uid 1681,0
|
---|
2848 | ps "OnEdgeStrategy"
|
---|
2849 | shape (Triangle
|
---|
2850 | uid 1682,0
|
---|
2851 | ro 90
|
---|
2852 | va (VaSet
|
---|
2853 | vasetType 1
|
---|
2854 | fg "0,65535,0"
|
---|
2855 | )
|
---|
2856 | xt "35000,63625,35750,64375"
|
---|
2857 | )
|
---|
2858 | tg (CPTG
|
---|
2859 | uid 1683,0
|
---|
2860 | ps "CptPortTextPlaceStrategy"
|
---|
2861 | stg "RightVerticalLayoutStrategy"
|
---|
2862 | f (Text
|
---|
2863 | uid 1684,0
|
---|
2864 | va (VaSet
|
---|
2865 | )
|
---|
2866 | xt "28400,63500,34000,64500"
|
---|
2867 | st "RS485_C_RE"
|
---|
2868 | ju 2
|
---|
2869 | blo "34000,64300"
|
---|
2870 | tm "CptPortNameMgr"
|
---|
2871 | )
|
---|
2872 | )
|
---|
2873 | dt (MLText
|
---|
2874 | uid 1685,0
|
---|
2875 | va (VaSet
|
---|
2876 | font "Courier New,8,0"
|
---|
2877 | )
|
---|
2878 | xt "44000,30000,61500,30800"
|
---|
2879 | st "RS485_C_RE : OUT std_logic ;
|
---|
2880 | "
|
---|
2881 | )
|
---|
2882 | thePort (LogicalPort
|
---|
2883 | m 1
|
---|
2884 | decl (Decl
|
---|
2885 | n "RS485_C_RE"
|
---|
2886 | t "std_logic"
|
---|
2887 | o 36
|
---|
2888 | suid 50,0
|
---|
2889 | )
|
---|
2890 | )
|
---|
2891 | )
|
---|
2892 | *184 (CptPort
|
---|
2893 | uid 1686,0
|
---|
2894 | ps "OnEdgeStrategy"
|
---|
2895 | shape (Triangle
|
---|
2896 | uid 1687,0
|
---|
2897 | ro 90
|
---|
2898 | va (VaSet
|
---|
2899 | vasetType 1
|
---|
2900 | fg "0,65535,0"
|
---|
2901 | )
|
---|
2902 | xt "35000,65625,35750,66375"
|
---|
2903 | )
|
---|
2904 | tg (CPTG
|
---|
2905 | uid 1688,0
|
---|
2906 | ps "CptPortTextPlaceStrategy"
|
---|
2907 | stg "RightVerticalLayoutStrategy"
|
---|
2908 | f (Text
|
---|
2909 | uid 1689,0
|
---|
2910 | va (VaSet
|
---|
2911 | )
|
---|
2912 | xt "28400,65500,34000,66500"
|
---|
2913 | st "RS485_C_DE"
|
---|
2914 | ju 2
|
---|
2915 | blo "34000,66300"
|
---|
2916 | tm "CptPortNameMgr"
|
---|
2917 | )
|
---|
2918 | )
|
---|
2919 | dt (MLText
|
---|
2920 | uid 1690,0
|
---|
2921 | va (VaSet
|
---|
2922 | font "Courier New,8,0"
|
---|
2923 | )
|
---|
2924 | xt "44000,28400,61500,29200"
|
---|
2925 | st "RS485_C_DE : OUT std_logic ;
|
---|
2926 | "
|
---|
2927 | )
|
---|
2928 | thePort (LogicalPort
|
---|
2929 | m 1
|
---|
2930 | decl (Decl
|
---|
2931 | n "RS485_C_DE"
|
---|
2932 | t "std_logic"
|
---|
2933 | o 34
|
---|
2934 | suid 51,0
|
---|
2935 | )
|
---|
2936 | )
|
---|
2937 | )
|
---|
2938 | *185 (CptPort
|
---|
2939 | uid 1691,0
|
---|
2940 | ps "OnEdgeStrategy"
|
---|
2941 | shape (Triangle
|
---|
2942 | uid 1692,0
|
---|
2943 | ro 90
|
---|
2944 | va (VaSet
|
---|
2945 | vasetType 1
|
---|
2946 | fg "0,65535,0"
|
---|
2947 | )
|
---|
2948 | xt "35000,67625,35750,68375"
|
---|
2949 | )
|
---|
2950 | tg (CPTG
|
---|
2951 | uid 1693,0
|
---|
2952 | ps "CptPortTextPlaceStrategy"
|
---|
2953 | stg "RightVerticalLayoutStrategy"
|
---|
2954 | f (Text
|
---|
2955 | uid 1694,0
|
---|
2956 | va (VaSet
|
---|
2957 | )
|
---|
2958 | xt "28500,67500,34000,68500"
|
---|
2959 | st "RS485_E_RE"
|
---|
2960 | ju 2
|
---|
2961 | blo "34000,68300"
|
---|
2962 | tm "CptPortNameMgr"
|
---|
2963 | )
|
---|
2964 | )
|
---|
2965 | dt (MLText
|
---|
2966 | uid 1695,0
|
---|
2967 | va (VaSet
|
---|
2968 | font "Courier New,8,0"
|
---|
2969 | )
|
---|
2970 | xt "44000,32400,61500,33200"
|
---|
2971 | st "RS485_E_RE : OUT std_logic ;
|
---|
2972 | "
|
---|
2973 | )
|
---|
2974 | thePort (LogicalPort
|
---|
2975 | m 1
|
---|
2976 | decl (Decl
|
---|
2977 | n "RS485_E_RE"
|
---|
2978 | t "std_logic"
|
---|
2979 | o 39
|
---|
2980 | suid 52,0
|
---|
2981 | )
|
---|
2982 | )
|
---|
2983 | )
|
---|
2984 | *186 (CptPort
|
---|
2985 | uid 1696,0
|
---|
2986 | ps "OnEdgeStrategy"
|
---|
2987 | shape (Triangle
|
---|
2988 | uid 1697,0
|
---|
2989 | ro 90
|
---|
2990 | va (VaSet
|
---|
2991 | vasetType 1
|
---|
2992 | fg "0,65535,0"
|
---|
2993 | )
|
---|
2994 | xt "35000,69625,35750,70375"
|
---|
2995 | )
|
---|
2996 | tg (CPTG
|
---|
2997 | uid 1698,0
|
---|
2998 | ps "CptPortTextPlaceStrategy"
|
---|
2999 | stg "RightVerticalLayoutStrategy"
|
---|
3000 | f (Text
|
---|
3001 | uid 1699,0
|
---|
3002 | va (VaSet
|
---|
3003 | )
|
---|
3004 | xt "28500,69500,34000,70500"
|
---|
3005 | st "RS485_E_DE"
|
---|
3006 | ju 2
|
---|
3007 | blo "34000,70300"
|
---|
3008 | tm "CptPortNameMgr"
|
---|
3009 | )
|
---|
3010 | )
|
---|
3011 | dt (MLText
|
---|
3012 | uid 1700,0
|
---|
3013 | va (VaSet
|
---|
3014 | font "Courier New,8,0"
|
---|
3015 | )
|
---|
3016 | xt "44000,30800,61500,31600"
|
---|
3017 | st "RS485_E_DE : OUT std_logic ;
|
---|
3018 | "
|
---|
3019 | )
|
---|
3020 | thePort (LogicalPort
|
---|
3021 | m 1
|
---|
3022 | decl (Decl
|
---|
3023 | n "RS485_E_DE"
|
---|
3024 | t "std_logic"
|
---|
3025 | o 37
|
---|
3026 | suid 53,0
|
---|
3027 | )
|
---|
3028 | )
|
---|
3029 | )
|
---|
3030 | *187 (CptPort
|
---|
3031 | uid 1701,0
|
---|
3032 | ps "OnEdgeStrategy"
|
---|
3033 | shape (Triangle
|
---|
3034 | uid 1702,0
|
---|
3035 | ro 90
|
---|
3036 | va (VaSet
|
---|
3037 | vasetType 1
|
---|
3038 | fg "0,65535,0"
|
---|
3039 | )
|
---|
3040 | xt "35000,71625,35750,72375"
|
---|
3041 | )
|
---|
3042 | tg (CPTG
|
---|
3043 | uid 1703,0
|
---|
3044 | ps "CptPortTextPlaceStrategy"
|
---|
3045 | stg "RightVerticalLayoutStrategy"
|
---|
3046 | f (Text
|
---|
3047 | uid 1704,0
|
---|
3048 | va (VaSet
|
---|
3049 | )
|
---|
3050 | xt "30000,71500,34000,72500"
|
---|
3051 | st "DENABLE"
|
---|
3052 | ju 2
|
---|
3053 | blo "34000,72300"
|
---|
3054 | tm "CptPortNameMgr"
|
---|
3055 | )
|
---|
3056 | t (Text
|
---|
3057 | uid 1919,0
|
---|
3058 | va (VaSet
|
---|
3059 | )
|
---|
3060 | xt "32800,72500,34000,73500"
|
---|
3061 | st "'0'"
|
---|
3062 | ju 2
|
---|
3063 | blo "34000,73300"
|
---|
3064 | tm "InitValueDelayMgr"
|
---|
3065 | )
|
---|
3066 | )
|
---|
3067 | dt (MLText
|
---|
3068 | uid 1705,0
|
---|
3069 | va (VaSet
|
---|
3070 | font "Courier New,8,0"
|
---|
3071 | )
|
---|
3072 | xt "44000,19600,76000,20400"
|
---|
3073 | st "DENABLE : OUT std_logic := '0' ;
|
---|
3074 | "
|
---|
3075 | )
|
---|
3076 | thePort (LogicalPort
|
---|
3077 | m 1
|
---|
3078 | decl (Decl
|
---|
3079 | n "DENABLE"
|
---|
3080 | t "std_logic"
|
---|
3081 | o 23
|
---|
3082 | suid 54,0
|
---|
3083 | i "'0'"
|
---|
3084 | )
|
---|
3085 | )
|
---|
3086 | )
|
---|
3087 | *188 (CptPort
|
---|
3088 | uid 1706,0
|
---|
3089 | ps "OnEdgeStrategy"
|
---|
3090 | shape (Triangle
|
---|
3091 | uid 1707,0
|
---|
3092 | ro 90
|
---|
3093 | va (VaSet
|
---|
3094 | vasetType 1
|
---|
3095 | fg "0,65535,0"
|
---|
3096 | )
|
---|
3097 | xt "35000,73625,35750,74375"
|
---|
3098 | )
|
---|
3099 | tg (CPTG
|
---|
3100 | uid 1708,0
|
---|
3101 | ps "CptPortTextPlaceStrategy"
|
---|
3102 | stg "RightVerticalLayoutStrategy"
|
---|
3103 | f (Text
|
---|
3104 | uid 1709,0
|
---|
3105 | va (VaSet
|
---|
3106 | )
|
---|
3107 | xt "31700,73500,34000,74500"
|
---|
3108 | st "SRIN"
|
---|
3109 | ju 2
|
---|
3110 | blo "34000,74300"
|
---|
3111 | tm "CptPortNameMgr"
|
---|
3112 | )
|
---|
3113 | t (Text
|
---|
3114 | uid 3982,0
|
---|
3115 | va (VaSet
|
---|
3116 | )
|
---|
3117 | xt "32800,74500,34000,75500"
|
---|
3118 | st "'0'"
|
---|
3119 | ju 2
|
---|
3120 | blo "34000,75300"
|
---|
3121 | tm "InitValueDelayMgr"
|
---|
3122 | )
|
---|
3123 | )
|
---|
3124 | dt (MLText
|
---|
3125 | uid 1710,0
|
---|
3126 | va (VaSet
|
---|
3127 | font "Courier New,8,0"
|
---|
3128 | )
|
---|
3129 | xt "44000,34000,76000,34800"
|
---|
3130 | st "SRIN : OUT std_logic := '0' ;
|
---|
3131 | "
|
---|
3132 | )
|
---|
3133 | thePort (LogicalPort
|
---|
3134 | m 1
|
---|
3135 | decl (Decl
|
---|
3136 | n "SRIN"
|
---|
3137 | t "std_logic"
|
---|
3138 | o 41
|
---|
3139 | suid 55,0
|
---|
3140 | i "'0'"
|
---|
3141 | )
|
---|
3142 | )
|
---|
3143 | )
|
---|
3144 | *189 (CptPort
|
---|
3145 | uid 1711,0
|
---|
3146 | ps "OnEdgeStrategy"
|
---|
3147 | shape (Triangle
|
---|
3148 | uid 1712,0
|
---|
3149 | ro 90
|
---|
3150 | va (VaSet
|
---|
3151 | vasetType 1
|
---|
3152 | fg "0,65535,0"
|
---|
3153 | )
|
---|
3154 | xt "35000,75625,35750,76375"
|
---|
3155 | )
|
---|
3156 | tg (CPTG
|
---|
3157 | uid 1713,0
|
---|
3158 | ps "CptPortTextPlaceStrategy"
|
---|
3159 | stg "RightVerticalLayoutStrategy"
|
---|
3160 | f (Text
|
---|
3161 | uid 1714,0
|
---|
3162 | va (VaSet
|
---|
3163 | )
|
---|
3164 | xt "31100,75500,34000,76500"
|
---|
3165 | st "EE_CS"
|
---|
3166 | ju 2
|
---|
3167 | blo "34000,76300"
|
---|
3168 | tm "CptPortNameMgr"
|
---|
3169 | )
|
---|
3170 | )
|
---|
3171 | dt (MLText
|
---|
3172 | uid 1715,0
|
---|
3173 | va (VaSet
|
---|
3174 | font "Courier New,8,0"
|
---|
3175 | )
|
---|
3176 | xt "44000,24400,61500,25200"
|
---|
3177 | st "EE_CS : OUT std_logic ;
|
---|
3178 | "
|
---|
3179 | )
|
---|
3180 | thePort (LogicalPort
|
---|
3181 | m 1
|
---|
3182 | decl (Decl
|
---|
3183 | n "EE_CS"
|
---|
3184 | t "std_logic"
|
---|
3185 | o 29
|
---|
3186 | suid 56,0
|
---|
3187 | )
|
---|
3188 | )
|
---|
3189 | )
|
---|
3190 | *190 (CptPort
|
---|
3191 | uid 2068,0
|
---|
3192 | ps "OnEdgeStrategy"
|
---|
3193 | shape (Triangle
|
---|
3194 | uid 2069,0
|
---|
3195 | ro 90
|
---|
3196 | va (VaSet
|
---|
3197 | vasetType 1
|
---|
3198 | fg "0,65535,0"
|
---|
3199 | )
|
---|
3200 | xt "35000,91625,35750,92375"
|
---|
3201 | )
|
---|
3202 | tg (CPTG
|
---|
3203 | uid 2070,0
|
---|
3204 | ps "CptPortTextPlaceStrategy"
|
---|
3205 | stg "RightVerticalLayoutStrategy"
|
---|
3206 | f (Text
|
---|
3207 | uid 2071,0
|
---|
3208 | va (VaSet
|
---|
3209 | )
|
---|
3210 | xt "29500,91500,34000,92500"
|
---|
3211 | st "D_T : (7:0)"
|
---|
3212 | ju 2
|
---|
3213 | blo "34000,92300"
|
---|
3214 | tm "CptPortNameMgr"
|
---|
3215 | )
|
---|
3216 | t (Text
|
---|
3217 | uid 2072,0
|
---|
3218 | va (VaSet
|
---|
3219 | )
|
---|
3220 | xt "27100,92500,34000,93500"
|
---|
3221 | st "(OTHERS => '0')"
|
---|
3222 | ju 2
|
---|
3223 | blo "34000,93300"
|
---|
3224 | tm "InitValueDelayMgr"
|
---|
3225 | )
|
---|
3226 | )
|
---|
3227 | dt (MLText
|
---|
3228 | uid 2073,0
|
---|
3229 | va (VaSet
|
---|
3230 | font "Courier New,8,0"
|
---|
3231 | )
|
---|
3232 | xt "44000,22800,82000,23600"
|
---|
3233 | st "D_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
|
---|
3234 | "
|
---|
3235 | )
|
---|
3236 | thePort (LogicalPort
|
---|
3237 | m 1
|
---|
3238 | decl (Decl
|
---|
3239 | n "D_T"
|
---|
3240 | t "std_logic_vector"
|
---|
3241 | b "(7 DOWNTO 0)"
|
---|
3242 | o 27
|
---|
3243 | suid 61,0
|
---|
3244 | i "(OTHERS => '0')"
|
---|
3245 | )
|
---|
3246 | )
|
---|
3247 | )
|
---|
3248 | *191 (CptPort
|
---|
3249 | uid 2919,0
|
---|
3250 | ps "OnEdgeStrategy"
|
---|
3251 | shape (Triangle
|
---|
3252 | uid 2920,0
|
---|
3253 | ro 90
|
---|
3254 | va (VaSet
|
---|
3255 | vasetType 1
|
---|
3256 | fg "0,65535,0"
|
---|
3257 | )
|
---|
3258 | xt "14250,45625,15000,46375"
|
---|
3259 | )
|
---|
3260 | tg (CPTG
|
---|
3261 | uid 2921,0
|
---|
3262 | ps "CptPortTextPlaceStrategy"
|
---|
3263 | stg "VerticalLayoutStrategy"
|
---|
3264 | f (Text
|
---|
3265 | uid 2922,0
|
---|
3266 | va (VaSet
|
---|
3267 | )
|
---|
3268 | xt "16000,45500,22800,46500"
|
---|
3269 | st "D_PLLLCK : (3:0)"
|
---|
3270 | blo "16000,46300"
|
---|
3271 | tm "CptPortNameMgr"
|
---|
3272 | )
|
---|
3273 | )
|
---|
3274 | dt (MLText
|
---|
3275 | uid 2923,0
|
---|
3276 | va (VaSet
|
---|
3277 | font "Courier New,8,0"
|
---|
3278 | )
|
---|
3279 | xt "44000,9200,71500,10000"
|
---|
3280 | st "D_PLLLCK : IN std_logic_vector (3 DOWNTO 0) ;
|
---|
3281 | "
|
---|
3282 | )
|
---|
3283 | thePort (LogicalPort
|
---|
3284 | decl (Decl
|
---|
3285 | n "D_PLLLCK"
|
---|
3286 | t "std_logic_vector"
|
---|
3287 | b "(3 DOWNTO 0)"
|
---|
3288 | o 10
|
---|
3289 | suid 64,0
|
---|
3290 | )
|
---|
3291 | )
|
---|
3292 | )
|
---|
3293 | *192 (CptPort
|
---|
3294 | uid 2949,0
|
---|
3295 | ps "OnEdgeStrategy"
|
---|
3296 | shape (Triangle
|
---|
3297 | uid 2950,0
|
---|
3298 | ro 90
|
---|
3299 | va (VaSet
|
---|
3300 | vasetType 1
|
---|
3301 | fg "0,65535,0"
|
---|
3302 | )
|
---|
3303 | xt "35000,95625,35750,96375"
|
---|
3304 | )
|
---|
3305 | tg (CPTG
|
---|
3306 | uid 2951,0
|
---|
3307 | ps "CptPortTextPlaceStrategy"
|
---|
3308 | stg "RightVerticalLayoutStrategy"
|
---|
3309 | f (Text
|
---|
3310 | uid 2952,0
|
---|
3311 | va (VaSet
|
---|
3312 | )
|
---|
3313 | xt "29100,95500,34000,96500"
|
---|
3314 | st "D_T2 : (1:0)"
|
---|
3315 | ju 2
|
---|
3316 | blo "34000,96300"
|
---|
3317 | tm "CptPortNameMgr"
|
---|
3318 | )
|
---|
3319 | t (Text
|
---|
3320 | uid 2953,0
|
---|
3321 | va (VaSet
|
---|
3322 | )
|
---|
3323 | xt "28300,96500,34000,97500"
|
---|
3324 | st "(others => '0')"
|
---|
3325 | ju 2
|
---|
3326 | blo "34000,97300"
|
---|
3327 | tm "InitValueDelayMgr"
|
---|
3328 | )
|
---|
3329 | )
|
---|
3330 | dt (MLText
|
---|
3331 | uid 2954,0
|
---|
3332 | va (VaSet
|
---|
3333 | font "Courier New,8,0"
|
---|
3334 | )
|
---|
3335 | xt "44000,23600,82000,24400"
|
---|
3336 | st "D_T2 : OUT std_logic_vector (1 DOWNTO 0) := (others => '0') ;
|
---|
3337 | "
|
---|
3338 | )
|
---|
3339 | thePort (LogicalPort
|
---|
3340 | m 1
|
---|
3341 | decl (Decl
|
---|
3342 | n "D_T2"
|
---|
3343 | t "std_logic_vector"
|
---|
3344 | b "(1 DOWNTO 0)"
|
---|
3345 | o 28
|
---|
3346 | suid 65,0
|
---|
3347 | i "(others => '0')"
|
---|
3348 | )
|
---|
3349 | )
|
---|
3350 | )
|
---|
3351 | *193 (CptPort
|
---|
3352 | uid 3026,0
|
---|
3353 | ps "OnEdgeStrategy"
|
---|
3354 | shape (Triangle
|
---|
3355 | uid 3027,0
|
---|
3356 | ro 90
|
---|
3357 | va (VaSet
|
---|
3358 | vasetType 1
|
---|
3359 | fg "0,65535,0"
|
---|
3360 | )
|
---|
3361 | xt "35000,97625,35750,98375"
|
---|
3362 | )
|
---|
3363 | tg (CPTG
|
---|
3364 | uid 3028,0
|
---|
3365 | ps "CptPortTextPlaceStrategy"
|
---|
3366 | stg "RightVerticalLayoutStrategy"
|
---|
3367 | f (Text
|
---|
3368 | uid 3029,0
|
---|
3369 | va (VaSet
|
---|
3370 | )
|
---|
3371 | xt "29200,97500,34000,98500"
|
---|
3372 | st "A1_T : (7:0)"
|
---|
3373 | ju 2
|
---|
3374 | blo "34000,98300"
|
---|
3375 | tm "CptPortNameMgr"
|
---|
3376 | )
|
---|
3377 | t (Text
|
---|
3378 | uid 3123,0
|
---|
3379 | va (VaSet
|
---|
3380 | )
|
---|
3381 | xt "27100,98500,34000,99500"
|
---|
3382 | st "(OTHERS => '0')"
|
---|
3383 | ju 2
|
---|
3384 | blo "34000,99300"
|
---|
3385 | tm "InitValueDelayMgr"
|
---|
3386 | )
|
---|
3387 | )
|
---|
3388 | dt (MLText
|
---|
3389 | uid 3030,0
|
---|
3390 | va (VaSet
|
---|
3391 | font "Courier New,8,0"
|
---|
3392 | )
|
---|
3393 | xt "44000,16400,82000,17200"
|
---|
3394 | st "A1_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
|
---|
3395 | "
|
---|
3396 | )
|
---|
3397 | thePort (LogicalPort
|
---|
3398 | m 1
|
---|
3399 | decl (Decl
|
---|
3400 | n "A1_T"
|
---|
3401 | t "std_logic_vector"
|
---|
3402 | b "(7 DOWNTO 0)"
|
---|
3403 | o 19
|
---|
3404 | suid 66,0
|
---|
3405 | i "(OTHERS => '0')"
|
---|
3406 | )
|
---|
3407 | )
|
---|
3408 | )
|
---|
3409 | *194 (CptPort
|
---|
3410 | uid 3456,0
|
---|
3411 | ps "OnEdgeStrategy"
|
---|
3412 | shape (Triangle
|
---|
3413 | uid 3457,0
|
---|
3414 | ro 90
|
---|
3415 | va (VaSet
|
---|
3416 | vasetType 1
|
---|
3417 | fg "0,65535,0"
|
---|
3418 | )
|
---|
3419 | xt "35000,99625,35750,100375"
|
---|
3420 | )
|
---|
3421 | tg (CPTG
|
---|
3422 | uid 3458,0
|
---|
3423 | ps "CptPortTextPlaceStrategy"
|
---|
3424 | stg "RightVerticalLayoutStrategy"
|
---|
3425 | f (Text
|
---|
3426 | uid 3459,0
|
---|
3427 | va (VaSet
|
---|
3428 | )
|
---|
3429 | xt "29200,99500,34000,100500"
|
---|
3430 | st "A0_T : (7:0)"
|
---|
3431 | ju 2
|
---|
3432 | blo "34000,100300"
|
---|
3433 | tm "CptPortNameMgr"
|
---|
3434 | )
|
---|
3435 | t (Text
|
---|
3436 | uid 3460,0
|
---|
3437 | va (VaSet
|
---|
3438 | )
|
---|
3439 | xt "28300,100500,34000,101500"
|
---|
3440 | st "(others => '0')"
|
---|
3441 | ju 2
|
---|
3442 | blo "34000,101300"
|
---|
3443 | tm "InitValueDelayMgr"
|
---|
3444 | )
|
---|
3445 | )
|
---|
3446 | dt (MLText
|
---|
3447 | uid 3461,0
|
---|
3448 | va (VaSet
|
---|
3449 | font "Courier New,8,0"
|
---|
3450 | )
|
---|
3451 | xt "44000,15600,82000,16400"
|
---|
3452 | st "A0_T : OUT std_logic_vector (7 DOWNTO 0) := (others => '0') ;
|
---|
3453 | "
|
---|
3454 | )
|
---|
3455 | thePort (LogicalPort
|
---|
3456 | m 1
|
---|
3457 | decl (Decl
|
---|
3458 | n "A0_T"
|
---|
3459 | t "std_logic_vector"
|
---|
3460 | b "(7 DOWNTO 0)"
|
---|
3461 | o 18
|
---|
3462 | suid 68,0
|
---|
3463 | i "(others => '0')"
|
---|
3464 | )
|
---|
3465 | )
|
---|
3466 | )
|
---|
3467 | *195 (CptPort
|
---|
3468 | uid 3586,0
|
---|
3469 | ps "OnEdgeStrategy"
|
---|
3470 | shape (Triangle
|
---|
3471 | uid 3587,0
|
---|
3472 | ro 90
|
---|
3473 | va (VaSet
|
---|
3474 | vasetType 1
|
---|
3475 | fg "0,65535,0"
|
---|
3476 | )
|
---|
3477 | xt "35000,101625,35750,102375"
|
---|
3478 | )
|
---|
3479 | tg (CPTG
|
---|
3480 | uid 3588,0
|
---|
3481 | ps "CptPortTextPlaceStrategy"
|
---|
3482 | stg "RightVerticalLayoutStrategy"
|
---|
3483 | f (Text
|
---|
3484 | uid 3589,0
|
---|
3485 | va (VaSet
|
---|
3486 | )
|
---|
3487 | xt "28300,101500,34000,102500"
|
---|
3488 | st "RS485_C_DO"
|
---|
3489 | ju 2
|
---|
3490 | blo "34000,102300"
|
---|
3491 | tm "CptPortNameMgr"
|
---|
3492 | )
|
---|
3493 | )
|
---|
3494 | dt (MLText
|
---|
3495 | uid 3590,0
|
---|
3496 | va (VaSet
|
---|
3497 | font "Courier New,8,0"
|
---|
3498 | )
|
---|
3499 | xt "44000,29200,61500,30000"
|
---|
3500 | st "RS485_C_DO : OUT std_logic ;
|
---|
3501 | "
|
---|
3502 | )
|
---|
3503 | thePort (LogicalPort
|
---|
3504 | m 1
|
---|
3505 | decl (Decl
|
---|
3506 | n "RS485_C_DO"
|
---|
3507 | t "std_logic"
|
---|
3508 | o 35
|
---|
3509 | suid 70,0
|
---|
3510 | )
|
---|
3511 | )
|
---|
3512 | )
|
---|
3513 | *196 (CptPort
|
---|
3514 | uid 3687,0
|
---|
3515 | ps "OnEdgeStrategy"
|
---|
3516 | shape (Triangle
|
---|
3517 | uid 3688,0
|
---|
3518 | ro 90
|
---|
3519 | va (VaSet
|
---|
3520 | vasetType 1
|
---|
3521 | fg "0,65535,0"
|
---|
3522 | )
|
---|
3523 | xt "14250,49625,15000,50375"
|
---|
3524 | )
|
---|
3525 | tg (CPTG
|
---|
3526 | uid 3689,0
|
---|
3527 | ps "CptPortTextPlaceStrategy"
|
---|
3528 | stg "VerticalLayoutStrategy"
|
---|
3529 | f (Text
|
---|
3530 | uid 3690,0
|
---|
3531 | va (VaSet
|
---|
3532 | )
|
---|
3533 | xt "16000,49500,21200,50500"
|
---|
3534 | st "RS485_E_DI"
|
---|
3535 | blo "16000,50300"
|
---|
3536 | tm "CptPortNameMgr"
|
---|
3537 | )
|
---|
3538 | )
|
---|
3539 | dt (MLText
|
---|
3540 | uid 3691,0
|
---|
3541 | va (VaSet
|
---|
3542 | font "Courier New,8,0"
|
---|
3543 | )
|
---|
3544 | xt "44000,12400,61500,13200"
|
---|
3545 | st "RS485_E_DI : IN std_logic ;
|
---|
3546 | "
|
---|
3547 | )
|
---|
3548 | thePort (LogicalPort
|
---|
3549 | decl (Decl
|
---|
3550 | n "RS485_E_DI"
|
---|
3551 | t "std_logic"
|
---|
3552 | o 14
|
---|
3553 | suid 71,0
|
---|
3554 | )
|
---|
3555 | )
|
---|
3556 | )
|
---|
3557 | *197 (CptPort
|
---|
3558 | uid 3692,0
|
---|
3559 | ps "OnEdgeStrategy"
|
---|
3560 | shape (Triangle
|
---|
3561 | uid 4674,0
|
---|
3562 | ro 90
|
---|
3563 | va (VaSet
|
---|
3564 | vasetType 1
|
---|
3565 | fg "0,65535,0"
|
---|
3566 | )
|
---|
3567 | xt "35000,109625,35750,110375"
|
---|
3568 | )
|
---|
3569 | tg (CPTG
|
---|
3570 | uid 3694,0
|
---|
3571 | ps "CptPortTextPlaceStrategy"
|
---|
3572 | stg "RightVerticalLayoutStrategy"
|
---|
3573 | f (Text
|
---|
3574 | uid 3695,0
|
---|
3575 | va (VaSet
|
---|
3576 | )
|
---|
3577 | xt "28400,109500,34000,110500"
|
---|
3578 | st "RS485_E_DO"
|
---|
3579 | ju 2
|
---|
3580 | blo "34000,110300"
|
---|
3581 | tm "CptPortNameMgr"
|
---|
3582 | )
|
---|
3583 | )
|
---|
3584 | dt (MLText
|
---|
3585 | uid 3696,0
|
---|
3586 | va (VaSet
|
---|
3587 | font "Courier New,8,0"
|
---|
3588 | )
|
---|
3589 | xt "44000,31600,61500,32400"
|
---|
3590 | st "RS485_E_DO : OUT std_logic ;
|
---|
3591 | "
|
---|
3592 | )
|
---|
3593 | thePort (LogicalPort
|
---|
3594 | m 1
|
---|
3595 | decl (Decl
|
---|
3596 | n "RS485_E_DO"
|
---|
3597 | t "std_logic"
|
---|
3598 | o 38
|
---|
3599 | suid 72,0
|
---|
3600 | )
|
---|
3601 | )
|
---|
3602 | )
|
---|
3603 | *198 (CptPort
|
---|
3604 | uid 4033,0
|
---|
3605 | ps "OnEdgeStrategy"
|
---|
3606 | shape (Triangle
|
---|
3607 | uid 4034,0
|
---|
3608 | ro 90
|
---|
3609 | va (VaSet
|
---|
3610 | vasetType 1
|
---|
3611 | fg "0,65535,0"
|
---|
3612 | )
|
---|
3613 | xt "35000,103625,35750,104375"
|
---|
3614 | )
|
---|
3615 | tg (CPTG
|
---|
3616 | uid 4035,0
|
---|
3617 | ps "CptPortTextPlaceStrategy"
|
---|
3618 | stg "RightVerticalLayoutStrategy"
|
---|
3619 | f (Text
|
---|
3620 | uid 4036,0
|
---|
3621 | va (VaSet
|
---|
3622 | )
|
---|
3623 | xt "28900,103500,34000,104500"
|
---|
3624 | st "AMBER_LED"
|
---|
3625 | ju 2
|
---|
3626 | blo "34000,104300"
|
---|
3627 | tm "CptPortNameMgr"
|
---|
3628 | )
|
---|
3629 | )
|
---|
3630 | dt (MLText
|
---|
3631 | uid 4037,0
|
---|
3632 | va (VaSet
|
---|
3633 | font "Courier New,8,0"
|
---|
3634 | )
|
---|
3635 | xt "44000,17200,61500,18000"
|
---|
3636 | st "AMBER_LED : OUT std_logic ;
|
---|
3637 | "
|
---|
3638 | )
|
---|
3639 | thePort (LogicalPort
|
---|
3640 | m 1
|
---|
3641 | decl (Decl
|
---|
3642 | n "AMBER_LED"
|
---|
3643 | t "std_logic"
|
---|
3644 | o 20
|
---|
3645 | suid 77,0
|
---|
3646 | )
|
---|
3647 | )
|
---|
3648 | )
|
---|
3649 | *199 (CptPort
|
---|
3650 | uid 4038,0
|
---|
3651 | ps "OnEdgeStrategy"
|
---|
3652 | shape (Triangle
|
---|
3653 | uid 4039,0
|
---|
3654 | ro 90
|
---|
3655 | va (VaSet
|
---|
3656 | vasetType 1
|
---|
3657 | fg "0,65535,0"
|
---|
3658 | )
|
---|
3659 | xt "35000,105625,35750,106375"
|
---|
3660 | )
|
---|
3661 | tg (CPTG
|
---|
3662 | uid 4040,0
|
---|
3663 | ps "CptPortTextPlaceStrategy"
|
---|
3664 | stg "RightVerticalLayoutStrategy"
|
---|
3665 | f (Text
|
---|
3666 | uid 4041,0
|
---|
3667 | va (VaSet
|
---|
3668 | )
|
---|
3669 | xt "28900,105500,34000,106500"
|
---|
3670 | st "GREEN_LED"
|
---|
3671 | ju 2
|
---|
3672 | blo "34000,106300"
|
---|
3673 | tm "CptPortNameMgr"
|
---|
3674 | )
|
---|
3675 | )
|
---|
3676 | dt (MLText
|
---|
3677 | uid 4042,0
|
---|
3678 | va (VaSet
|
---|
3679 | font "Courier New,8,0"
|
---|
3680 | )
|
---|
3681 | xt "44000,25200,61500,26000"
|
---|
3682 | st "GREEN_LED : OUT std_logic ;
|
---|
3683 | "
|
---|
3684 | )
|
---|
3685 | thePort (LogicalPort
|
---|
3686 | m 1
|
---|
3687 | decl (Decl
|
---|
3688 | n "GREEN_LED"
|
---|
3689 | t "std_logic"
|
---|
3690 | o 30
|
---|
3691 | suid 78,0
|
---|
3692 | )
|
---|
3693 | )
|
---|
3694 | )
|
---|
3695 | *200 (CptPort
|
---|
3696 | uid 4043,0
|
---|
3697 | ps "OnEdgeStrategy"
|
---|
3698 | shape (Triangle
|
---|
3699 | uid 4044,0
|
---|
3700 | ro 90
|
---|
3701 | va (VaSet
|
---|
3702 | vasetType 1
|
---|
3703 | fg "0,65535,0"
|
---|
3704 | )
|
---|
3705 | xt "35000,107625,35750,108375"
|
---|
3706 | )
|
---|
3707 | tg (CPTG
|
---|
3708 | uid 4045,0
|
---|
3709 | ps "CptPortTextPlaceStrategy"
|
---|
3710 | stg "RightVerticalLayoutStrategy"
|
---|
3711 | f (Text
|
---|
3712 | uid 4046,0
|
---|
3713 | va (VaSet
|
---|
3714 | )
|
---|
3715 | xt "30000,107500,34000,108500"
|
---|
3716 | st "RED_LED"
|
---|
3717 | ju 2
|
---|
3718 | blo "34000,108300"
|
---|
3719 | tm "CptPortNameMgr"
|
---|
3720 | )
|
---|
3721 | )
|
---|
3722 | dt (MLText
|
---|
3723 | uid 4047,0
|
---|
3724 | va (VaSet
|
---|
3725 | font "Courier New,8,0"
|
---|
3726 | )
|
---|
3727 | xt "44000,27600,61500,28400"
|
---|
3728 | st "RED_LED : OUT std_logic ;
|
---|
3729 | "
|
---|
3730 | )
|
---|
3731 | thePort (LogicalPort
|
---|
3732 | m 1
|
---|
3733 | decl (Decl
|
---|
3734 | n "RED_LED"
|
---|
3735 | t "std_logic"
|
---|
3736 | o 33
|
---|
3737 | suid 79,0
|
---|
3738 | )
|
---|
3739 | )
|
---|
3740 | )
|
---|
3741 | *201 (CptPort
|
---|
3742 | uid 4264,0
|
---|
3743 | ps "OnEdgeStrategy"
|
---|
3744 | shape (Triangle
|
---|
3745 | uid 4265,0
|
---|
3746 | ro 90
|
---|
3747 | va (VaSet
|
---|
3748 | vasetType 1
|
---|
3749 | fg "0,65535,0"
|
---|
3750 | )
|
---|
3751 | xt "14250,55625,15000,56375"
|
---|
3752 | )
|
---|
3753 | tg (CPTG
|
---|
3754 | uid 4266,0
|
---|
3755 | ps "CptPortTextPlaceStrategy"
|
---|
3756 | stg "VerticalLayoutStrategy"
|
---|
3757 | f (Text
|
---|
3758 | uid 4267,0
|
---|
3759 | va (VaSet
|
---|
3760 | )
|
---|
3761 | xt "16000,55500,19500,56500"
|
---|
3762 | st "REFCLK"
|
---|
3763 | blo "16000,56300"
|
---|
3764 | tm "CptPortNameMgr"
|
---|
3765 | )
|
---|
3766 | )
|
---|
3767 | dt (MLText
|
---|
3768 | uid 4268,0
|
---|
3769 | va (VaSet
|
---|
3770 | font "Courier New,8,0"
|
---|
3771 | )
|
---|
3772 | xt "44000,11600,61500,12400"
|
---|
3773 | st "REFCLK : IN std_logic ;
|
---|
3774 | "
|
---|
3775 | )
|
---|
3776 | thePort (LogicalPort
|
---|
3777 | decl (Decl
|
---|
3778 | n "REFCLK"
|
---|
3779 | t "std_logic"
|
---|
3780 | o 13
|
---|
3781 | suid 81,0
|
---|
3782 | )
|
---|
3783 | )
|
---|
3784 | )
|
---|
3785 | *202 (CptPort
|
---|
3786 | uid 4294,0
|
---|
3787 | ps "OnEdgeStrategy"
|
---|
3788 | shape (Triangle
|
---|
3789 | uid 4295,0
|
---|
3790 | ro 90
|
---|
3791 | va (VaSet
|
---|
3792 | vasetType 1
|
---|
3793 | fg "0,65535,0"
|
---|
3794 | )
|
---|
3795 | xt "14250,57625,15000,58375"
|
---|
3796 | )
|
---|
3797 | tg (CPTG
|
---|
3798 | uid 4296,0
|
---|
3799 | ps "CptPortTextPlaceStrategy"
|
---|
3800 | stg "VerticalLayoutStrategy"
|
---|
3801 | f (Text
|
---|
3802 | uid 4297,0
|
---|
3803 | va (VaSet
|
---|
3804 | )
|
---|
3805 | xt "16000,57500,20700,58500"
|
---|
3806 | st "LINE : (5:0)"
|
---|
3807 | blo "16000,58300"
|
---|
3808 | tm "CptPortNameMgr"
|
---|
3809 | )
|
---|
3810 | )
|
---|
3811 | dt (MLText
|
---|
3812 | uid 4298,0
|
---|
3813 | va (VaSet
|
---|
3814 | font "Courier New,8,0"
|
---|
3815 | )
|
---|
3816 | xt "44000,10800,72500,11600"
|
---|
3817 | st "LINE : IN std_logic_vector ( 5 DOWNTO 0 ) ;
|
---|
3818 | "
|
---|
3819 | )
|
---|
3820 | thePort (LogicalPort
|
---|
3821 | decl (Decl
|
---|
3822 | n "LINE"
|
---|
3823 | t "std_logic_vector"
|
---|
3824 | b "( 5 DOWNTO 0 )"
|
---|
3825 | o 12
|
---|
3826 | suid 82,0
|
---|
3827 | )
|
---|
3828 | )
|
---|
3829 | )
|
---|
3830 | *203 (CptPort
|
---|
3831 | uid 4324,0
|
---|
3832 | ps "OnEdgeStrategy"
|
---|
3833 | shape (Triangle
|
---|
3834 | uid 4325,0
|
---|
3835 | ro 90
|
---|
3836 | va (VaSet
|
---|
3837 | vasetType 1
|
---|
3838 | fg "0,65535,0"
|
---|
3839 | )
|
---|
3840 | xt "14250,59625,15000,60375"
|
---|
3841 | )
|
---|
3842 | tg (CPTG
|
---|
3843 | uid 4326,0
|
---|
3844 | ps "CptPortTextPlaceStrategy"
|
---|
3845 | stg "VerticalLayoutStrategy"
|
---|
3846 | f (Text
|
---|
3847 | uid 4327,0
|
---|
3848 | va (VaSet
|
---|
3849 | )
|
---|
3850 | xt "16000,59500,21500,60500"
|
---|
3851 | st "D_T_in : (1:0)"
|
---|
3852 | blo "16000,60300"
|
---|
3853 | tm "CptPortNameMgr"
|
---|
3854 | )
|
---|
3855 | )
|
---|
3856 | dt (MLText
|
---|
3857 | uid 4328,0
|
---|
3858 | va (VaSet
|
---|
3859 | font "Courier New,8,0"
|
---|
3860 | )
|
---|
3861 | xt "44000,10000,71500,10800"
|
---|
3862 | st "D_T_in : IN std_logic_vector (1 DOWNTO 0) ;
|
---|
3863 | "
|
---|
3864 | )
|
---|
3865 | thePort (LogicalPort
|
---|
3866 | decl (Decl
|
---|
3867 | n "D_T_in"
|
---|
3868 | t "std_logic_vector"
|
---|
3869 | b "(1 DOWNTO 0)"
|
---|
3870 | o 11
|
---|
3871 | suid 83,0
|
---|
3872 | )
|
---|
3873 | )
|
---|
3874 | )
|
---|
3875 | *204 (CptPort
|
---|
3876 | uid 4838,0
|
---|
3877 | ps "OnEdgeStrategy"
|
---|
3878 | shape (Triangle
|
---|
3879 | uid 4839,0
|
---|
3880 | ro 90
|
---|
3881 | va (VaSet
|
---|
3882 | vasetType 1
|
---|
3883 | fg "0,65535,0"
|
---|
3884 | )
|
---|
3885 | xt "35000,111625,35750,112375"
|
---|
3886 | )
|
---|
3887 | tg (CPTG
|
---|
3888 | uid 4840,0
|
---|
3889 | ps "CptPortTextPlaceStrategy"
|
---|
3890 | stg "RightVerticalLayoutStrategy"
|
---|
3891 | f (Text
|
---|
3892 | uid 4841,0
|
---|
3893 | va (VaSet
|
---|
3894 | )
|
---|
3895 | xt "29400,111500,34000,112500"
|
---|
3896 | st "TCS : (3:0)"
|
---|
3897 | ju 2
|
---|
3898 | blo "34000,112300"
|
---|
3899 | tm "CptPortNameMgr"
|
---|
3900 | )
|
---|
3901 | )
|
---|
3902 | dt (MLText
|
---|
3903 | uid 4842,0
|
---|
3904 | va (VaSet
|
---|
3905 | font "Courier New,8,0"
|
---|
3906 | )
|
---|
3907 | xt "44000,35600,71500,36400"
|
---|
3908 | st "TCS : OUT std_logic_vector (3 DOWNTO 0) ;
|
---|
3909 | "
|
---|
3910 | )
|
---|
3911 | thePort (LogicalPort
|
---|
3912 | m 1
|
---|
3913 | decl (Decl
|
---|
3914 | n "TCS"
|
---|
3915 | t "std_logic_vector"
|
---|
3916 | b "(3 DOWNTO 0)"
|
---|
3917 | o 43
|
---|
3918 | suid 84,0
|
---|
3919 | )
|
---|
3920 | )
|
---|
3921 | )
|
---|
3922 | *205 (CptPort
|
---|
3923 | uid 5126,0
|
---|
3924 | ps "OnEdgeStrategy"
|
---|
3925 | shape (Triangle
|
---|
3926 | uid 5127,0
|
---|
3927 | ro 90
|
---|
3928 | va (VaSet
|
---|
3929 | vasetType 1
|
---|
3930 | fg "0,65535,0"
|
---|
3931 | )
|
---|
3932 | xt "35000,113625,35750,114375"
|
---|
3933 | )
|
---|
3934 | tg (CPTG
|
---|
3935 | uid 5128,0
|
---|
3936 | ps "CptPortTextPlaceStrategy"
|
---|
3937 | stg "RightVerticalLayoutStrategy"
|
---|
3938 | f (Text
|
---|
3939 | uid 5129,0
|
---|
3940 | va (VaSet
|
---|
3941 | )
|
---|
3942 | xt "27800,113500,34000,114500"
|
---|
3943 | st "DSRCLK : (3:0)"
|
---|
3944 | ju 2
|
---|
3945 | blo "34000,114300"
|
---|
3946 | tm "CptPortNameMgr"
|
---|
3947 | )
|
---|
3948 | t (Text
|
---|
3949 | uid 5130,0
|
---|
3950 | va (VaSet
|
---|
3951 | )
|
---|
3952 | xt "28300,114500,34000,115500"
|
---|
3953 | st "(others => '0')"
|
---|
3954 | ju 2
|
---|
3955 | blo "34000,115300"
|
---|
3956 | tm "InitValueDelayMgr"
|
---|
3957 | )
|
---|
3958 | )
|
---|
3959 | dt (MLText
|
---|
3960 | uid 5131,0
|
---|
3961 | va (VaSet
|
---|
3962 | font "Courier New,8,0"
|
---|
3963 | )
|
---|
3964 | xt "44000,20400,82000,21200"
|
---|
3965 | st "DSRCLK : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ;
|
---|
3966 | "
|
---|
3967 | )
|
---|
3968 | thePort (LogicalPort
|
---|
3969 | m 1
|
---|
3970 | decl (Decl
|
---|
3971 | n "DSRCLK"
|
---|
3972 | t "std_logic_vector"
|
---|
3973 | b "(3 DOWNTO 0)"
|
---|
3974 | o 24
|
---|
3975 | suid 89,0
|
---|
3976 | i "(others => '0')"
|
---|
3977 | )
|
---|
3978 | )
|
---|
3979 | )
|
---|
3980 | *206 (CptPort
|
---|
3981 | uid 5732,0
|
---|
3982 | ps "OnEdgeStrategy"
|
---|
3983 | shape (Triangle
|
---|
3984 | uid 5733,0
|
---|
3985 | ro 90
|
---|
3986 | va (VaSet
|
---|
3987 | vasetType 1
|
---|
3988 | fg "0,65535,0"
|
---|
3989 | )
|
---|
3990 | xt "35000,115625,35750,116375"
|
---|
3991 | )
|
---|
3992 | tg (CPTG
|
---|
3993 | uid 5734,0
|
---|
3994 | ps "CptPortTextPlaceStrategy"
|
---|
3995 | stg "RightVerticalLayoutStrategy"
|
---|
3996 | f (Text
|
---|
3997 | uid 5735,0
|
---|
3998 | va (VaSet
|
---|
3999 | )
|
---|
4000 | xt "31000,115500,34000,116500"
|
---|
4001 | st "TRG_V"
|
---|
4002 | ju 2
|
---|
4003 | blo "34000,116300"
|
---|
4004 | tm "CptPortNameMgr"
|
---|
4005 | )
|
---|
4006 | t (Text
|
---|
4007 | uid 5736,0
|
---|
4008 | va (VaSet
|
---|
4009 | )
|
---|
4010 | xt "32800,116500,34000,117500"
|
---|
4011 | st "'0'"
|
---|
4012 | ju 2
|
---|
4013 | blo "34000,117300"
|
---|
4014 | tm "InitValueDelayMgr"
|
---|
4015 | )
|
---|
4016 | )
|
---|
4017 | dt (MLText
|
---|
4018 | uid 5737,0
|
---|
4019 | va (VaSet
|
---|
4020 | font "Courier New,8,0"
|
---|
4021 | )
|
---|
4022 | xt "44000,36400,76000,37200"
|
---|
4023 | st "TRG_V : OUT std_logic := '0' ;
|
---|
4024 | "
|
---|
4025 | )
|
---|
4026 | thePort (LogicalPort
|
---|
4027 | lang 2
|
---|
4028 | m 1
|
---|
4029 | decl (Decl
|
---|
4030 | n "TRG_V"
|
---|
4031 | t "std_logic"
|
---|
4032 | o 44
|
---|
4033 | suid 90,0
|
---|
4034 | i "'0'"
|
---|
4035 | )
|
---|
4036 | )
|
---|
4037 | )
|
---|
4038 | *207 (CptPort
|
---|
4039 | uid 6062,0
|
---|
4040 | ps "OnEdgeStrategy"
|
---|
4041 | shape (Triangle
|
---|
4042 | uid 6063,0
|
---|
4043 | ro 90
|
---|
4044 | va (VaSet
|
---|
4045 | vasetType 1
|
---|
4046 | fg "0,65535,0"
|
---|
4047 | )
|
---|
4048 | xt "35000,117625,35750,118375"
|
---|
4049 | )
|
---|
4050 | tg (CPTG
|
---|
4051 | uid 6064,0
|
---|
4052 | ps "CptPortTextPlaceStrategy"
|
---|
4053 | stg "RightVerticalLayoutStrategy"
|
---|
4054 | f (Text
|
---|
4055 | uid 6065,0
|
---|
4056 | va (VaSet
|
---|
4057 | )
|
---|
4058 | xt "28800,117500,34000,118500"
|
---|
4059 | st "W_T : (3:0)"
|
---|
4060 | ju 2
|
---|
4061 | blo "34000,118300"
|
---|
4062 | tm "CptPortNameMgr"
|
---|
4063 | )
|
---|
4064 | t (Text
|
---|
4065 | uid 6066,0
|
---|
4066 | va (VaSet
|
---|
4067 | )
|
---|
4068 | xt "27700,118500,34000,119500"
|
---|
4069 | st "(others => '0')"
|
---|
4070 | ju 2
|
---|
4071 | blo "34000,119300"
|
---|
4072 | tm "InitValueDelayMgr"
|
---|
4073 | )
|
---|
4074 | )
|
---|
4075 | dt (MLText
|
---|
4076 | uid 6067,0
|
---|
4077 | va (VaSet
|
---|
4078 | font "Courier New,8,0"
|
---|
4079 | )
|
---|
4080 | xt "44000,40400,82000,41200"
|
---|
4081 | st "W_T : OUT std_logic_vector ( 3 DOWNTO 0 ) := (others => '0') ;
|
---|
4082 | "
|
---|
4083 | )
|
---|
4084 | thePort (LogicalPort
|
---|
4085 | m 1
|
---|
4086 | decl (Decl
|
---|
4087 | n "W_T"
|
---|
4088 | t "std_logic_vector"
|
---|
4089 | b "( 3 DOWNTO 0 )"
|
---|
4090 | o 49
|
---|
4091 | suid 91,0
|
---|
4092 | i "(others => '0')"
|
---|
4093 | )
|
---|
4094 | )
|
---|
4095 | )
|
---|
4096 | ]
|
---|
4097 | shape (Rectangle
|
---|
4098 | uid 9,0
|
---|
4099 | va (VaSet
|
---|
4100 | vasetType 1
|
---|
4101 | fg "0,65535,0"
|
---|
4102 | lineColor "0,32896,0"
|
---|
4103 | lineWidth 2
|
---|
4104 | )
|
---|
4105 | xt "15000,6000,35000,121000"
|
---|
4106 | )
|
---|
4107 | oxt "15000,6000,33000,26000"
|
---|
4108 | biTextGroup (BiTextGroup
|
---|
4109 | uid 10,0
|
---|
4110 | ps "CenterOffsetStrategy"
|
---|
4111 | stg "VerticalLayoutStrategy"
|
---|
4112 | first (Text
|
---|
4113 | uid 11,0
|
---|
4114 | va (VaSet
|
---|
4115 | font "Arial,8,1"
|
---|
4116 | )
|
---|
4117 | xt "22200,15000,28400,16000"
|
---|
4118 | st "FACT_FAD_lib"
|
---|
4119 | blo "22200,15800"
|
---|
4120 | )
|
---|
4121 | second (Text
|
---|
4122 | uid 12,0
|
---|
4123 | va (VaSet
|
---|
4124 | font "Arial,8,1"
|
---|
4125 | )
|
---|
4126 | xt "22200,16000,26900,17000"
|
---|
4127 | st "FAD_Board"
|
---|
4128 | blo "22200,16800"
|
---|
4129 | )
|
---|
4130 | )
|
---|
4131 | gi *208 (GenericInterface
|
---|
4132 | uid 13,0
|
---|
4133 | ps "CenterOffsetStrategy"
|
---|
4134 | matrix (Matrix
|
---|
4135 | uid 14,0
|
---|
4136 | text (MLText
|
---|
4137 | uid 15,0
|
---|
4138 | va (VaSet
|
---|
4139 | font "Courier New,8,0"
|
---|
4140 | )
|
---|
4141 | xt "0,12000,11500,12800"
|
---|
4142 | st "Generic Declarations"
|
---|
4143 | )
|
---|
4144 | header "Generic Declarations"
|
---|
4145 | showHdrWhenContentsEmpty 1
|
---|
4146 | )
|
---|
4147 | elements [
|
---|
4148 | ]
|
---|
4149 | )
|
---|
4150 | portInstanceVisAsIs 1
|
---|
4151 | portInstanceVis (PortSigDisplay
|
---|
4152 | sIVOD 1
|
---|
4153 | )
|
---|
4154 | portVis (PortSigDisplay
|
---|
4155 | sIVOD 1
|
---|
4156 | )
|
---|
4157 | )
|
---|
4158 | *209 (Grouping
|
---|
4159 | uid 16,0
|
---|
4160 | optionalChildren [
|
---|
4161 | *210 (CommentText
|
---|
4162 | uid 18,0
|
---|
4163 | shape (Rectangle
|
---|
4164 | uid 19,0
|
---|
4165 | sl 0
|
---|
4166 | va (VaSet
|
---|
4167 | vasetType 1
|
---|
4168 | fg "65280,65280,46080"
|
---|
4169 | )
|
---|
4170 | xt "36000,48000,53000,49000"
|
---|
4171 | )
|
---|
4172 | oxt "18000,70000,35000,71000"
|
---|
4173 | text (MLText
|
---|
4174 | uid 20,0
|
---|
4175 | va (VaSet
|
---|
4176 | fg "0,0,32768"
|
---|
4177 | bg "0,0,32768"
|
---|
4178 | )
|
---|
4179 | xt "36200,48000,47000,49000"
|
---|
4180 | st "
|
---|
4181 | by %user on %dd %month %year
|
---|
4182 | "
|
---|
4183 | tm "CommentText"
|
---|
4184 | wrapOption 3
|
---|
4185 | visibleHeight 1000
|
---|
4186 | visibleWidth 17000
|
---|
4187 | )
|
---|
4188 | position 1
|
---|
4189 | ignorePrefs 1
|
---|
4190 | titleBlock 1
|
---|
4191 | )
|
---|
4192 | *211 (CommentText
|
---|
4193 | uid 21,0
|
---|
4194 | shape (Rectangle
|
---|
4195 | uid 22,0
|
---|
4196 | sl 0
|
---|
4197 | va (VaSet
|
---|
4198 | vasetType 1
|
---|
4199 | fg "65280,65280,46080"
|
---|
4200 | )
|
---|
4201 | xt "53000,44000,57000,45000"
|
---|
4202 | )
|
---|
4203 | oxt "35000,66000,39000,67000"
|
---|
4204 | text (MLText
|
---|
4205 | uid 23,0
|
---|
4206 | va (VaSet
|
---|
4207 | fg "0,0,32768"
|
---|
4208 | bg "0,0,32768"
|
---|
4209 | )
|
---|
4210 | xt "53200,44000,56500,45000"
|
---|
4211 | st "
|
---|
4212 | Project:
|
---|
4213 | "
|
---|
4214 | tm "CommentText"
|
---|
4215 | wrapOption 3
|
---|
4216 | visibleHeight 1000
|
---|
4217 | visibleWidth 4000
|
---|
4218 | )
|
---|
4219 | position 1
|
---|
4220 | ignorePrefs 1
|
---|
4221 | titleBlock 1
|
---|
4222 | )
|
---|
4223 | *212 (CommentText
|
---|
4224 | uid 24,0
|
---|
4225 | shape (Rectangle
|
---|
4226 | uid 25,0
|
---|
4227 | sl 0
|
---|
4228 | va (VaSet
|
---|
4229 | vasetType 1
|
---|
4230 | fg "65280,65280,46080"
|
---|
4231 | )
|
---|
4232 | xt "36000,46000,53000,47000"
|
---|
4233 | )
|
---|
4234 | oxt "18000,68000,35000,69000"
|
---|
4235 | text (MLText
|
---|
4236 | uid 26,0
|
---|
4237 | va (VaSet
|
---|
4238 | fg "0,0,32768"
|
---|
4239 | bg "0,0,32768"
|
---|
4240 | )
|
---|
4241 | xt "36200,46000,47100,47000"
|
---|
4242 | st "
|
---|
4243 | <enter diagram title here>
|
---|
4244 | "
|
---|
4245 | tm "CommentText"
|
---|
4246 | wrapOption 3
|
---|
4247 | visibleHeight 1000
|
---|
4248 | visibleWidth 17000
|
---|
4249 | )
|
---|
4250 | position 1
|
---|
4251 | ignorePrefs 1
|
---|
4252 | titleBlock 1
|
---|
4253 | )
|
---|
4254 | *213 (CommentText
|
---|
4255 | uid 27,0
|
---|
4256 | shape (Rectangle
|
---|
4257 | uid 28,0
|
---|
4258 | sl 0
|
---|
4259 | va (VaSet
|
---|
4260 | vasetType 1
|
---|
4261 | fg "65280,65280,46080"
|
---|
4262 | )
|
---|
4263 | xt "32000,46000,36000,47000"
|
---|
4264 | )
|
---|
4265 | oxt "14000,68000,18000,69000"
|
---|
4266 | text (MLText
|
---|
4267 | uid 29,0
|
---|
4268 | va (VaSet
|
---|
4269 | fg "0,0,32768"
|
---|
4270 | bg "0,0,32768"
|
---|
4271 | )
|
---|
4272 | xt "32200,46000,34500,47000"
|
---|
4273 | st "
|
---|
4274 | Title:
|
---|
4275 | "
|
---|
4276 | tm "CommentText"
|
---|
4277 | wrapOption 3
|
---|
4278 | visibleHeight 1000
|
---|
4279 | visibleWidth 4000
|
---|
4280 | )
|
---|
4281 | position 1
|
---|
4282 | ignorePrefs 1
|
---|
4283 | titleBlock 1
|
---|
4284 | )
|
---|
4285 | *214 (CommentText
|
---|
4286 | uid 30,0
|
---|
4287 | shape (Rectangle
|
---|
4288 | uid 31,0
|
---|
4289 | sl 0
|
---|
4290 | va (VaSet
|
---|
4291 | vasetType 1
|
---|
4292 | fg "65280,65280,46080"
|
---|
4293 | )
|
---|
4294 | xt "53000,45000,73000,49000"
|
---|
4295 | )
|
---|
4296 | oxt "35000,67000,55000,71000"
|
---|
4297 | text (MLText
|
---|
4298 | uid 32,0
|
---|
4299 | va (VaSet
|
---|
4300 | fg "0,0,32768"
|
---|
4301 | bg "0,0,32768"
|
---|
4302 | )
|
---|
4303 | xt "53200,45200,63000,46200"
|
---|
4304 | st "
|
---|
4305 | <enter comments here>
|
---|
4306 | "
|
---|
4307 | tm "CommentText"
|
---|
4308 | wrapOption 3
|
---|
4309 | visibleHeight 4000
|
---|
4310 | visibleWidth 20000
|
---|
4311 | )
|
---|
4312 | ignorePrefs 1
|
---|
4313 | titleBlock 1
|
---|
4314 | )
|
---|
4315 | *215 (CommentText
|
---|
4316 | uid 33,0
|
---|
4317 | shape (Rectangle
|
---|
4318 | uid 34,0
|
---|
4319 | sl 0
|
---|
4320 | va (VaSet
|
---|
4321 | vasetType 1
|
---|
4322 | fg "65280,65280,46080"
|
---|
4323 | )
|
---|
4324 | xt "57000,44000,73000,45000"
|
---|
4325 | )
|
---|
4326 | oxt "39000,66000,55000,67000"
|
---|
4327 | text (MLText
|
---|
4328 | uid 35,0
|
---|
4329 | va (VaSet
|
---|
4330 | fg "0,0,32768"
|
---|
4331 | bg "0,0,32768"
|
---|
4332 | )
|
---|
4333 | xt "57200,44000,61900,45000"
|
---|
4334 | st "
|
---|
4335 | %project_name
|
---|
4336 | "
|
---|
4337 | tm "CommentText"
|
---|
4338 | wrapOption 3
|
---|
4339 | visibleHeight 1000
|
---|
4340 | visibleWidth 16000
|
---|
4341 | )
|
---|
4342 | position 1
|
---|
4343 | ignorePrefs 1
|
---|
4344 | titleBlock 1
|
---|
4345 | )
|
---|
4346 | *216 (CommentText
|
---|
4347 | uid 36,0
|
---|
4348 | shape (Rectangle
|
---|
4349 | uid 37,0
|
---|
4350 | sl 0
|
---|
4351 | va (VaSet
|
---|
4352 | vasetType 1
|
---|
4353 | fg "65280,65280,46080"
|
---|
4354 | )
|
---|
4355 | xt "32000,44000,53000,46000"
|
---|
4356 | )
|
---|
4357 | oxt "14000,66000,35000,68000"
|
---|
4358 | text (MLText
|
---|
4359 | uid 38,0
|
---|
4360 | va (VaSet
|
---|
4361 | fg "32768,0,0"
|
---|
4362 | )
|
---|
4363 | xt "39450,44000,45550,46000"
|
---|
4364 | st "
|
---|
4365 | TU Dortmund
|
---|
4366 | Physik / EE
|
---|
4367 | "
|
---|
4368 | ju 0
|
---|
4369 | tm "CommentText"
|
---|
4370 | wrapOption 3
|
---|
4371 | visibleHeight 2000
|
---|
4372 | visibleWidth 21000
|
---|
4373 | )
|
---|
4374 | position 1
|
---|
4375 | ignorePrefs 1
|
---|
4376 | titleBlock 1
|
---|
4377 | )
|
---|
4378 | *217 (CommentText
|
---|
4379 | uid 39,0
|
---|
4380 | shape (Rectangle
|
---|
4381 | uid 40,0
|
---|
4382 | sl 0
|
---|
4383 | va (VaSet
|
---|
4384 | vasetType 1
|
---|
4385 | fg "65280,65280,46080"
|
---|
4386 | )
|
---|
4387 | xt "32000,47000,36000,48000"
|
---|
4388 | )
|
---|
4389 | oxt "14000,69000,18000,70000"
|
---|
4390 | text (MLText
|
---|
4391 | uid 41,0
|
---|
4392 | va (VaSet
|
---|
4393 | fg "0,0,32768"
|
---|
4394 | bg "0,0,32768"
|
---|
4395 | )
|
---|
4396 | xt "32200,47000,34500,48000"
|
---|
4397 | st "
|
---|
4398 | Path:
|
---|
4399 | "
|
---|
4400 | tm "CommentText"
|
---|
4401 | wrapOption 3
|
---|
4402 | visibleHeight 1000
|
---|
4403 | visibleWidth 4000
|
---|
4404 | )
|
---|
4405 | position 1
|
---|
4406 | ignorePrefs 1
|
---|
4407 | titleBlock 1
|
---|
4408 | )
|
---|
4409 | *218 (CommentText
|
---|
4410 | uid 42,0
|
---|
4411 | shape (Rectangle
|
---|
4412 | uid 43,0
|
---|
4413 | sl 0
|
---|
4414 | va (VaSet
|
---|
4415 | vasetType 1
|
---|
4416 | fg "65280,65280,46080"
|
---|
4417 | )
|
---|
4418 | xt "32000,48000,36000,49000"
|
---|
4419 | )
|
---|
4420 | oxt "14000,70000,18000,71000"
|
---|
4421 | text (MLText
|
---|
4422 | uid 44,0
|
---|
4423 | va (VaSet
|
---|
4424 | fg "0,0,32768"
|
---|
4425 | bg "0,0,32768"
|
---|
4426 | )
|
---|
4427 | xt "32200,48000,35300,49000"
|
---|
4428 | st "
|
---|
4429 | Edited:
|
---|
4430 | "
|
---|
4431 | tm "CommentText"
|
---|
4432 | wrapOption 3
|
---|
4433 | visibleHeight 1000
|
---|
4434 | visibleWidth 4000
|
---|
4435 | )
|
---|
4436 | position 1
|
---|
4437 | ignorePrefs 1
|
---|
4438 | titleBlock 1
|
---|
4439 | )
|
---|
4440 | *219 (CommentText
|
---|
4441 | uid 45,0
|
---|
4442 | shape (Rectangle
|
---|
4443 | uid 46,0
|
---|
4444 | sl 0
|
---|
4445 | va (VaSet
|
---|
4446 | vasetType 1
|
---|
4447 | fg "65280,65280,46080"
|
---|
4448 | )
|
---|
4449 | xt "36000,47000,53000,48000"
|
---|
4450 | )
|
---|
4451 | oxt "18000,69000,35000,70000"
|
---|
4452 | text (MLText
|
---|
4453 | uid 47,0
|
---|
4454 | va (VaSet
|
---|
4455 | fg "0,0,32768"
|
---|
4456 | bg "0,0,32768"
|
---|
4457 | )
|
---|
4458 | xt "36200,47000,51000,48000"
|
---|
4459 | st "
|
---|
4460 | %library/%unit/%view
|
---|
4461 | "
|
---|
4462 | tm "CommentText"
|
---|
4463 | wrapOption 3
|
---|
4464 | visibleHeight 1000
|
---|
4465 | visibleWidth 17000
|
---|
4466 | )
|
---|
4467 | position 1
|
---|
4468 | ignorePrefs 1
|
---|
4469 | titleBlock 1
|
---|
4470 | )
|
---|
4471 | ]
|
---|
4472 | shape (GroupingShape
|
---|
4473 | uid 17,0
|
---|
4474 | va (VaSet
|
---|
4475 | vasetType 1
|
---|
4476 | fg "65535,65535,65535"
|
---|
4477 | lineStyle 2
|
---|
4478 | lineWidth 2
|
---|
4479 | )
|
---|
4480 | xt "32000,44000,73000,49000"
|
---|
4481 | )
|
---|
4482 | oxt "14000,66000,55000,71000"
|
---|
4483 | )
|
---|
4484 | ]
|
---|
4485 | bg "65535,65535,65535"
|
---|
4486 | grid (Grid
|
---|
4487 | origin "0,0"
|
---|
4488 | isVisible 1
|
---|
4489 | isActive 1
|
---|
4490 | xSpacing 1000
|
---|
4491 | xySpacing 1000
|
---|
4492 | xShown 1
|
---|
4493 | yShown 1
|
---|
4494 | color "26368,26368,26368"
|
---|
4495 | )
|
---|
4496 | packageList *220 (PackageList
|
---|
4497 | uid 48,0
|
---|
4498 | stg "VerticalLayoutStrategy"
|
---|
4499 | textVec [
|
---|
4500 | *221 (Text
|
---|
4501 | uid 49,0
|
---|
4502 | va (VaSet
|
---|
4503 | font "Arial,8,1"
|
---|
4504 | )
|
---|
4505 | xt "0,0,5400,1000"
|
---|
4506 | st "Package List"
|
---|
4507 | blo "0,800"
|
---|
4508 | )
|
---|
4509 | *222 (MLText
|
---|
4510 | uid 50,0
|
---|
4511 | va (VaSet
|
---|
4512 | )
|
---|
4513 | xt "0,1000,11900,4000"
|
---|
4514 | st "LIBRARY ieee;
|
---|
4515 | USE ieee.std_logic_1164.all;
|
---|
4516 | USE ieee.std_logic_arith.all;"
|
---|
4517 | tm "PackageList"
|
---|
4518 | )
|
---|
4519 | ]
|
---|
4520 | )
|
---|
4521 | windowSize "0,0,1015,690"
|
---|
4522 | viewArea "0,0,0,0"
|
---|
4523 | cachedDiagramExtent "0,0,0,0"
|
---|
4524 | pageBreakOrigin "0,0"
|
---|
4525 | defaultCommentText (CommentText
|
---|
4526 | shape (Rectangle
|
---|
4527 | layer 0
|
---|
4528 | va (VaSet
|
---|
4529 | vasetType 1
|
---|
4530 | fg "65280,65280,46080"
|
---|
4531 | lineColor "0,0,32768"
|
---|
4532 | )
|
---|
4533 | xt "0,0,15000,5000"
|
---|
4534 | )
|
---|
4535 | text (MLText
|
---|
4536 | va (VaSet
|
---|
4537 | fg "0,0,32768"
|
---|
4538 | )
|
---|
4539 | xt "200,200,2400,1200"
|
---|
4540 | st "
|
---|
4541 | Text
|
---|
4542 | "
|
---|
4543 | tm "CommentText"
|
---|
4544 | wrapOption 3
|
---|
4545 | visibleHeight 4600
|
---|
4546 | visibleWidth 14600
|
---|
4547 | )
|
---|
4548 | )
|
---|
4549 | defaultPanel (Panel
|
---|
4550 | shape (RectFrame
|
---|
4551 | va (VaSet
|
---|
4552 | vasetType 1
|
---|
4553 | fg "65535,65535,65535"
|
---|
4554 | lineColor "32768,0,0"
|
---|
4555 | lineWidth 3
|
---|
4556 | )
|
---|
4557 | xt "0,0,20000,20000"
|
---|
4558 | )
|
---|
4559 | title (TextAssociate
|
---|
4560 | ps "TopLeftStrategy"
|
---|
4561 | text (Text
|
---|
4562 | va (VaSet
|
---|
4563 | font "Arial,8,1"
|
---|
4564 | )
|
---|
4565 | xt "1000,1000,3800,2000"
|
---|
4566 | st "Panel0"
|
---|
4567 | blo "1000,1800"
|
---|
4568 | tm "PanelText"
|
---|
4569 | )
|
---|
4570 | )
|
---|
4571 | )
|
---|
4572 | parentGraphicsRef (HdmGraphicsRef
|
---|
4573 | libraryName ""
|
---|
4574 | entityName ""
|
---|
4575 | viewName ""
|
---|
4576 | )
|
---|
4577 | defaultSymbolBody (SymbolBody
|
---|
4578 | shape (Rectangle
|
---|
4579 | va (VaSet
|
---|
4580 | vasetType 1
|
---|
4581 | fg "0,65535,0"
|
---|
4582 | lineColor "0,32896,0"
|
---|
4583 | lineWidth 2
|
---|
4584 | )
|
---|
4585 | xt "15000,6000,33000,26000"
|
---|
4586 | )
|
---|
4587 | biTextGroup (BiTextGroup
|
---|
4588 | ps "CenterOffsetStrategy"
|
---|
4589 | stg "VerticalLayoutStrategy"
|
---|
4590 | first (Text
|
---|
4591 | va (VaSet
|
---|
4592 | font "Arial,8,1"
|
---|
4593 | )
|
---|
4594 | xt "22200,15000,25800,16000"
|
---|
4595 | st "<library>"
|
---|
4596 | blo "22200,15800"
|
---|
4597 | )
|
---|
4598 | second (Text
|
---|
4599 | va (VaSet
|
---|
4600 | font "Arial,8,1"
|
---|
4601 | )
|
---|
4602 | xt "22200,16000,24800,17000"
|
---|
4603 | st "<cell>"
|
---|
4604 | blo "22200,16800"
|
---|
4605 | )
|
---|
4606 | )
|
---|
4607 | gi *223 (GenericInterface
|
---|
4608 | ps "CenterOffsetStrategy"
|
---|
4609 | matrix (Matrix
|
---|
4610 | text (MLText
|
---|
4611 | va (VaSet
|
---|
4612 | font "Courier New,8,0"
|
---|
4613 | )
|
---|
4614 | xt "0,12000,11500,12800"
|
---|
4615 | st "Generic Declarations"
|
---|
4616 | )
|
---|
4617 | header "Generic Declarations"
|
---|
4618 | showHdrWhenContentsEmpty 1
|
---|
4619 | )
|
---|
4620 | elements [
|
---|
4621 | ]
|
---|
4622 | )
|
---|
4623 | portInstanceVisAsIs 1
|
---|
4624 | portInstanceVis (PortSigDisplay
|
---|
4625 | sIVOD 1
|
---|
4626 | )
|
---|
4627 | portVis (PortSigDisplay
|
---|
4628 | sIVOD 1
|
---|
4629 | )
|
---|
4630 | )
|
---|
4631 | defaultCptPort (CptPort
|
---|
4632 | ps "OnEdgeStrategy"
|
---|
4633 | shape (Triangle
|
---|
4634 | ro 90
|
---|
4635 | va (VaSet
|
---|
4636 | vasetType 1
|
---|
4637 | fg "0,65535,0"
|
---|
4638 | )
|
---|
4639 | xt "0,0,750,750"
|
---|
4640 | )
|
---|
4641 | tg (CPTG
|
---|
4642 | ps "CptPortTextPlaceStrategy"
|
---|
4643 | stg "VerticalLayoutStrategy"
|
---|
4644 | f (Text
|
---|
4645 | va (VaSet
|
---|
4646 | )
|
---|
4647 | xt "0,750,1400,1750"
|
---|
4648 | st "In0"
|
---|
4649 | blo "0,1550"
|
---|
4650 | tm "CptPortNameMgr"
|
---|
4651 | )
|
---|
4652 | )
|
---|
4653 | dt (MLText
|
---|
4654 | va (VaSet
|
---|
4655 | font "Courier New,8,0"
|
---|
4656 | )
|
---|
4657 | )
|
---|
4658 | thePort (LogicalPort
|
---|
4659 | decl (Decl
|
---|
4660 | n "In0"
|
---|
4661 | t "std_logic_vector"
|
---|
4662 | b "(15 DOWNTO 0)"
|
---|
4663 | o 0
|
---|
4664 | )
|
---|
4665 | )
|
---|
4666 | )
|
---|
4667 | defaultCptPortBuffer (CptPort
|
---|
4668 | ps "OnEdgeStrategy"
|
---|
4669 | shape (Diamond
|
---|
4670 | va (VaSet
|
---|
4671 | vasetType 1
|
---|
4672 | fg "65535,65535,65535"
|
---|
4673 | bg "0,0,0"
|
---|
4674 | )
|
---|
4675 | xt "0,0,750,750"
|
---|
4676 | )
|
---|
4677 | tg (CPTG
|
---|
4678 | ps "CptPortTextPlaceStrategy"
|
---|
4679 | stg "VerticalLayoutStrategy"
|
---|
4680 | f (Text
|
---|
4681 | va (VaSet
|
---|
4682 | )
|
---|
4683 | xt "0,750,2800,1750"
|
---|
4684 | st "Buffer0"
|
---|
4685 | blo "0,1550"
|
---|
4686 | tm "CptPortNameMgr"
|
---|
4687 | )
|
---|
4688 | )
|
---|
4689 | dt (MLText
|
---|
4690 | va (VaSet
|
---|
4691 | font "Courier New,8,0"
|
---|
4692 | )
|
---|
4693 | )
|
---|
4694 | thePort (LogicalPort
|
---|
4695 | m 3
|
---|
4696 | decl (Decl
|
---|
4697 | n "Buffer0"
|
---|
4698 | t "std_logic_vector"
|
---|
4699 | b "(15 DOWNTO 0)"
|
---|
4700 | o 0
|
---|
4701 | )
|
---|
4702 | )
|
---|
4703 | )
|
---|
4704 | DeclarativeBlock *224 (SymDeclBlock
|
---|
4705 | uid 1,0
|
---|
4706 | stg "SymDeclLayoutStrategy"
|
---|
4707 | declLabel (Text
|
---|
4708 | uid 2,0
|
---|
4709 | va (VaSet
|
---|
4710 | font "Arial,8,1"
|
---|
4711 | )
|
---|
4712 | xt "42000,0,47400,1000"
|
---|
4713 | st "Declarations"
|
---|
4714 | blo "42000,800"
|
---|
4715 | )
|
---|
4716 | portLabel (Text
|
---|
4717 | uid 3,0
|
---|
4718 | va (VaSet
|
---|
4719 | font "Arial,8,1"
|
---|
4720 | )
|
---|
4721 | xt "42000,1000,44700,2000"
|
---|
4722 | st "Ports:"
|
---|
4723 | blo "42000,1800"
|
---|
4724 | )
|
---|
4725 | externalLabel (Text
|
---|
4726 | uid 4,0
|
---|
4727 | va (VaSet
|
---|
4728 | font "Arial,8,1"
|
---|
4729 | )
|
---|
4730 | xt "42000,43600,44400,44600"
|
---|
4731 | st "User:"
|
---|
4732 | blo "42000,44400"
|
---|
4733 | )
|
---|
4734 | internalLabel (Text
|
---|
4735 | uid 6,0
|
---|
4736 | va (VaSet
|
---|
4737 | isHidden 1
|
---|
4738 | font "Arial,8,1"
|
---|
4739 | )
|
---|
4740 | xt "42000,0,47800,1000"
|
---|
4741 | st "Internal User:"
|
---|
4742 | blo "42000,800"
|
---|
4743 | )
|
---|
4744 | externalText (MLText
|
---|
4745 | uid 5,0
|
---|
4746 | va (VaSet
|
---|
4747 | font "Courier New,8,0"
|
---|
4748 | )
|
---|
4749 | xt "44000,44600,44000,44600"
|
---|
4750 | tm "SyDeclarativeTextMgr"
|
---|
4751 | )
|
---|
4752 | internalText (MLText
|
---|
4753 | uid 7,0
|
---|
4754 | va (VaSet
|
---|
4755 | isHidden 1
|
---|
4756 | font "Courier New,8,0"
|
---|
4757 | )
|
---|
4758 | xt "42000,0,42000,0"
|
---|
4759 | tm "SyDeclarativeTextMgr"
|
---|
4760 | )
|
---|
4761 | )
|
---|
4762 | lastUid 6189,0
|
---|
4763 | activeModelName "Symbol:CDM"
|
---|
4764 | )
|
---|