DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" ) (DmPackageRef library "ieee" unitName "std_logic_arith" ) ] libraryRefs [ "ieee" ] ) version "24.1" appVersion "2009.1 (Build 12)" model (Symbol commonDM (CommonDM ldm (LogicalDM suid 92,0 usingSuid 1 emptyRow *1 (LEmptyRow ) uid 53,0 optionalChildren [ *2 (RefLabelRowHdr ) *3 (TitleRowHdr ) *4 (FilterRowHdr ) *5 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *6 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *7 (GroupColHdr tm "GroupColHdrMgr" ) *8 (NameColHdr tm "NameColHdrMgr" ) *9 (ModeColHdr tm "ModeColHdrMgr" ) *10 (TypeColHdr tm "TypeColHdrMgr" ) *11 (BoundsColHdr tm "BoundsColHdrMgr" ) *12 (InitColHdr tm "InitColHdrMgr" ) *13 (EolColHdr tm "EolColHdrMgr" ) *14 (LogPort port (LogicalPort m 1 decl (Decl n "RSRLOAD" t "std_logic" o 40 suid 11,0 i "'0'" ) ) uid 690,0 ) *15 (LogPort port (LogicalPort decl (Decl n "X_50M" t "STD_LOGIC" preAdd 0 posAdd 0 o 17 suid 15,0 ) ) uid 1111,0 ) *16 (LogPort port (LogicalPort decl (Decl n "TRG" t "STD_LOGIC" o 15 suid 16,0 ) ) uid 1113,0 ) *17 (LogPort port (LogicalPort m 1 decl (Decl n "A_CLK" t "std_logic_vector" b "(3 downto 0)" o 21 suid 17,0 ) ) uid 1115,0 ) *18 (LogPort port (LogicalPort m 1 decl (Decl n "OE_ADC" t "STD_LOGIC" preAdd 0 posAdd 0 o 32 suid 18,0 ) ) uid 1155,0 ) *19 (LogPort port (LogicalPort decl (Decl n "A_OTR" t "std_logic_vector" b "(3 DOWNTO 0)" o 5 suid 19,0 ) ) uid 1157,0 ) *20 (LogPort port (LogicalPort decl (Decl n "A0_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 1 suid 20,0 ) ) uid 1159,0 ) *21 (LogPort port (LogicalPort decl (Decl n "A1_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 2 suid 21,0 ) ) uid 1161,0 ) *22 (LogPort port (LogicalPort decl (Decl n "A2_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 3 suid 22,0 ) ) uid 1163,0 ) *23 (LogPort port (LogicalPort decl (Decl n "A3_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 4 suid 23,0 ) ) uid 1165,0 ) *24 (LogPort port (LogicalPort decl (Decl n "D0_SROUT" t "std_logic" o 6 suid 28,0 ) ) uid 1271,0 ) *25 (LogPort port (LogicalPort decl (Decl n "D1_SROUT" t "std_logic" o 7 suid 29,0 ) ) uid 1273,0 ) *26 (LogPort port (LogicalPort decl (Decl n "D2_SROUT" t "std_logic" o 8 suid 30,0 ) ) uid 1275,0 ) *27 (LogPort port (LogicalPort decl (Decl n "D3_SROUT" t "std_logic" o 9 suid 31,0 ) ) uid 1277,0 ) *28 (LogPort port (LogicalPort m 1 decl (Decl n "D_A" t "std_logic_vector" b "(3 DOWNTO 0)" o 26 suid 32,0 i "(others => '0')" ) ) uid 1279,0 ) *29 (LogPort port (LogicalPort m 1 decl (Decl n "DWRITE" t "std_logic" o 25 suid 33,0 i "'0'" ) ) uid 1281,0 ) *30 (LogPort port (LogicalPort m 1 decl (Decl n "DAC_CS" t "std_logic" o 22 suid 34,0 ) ) uid 1338,0 ) *31 (LogPort port (LogicalPort m 1 decl (Decl n "S_CLK" t "std_logic" o 42 suid 39,0 ) ) uid 1348,0 ) *32 (LogPort port (LogicalPort m 1 decl (Decl n "W_A" t "std_logic_vector" b "(9 DOWNTO 0)" o 45 suid 40,0 ) ) uid 1350,0 ) *33 (LogPort port (LogicalPort m 2 decl (Decl n "W_D" t "std_logic_vector" b "(15 DOWNTO 0)" o 52 suid 41,0 ) ) uid 1352,0 ) *34 (LogPort port (LogicalPort m 1 decl (Decl n "W_RES" t "std_logic" o 48 suid 42,0 i "'1'" ) ) uid 1354,0 ) *35 (LogPort port (LogicalPort m 1 decl (Decl n "W_RD" t "std_logic" o 47 suid 43,0 i "'1'" ) ) uid 1356,0 ) *36 (LogPort port (LogicalPort m 1 decl (Decl n "W_WR" t "std_logic" o 50 suid 44,0 i "'1'" ) ) uid 1358,0 ) *37 (LogPort port (LogicalPort decl (Decl n "W_INT" t "std_logic" o 16 suid 45,0 ) ) uid 1360,0 ) *38 (LogPort port (LogicalPort m 1 decl (Decl n "W_CS" t "std_logic" o 46 suid 46,0 i "'1'" ) ) uid 1362,0 ) *39 (LogPort port (LogicalPort m 1 decl (Decl n "MOSI" t "std_logic" o 31 suid 47,0 i "'0'" ) ) uid 1617,0 ) *40 (LogPort port (LogicalPort m 2 decl (Decl n "MISO" t "std_logic" preAdd 0 posAdd 0 o 51 suid 48,0 ) ) uid 1619,0 ) *41 (LogPort port (LogicalPort m 1 decl (Decl n "RS485_C_RE" t "std_logic" o 36 suid 50,0 ) ) uid 1657,0 ) *42 (LogPort port (LogicalPort m 1 decl (Decl n "RS485_C_DE" t "std_logic" o 34 suid 51,0 ) ) uid 1659,0 ) *43 (LogPort port (LogicalPort m 1 decl (Decl n "RS485_E_RE" t "std_logic" o 39 suid 52,0 ) ) uid 1661,0 ) *44 (LogPort port (LogicalPort m 1 decl (Decl n "RS485_E_DE" t "std_logic" o 37 suid 53,0 ) ) uid 1663,0 ) *45 (LogPort port (LogicalPort m 1 decl (Decl n "DENABLE" t "std_logic" o 23 suid 54,0 i "'0'" ) ) uid 1665,0 ) *46 (LogPort port (LogicalPort m 1 decl (Decl n "SRIN" t "std_logic" o 41 suid 55,0 i "'0'" ) ) uid 1667,0 ) *47 (LogPort port (LogicalPort m 1 decl (Decl n "EE_CS" t "std_logic" o 29 suid 56,0 ) ) uid 1669,0 ) *48 (LogPort port (LogicalPort m 1 decl (Decl n "D_T" t "std_logic_vector" b "(7 DOWNTO 0)" o 27 suid 61,0 i "(OTHERS => '0')" ) ) uid 2067,0 ) *49 (LogPort port (LogicalPort decl (Decl n "D_PLLLCK" t "std_logic_vector" b "(3 DOWNTO 0)" o 10 suid 64,0 ) ) uid 2918,0 ) *50 (LogPort port (LogicalPort m 1 decl (Decl n "D_T2" t "std_logic_vector" b "(1 DOWNTO 0)" o 28 suid 65,0 i "(others => '0')" ) ) uid 2948,0 ) *51 (LogPort port (LogicalPort m 1 decl (Decl n "A1_T" t "std_logic_vector" b "(7 DOWNTO 0)" o 19 suid 66,0 i "(OTHERS => '0')" ) ) uid 3025,0 ) *52 (LogPort port (LogicalPort m 1 decl (Decl n "A0_T" t "std_logic_vector" b "(7 DOWNTO 0)" o 18 suid 68,0 i "(others => '0')" ) ) uid 3455,0 ) *53 (LogPort port (LogicalPort m 1 decl (Decl n "RS485_C_DO" t "std_logic" o 35 suid 70,0 ) ) uid 3580,0 ) *54 (LogPort port (LogicalPort decl (Decl n "RS485_E_DI" t "std_logic" o 14 suid 71,0 ) ) uid 3684,0 ) *55 (LogPort port (LogicalPort m 1 decl (Decl n "RS485_E_DO" t "std_logic" o 38 suid 72,0 ) ) uid 3686,0 ) *56 (LogPort port (LogicalPort m 1 decl (Decl n "AMBER_LED" t "std_logic" o 20 suid 77,0 ) ) uid 4028,0 ) *57 (LogPort port (LogicalPort m 1 decl (Decl n "GREEN_LED" t "std_logic" o 30 suid 78,0 ) ) uid 4030,0 ) *58 (LogPort port (LogicalPort m 1 decl (Decl n "RED_LED" t "std_logic" o 33 suid 79,0 ) ) uid 4032,0 ) *59 (LogPort port (LogicalPort decl (Decl n "REFCLK" t "std_logic" o 13 suid 81,0 ) ) uid 4263,0 ) *60 (LogPort port (LogicalPort decl (Decl n "LINE" t "std_logic_vector" b "( 5 DOWNTO 0 )" o 12 suid 82,0 ) ) uid 4293,0 ) *61 (LogPort port (LogicalPort decl (Decl n "D_T_in" t "std_logic_vector" b "(1 DOWNTO 0)" o 11 suid 83,0 ) ) uid 4323,0 ) *62 (LogPort port (LogicalPort m 1 decl (Decl n "TCS" t "std_logic_vector" b "(3 DOWNTO 0)" o 43 suid 84,0 ) ) uid 4837,0 ) *63 (LogPort port (LogicalPort m 1 decl (Decl n "DSRCLK" t "std_logic_vector" b "(3 DOWNTO 0)" o 24 suid 89,0 i "(others => '0')" ) ) uid 5125,0 ) *64 (LogPort port (LogicalPort lang 2 m 1 decl (Decl n "TRG_V" t "std_logic" o 44 suid 90,0 i "'0'" ) ) uid 5731,0 ) *65 (LogPort port (LogicalPort m 1 decl (Decl n "W_T" t "std_logic_vector" b "( 3 DOWNTO 0 )" o 49 suid 91,0 i "(others => '0')" ) ) uid 6061,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 66,0 optionalChildren [ *66 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *67 (MRCItem litem &1 pos 3 dimension 20 ) uid 68,0 optionalChildren [ *68 (MRCItem litem &2 pos 0 dimension 20 uid 69,0 ) *69 (MRCItem litem &3 pos 1 dimension 23 uid 70,0 ) *70 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 71,0 ) *71 (MRCItem litem &14 pos 0 dimension 20 uid 689,0 ) *72 (MRCItem litem &15 pos 2 dimension 20 uid 1110,0 ) *73 (MRCItem litem &16 pos 3 dimension 20 uid 1112,0 ) *74 (MRCItem litem &17 pos 4 dimension 20 uid 1114,0 ) *75 (MRCItem litem &18 pos 5 dimension 20 uid 1154,0 ) *76 (MRCItem litem &19 pos 6 dimension 20 uid 1156,0 ) *77 (MRCItem litem &20 pos 7 dimension 20 uid 1158,0 ) *78 (MRCItem litem &21 pos 8 dimension 20 uid 1160,0 ) *79 (MRCItem litem &22 pos 9 dimension 20 uid 1162,0 ) *80 (MRCItem litem &23 pos 10 dimension 20 uid 1164,0 ) *81 (MRCItem litem &24 pos 11 dimension 20 uid 1270,0 ) *82 (MRCItem litem &25 pos 12 dimension 20 uid 1272,0 ) *83 (MRCItem litem &26 pos 13 dimension 20 uid 1274,0 ) *84 (MRCItem litem &27 pos 14 dimension 20 uid 1276,0 ) *85 (MRCItem litem &28 pos 15 dimension 20 uid 1278,0 ) *86 (MRCItem litem &29 pos 16 dimension 20 uid 1280,0 ) *87 (MRCItem litem &30 pos 1 dimension 20 uid 1337,0 ) *88 (MRCItem litem &31 pos 17 dimension 20 uid 1347,0 ) *89 (MRCItem litem &32 pos 18 dimension 20 uid 1349,0 ) *90 (MRCItem litem &33 pos 19 dimension 20 uid 1351,0 ) *91 (MRCItem litem &34 pos 20 dimension 20 uid 1353,0 ) *92 (MRCItem litem &35 pos 21 dimension 20 uid 1355,0 ) *93 (MRCItem litem &36 pos 22 dimension 20 uid 1357,0 ) *94 (MRCItem litem &37 pos 23 dimension 20 uid 1359,0 ) *95 (MRCItem litem &38 pos 24 dimension 20 uid 1361,0 ) *96 (MRCItem litem &39 pos 25 dimension 20 uid 1616,0 ) *97 (MRCItem litem &40 pos 26 dimension 20 uid 1618,0 ) *98 (MRCItem litem &41 pos 27 dimension 20 uid 1656,0 ) *99 (MRCItem litem &42 pos 28 dimension 20 uid 1658,0 ) *100 (MRCItem litem &43 pos 29 dimension 20 uid 1660,0 ) *101 (MRCItem litem &44 pos 30 dimension 20 uid 1662,0 ) *102 (MRCItem litem &45 pos 31 dimension 20 uid 1664,0 ) *103 (MRCItem litem &46 pos 40 dimension 20 uid 1666,0 ) *104 (MRCItem litem &47 pos 32 dimension 20 uid 1668,0 ) *105 (MRCItem litem &48 pos 33 dimension 20 uid 2066,0 ) *106 (MRCItem litem &49 pos 47 dimension 20 uid 2917,0 ) *107 (MRCItem litem &50 pos 34 dimension 20 uid 2947,0 ) *108 (MRCItem litem &51 pos 35 dimension 20 uid 3024,0 ) *109 (MRCItem litem &52 pos 36 dimension 20 uid 3454,0 ) *110 (MRCItem litem &53 pos 37 dimension 20 uid 3579,0 ) *111 (MRCItem litem &54 pos 38 dimension 20 uid 3683,0 ) *112 (MRCItem litem &55 pos 39 dimension 20 uid 3685,0 ) *113 (MRCItem litem &56 pos 41 dimension 20 uid 4027,0 ) *114 (MRCItem litem &57 pos 42 dimension 20 uid 4029,0 ) *115 (MRCItem litem &58 pos 43 dimension 20 uid 4031,0 ) *116 (MRCItem litem &59 pos 45 dimension 20 uid 4262,0 ) *117 (MRCItem litem &60 pos 44 dimension 20 uid 4292,0 ) *118 (MRCItem litem &61 pos 46 dimension 20 uid 4322,0 ) *119 (MRCItem litem &62 pos 48 dimension 20 uid 4836,0 ) *120 (MRCItem litem &63 pos 49 dimension 20 uid 5124,0 ) *121 (MRCItem litem &64 pos 50 dimension 20 uid 5730,0 ) *122 (MRCItem litem &65 pos 51 dimension 20 uid 6060,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 72,0 optionalChildren [ *123 (MRCItem litem &5 pos 0 dimension 20 uid 73,0 ) *124 (MRCItem litem &7 pos 1 dimension 50 uid 74,0 ) *125 (MRCItem litem &8 pos 2 dimension 100 uid 75,0 ) *126 (MRCItem litem &9 pos 3 dimension 50 uid 76,0 ) *127 (MRCItem litem &10 pos 4 dimension 100 uid 77,0 ) *128 (MRCItem litem &11 pos 5 dimension 100 uid 78,0 ) *129 (MRCItem litem &12 pos 6 dimension 50 uid 79,0 ) *130 (MRCItem litem &13 pos 7 dimension 80 uid 80,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 67,0 vaOverrides [ ] ) ] ) uid 52,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *131 (LEmptyRow ) uid 82,0 optionalChildren [ *132 (RefLabelRowHdr ) *133 (TitleRowHdr ) *134 (FilterRowHdr ) *135 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *136 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *137 (GroupColHdr tm "GroupColHdrMgr" ) *138 (NameColHdr tm "GenericNameColHdrMgr" ) *139 (TypeColHdr tm "GenericTypeColHdrMgr" ) *140 (InitColHdr tm "GenericValueColHdrMgr" ) *141 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *142 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 94,0 optionalChildren [ *143 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *144 (MRCItem litem &131 pos 3 dimension 20 ) uid 96,0 optionalChildren [ *145 (MRCItem litem &132 pos 0 dimension 20 uid 97,0 ) *146 (MRCItem litem &133 pos 1 dimension 23 uid 98,0 ) *147 (MRCItem litem &134 pos 2 hidden 1 dimension 20 uid 99,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 100,0 optionalChildren [ *148 (MRCItem litem &135 pos 0 dimension 20 uid 101,0 ) *149 (MRCItem litem &137 pos 1 dimension 50 uid 102,0 ) *150 (MRCItem litem &138 pos 2 dimension 100 uid 103,0 ) *151 (MRCItem litem &139 pos 3 dimension 100 uid 104,0 ) *152 (MRCItem litem &140 pos 4 dimension 50 uid 105,0 ) *153 (MRCItem litem &141 pos 5 dimension 50 uid 106,0 ) *154 (MRCItem litem &142 pos 6 dimension 80 uid 107,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 95,0 vaOverrides [ ] ) ] ) uid 81,0 type 1 ) VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl" ) (vvPair variable "HDSDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user" ) (vvPair variable "SourceDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "symbol" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board" ) (vvPair variable "d_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board" ) (vvPair variable "date" value "09.06.2011" ) (vvPair variable "day" value "Do" ) (vvPair variable "day_long" value "Donnerstag" ) (vvPair variable "dd" value "09" ) (vvPair variable "entity_name" value "FAD_Board" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "symbol.sb" ) (vvPair variable "f_logical" value "symbol.sb" ) (vvPair variable "f_noext" value "symbol" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "IHP110" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_lib" ) (vvPair variable "library_downstream_HdsLintPlugin" value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "mm" value "06" ) (vvPair variable "module_name" value "FAD_Board" ) (vvPair variable "month" value "Jun" ) (vvPair variable "month_long" value "Juni" ) (vvPair variable "p" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb" ) (vvPair variable "p_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "D:\\modeltech_6.5e\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "sb" ) (vvPair variable "this_file" value "symbol" ) (vvPair variable "this_file_logical" value "symbol" ) (vvPair variable "time" value "16:34:52" ) (vvPair variable "unit" value "FAD_Board" ) (vvPair variable "user" value "daqct3" ) (vvPair variable "version" value "2009.1 (Build 12)" ) (vvPair variable "view" value "symbol" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" uid 51,0 optionalChildren [ *155 (SymbolBody uid 8,0 optionalChildren [ *156 (CptPort uid 693,0 ps "OnEdgeStrategy" shape (Triangle uid 694,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,21625,35750,22375" ) tg (CPTG uid 695,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 696,0 va (VaSet ) xt "29800,21500,34000,22500" st "RSRLOAD" ju 2 blo "34000,22300" tm "CptPortNameMgr" ) t (Text uid 697,0 va (VaSet ) xt "32800,22500,34000,23500" st "'0'" ju 2 blo "34000,23300" tm "InitValueDelayMgr" ) ) dt (MLText uid 698,0 va (VaSet font "Courier New,8,0" ) xt "44000,33200,76000,34000" st "RSRLOAD : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "RSRLOAD" t "std_logic" o 40 suid 11,0 i "'0'" ) ) ) *157 (CptPort uid 1116,0 ps "OnEdgeStrategy" shape (Triangle uid 1117,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,11625,15000,12375" ) tg (CPTG uid 1118,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1119,0 va (VaSet ) xt "16000,11500,18800,12500" st "X_50M" blo "16000,12300" tm "CptPortNameMgr" ) ) dt (MLText uid 1120,0 va (VaSet font "Courier New,8,0" ) xt "44000,14800,61500,15600" st "X_50M : IN STD_LOGIC ; " ) thePort (LogicalPort decl (Decl n "X_50M" t "STD_LOGIC" preAdd 0 posAdd 0 o 17 suid 15,0 ) ) ) *158 (CptPort uid 1121,0 ps "OnEdgeStrategy" shape (Triangle uid 1122,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,13625,15000,14375" ) tg (CPTG uid 1123,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1124,0 va (VaSet ) xt "16000,13500,18100,14500" st "TRG" blo "16000,14300" tm "CptPortNameMgr" ) ) dt (MLText uid 1125,0 va (VaSet font "Courier New,8,0" ) xt "44000,13200,61500,14000" st "TRG : IN STD_LOGIC ; " ) thePort (LogicalPort decl (Decl n "TRG" t "STD_LOGIC" o 15 suid 16,0 ) ) ) *159 (CptPort uid 1126,0 ps "OnEdgeStrategy" shape (Triangle uid 1127,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,25625,35750,26375" ) tg (CPTG uid 1128,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1129,0 va (VaSet ) xt "28600,25500,34000,26500" st "A_CLK : (3:0)" ju 2 blo "34000,26300" tm "CptPortNameMgr" ) ) dt (MLText uid 1130,0 va (VaSet font "Courier New,8,0" ) xt "44000,18000,71500,18800" st "A_CLK : OUT std_logic_vector (3 downto 0) ; " ) thePort (LogicalPort m 1 decl (Decl n "A_CLK" t "std_logic_vector" b "(3 downto 0)" o 21 suid 17,0 ) ) ) *160 (CptPort uid 1166,0 ps "OnEdgeStrategy" shape (Triangle uid 1167,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,27625,35750,28375" ) tg (CPTG uid 1168,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1169,0 va (VaSet ) xt "30400,27500,34000,28500" st "OE_ADC" ju 2 blo "34000,28300" tm "CptPortNameMgr" ) ) dt (MLText uid 1170,0 va (VaSet font "Courier New,8,0" ) xt "44000,26800,61500,27600" st "OE_ADC : OUT STD_LOGIC ; " ) thePort (LogicalPort m 1 decl (Decl n "OE_ADC" t "STD_LOGIC" preAdd 0 posAdd 0 o 32 suid 18,0 ) ) ) *161 (CptPort uid 1171,0 ps "OnEdgeStrategy" shape (Triangle uid 1172,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,15625,15000,16375" ) tg (CPTG uid 1173,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1174,0 va (VaSet ) xt "16000,15500,21600,16500" st "A_OTR : (3:0)" blo "16000,16300" tm "CptPortNameMgr" ) ) dt (MLText uid 1175,0 va (VaSet font "Courier New,8,0" ) xt "44000,5200,71500,6000" st "A_OTR : IN std_logic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "A_OTR" t "std_logic_vector" b "(3 DOWNTO 0)" o 5 suid 19,0 ) ) ) *162 (CptPort uid 1176,0 ps "OnEdgeStrategy" shape (Triangle uid 1177,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,17625,15000,18375" ) tg (CPTG uid 1178,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1179,0 va (VaSet ) xt "16000,17500,21300,18500" st "A0_D : (11:0)" blo "16000,18300" tm "CptPortNameMgr" ) ) dt (MLText uid 1180,0 va (VaSet font "Courier New,8,0" ) xt "44000,2000,72000,2800" st "A0_D : IN std_logic_vector (11 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "A0_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 1 suid 20,0 ) ) ) *163 (CptPort uid 1181,0 ps "OnEdgeStrategy" shape (Triangle uid 1182,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,19625,15000,20375" ) tg (CPTG uid 1183,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1184,0 va (VaSet ) xt "16000,19500,21300,20500" st "A1_D : (11:0)" blo "16000,20300" tm "CptPortNameMgr" ) ) dt (MLText uid 1185,0 va (VaSet font "Courier New,8,0" ) xt "44000,2800,72000,3600" st "A1_D : IN std_logic_vector (11 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "A1_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 2 suid 21,0 ) ) ) *164 (CptPort uid 1186,0 ps "OnEdgeStrategy" shape (Triangle uid 1187,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,21625,15000,22375" ) tg (CPTG uid 1188,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1189,0 va (VaSet ) xt "16000,21500,21300,22500" st "A2_D : (11:0)" blo "16000,22300" tm "CptPortNameMgr" ) ) dt (MLText uid 1190,0 va (VaSet font "Courier New,8,0" ) xt "44000,3600,72000,4400" st "A2_D : IN std_logic_vector (11 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "A2_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 3 suid 22,0 ) ) ) *165 (CptPort uid 1191,0 ps "OnEdgeStrategy" shape (Triangle uid 1192,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,23625,15000,24375" ) tg (CPTG uid 1193,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1194,0 va (VaSet ) xt "16000,23500,21300,24500" st "A3_D : (11:0)" blo "16000,24300" tm "CptPortNameMgr" ) ) dt (MLText uid 1195,0 va (VaSet font "Courier New,8,0" ) xt "44000,4400,72000,5200" st "A3_D : IN std_logic_vector (11 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "A3_D" t "std_logic_vector" b "(11 DOWNTO 0)" o 4 suid 23,0 ) ) ) *166 (CptPort uid 1282,0 ps "OnEdgeStrategy" shape (Triangle uid 1283,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,33625,15000,34375" ) tg (CPTG uid 1284,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1285,0 va (VaSet ) xt "16000,33500,20600,34500" st "D0_SROUT" blo "16000,34300" tm "CptPortNameMgr" ) ) dt (MLText uid 1286,0 va (VaSet font "Courier New,8,0" ) xt "44000,6000,61500,6800" st "D0_SROUT : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "D0_SROUT" t "std_logic" o 6 suid 28,0 ) ) ) *167 (CptPort uid 1287,0 ps "OnEdgeStrategy" shape (Triangle uid 1288,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,35625,15000,36375" ) tg (CPTG uid 1289,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1290,0 va (VaSet ) xt "16000,35500,20600,36500" st "D1_SROUT" blo "16000,36300" tm "CptPortNameMgr" ) ) dt (MLText uid 1291,0 va (VaSet font "Courier New,8,0" ) xt "44000,6800,61500,7600" st "D1_SROUT : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "D1_SROUT" t "std_logic" o 7 suid 29,0 ) ) ) *168 (CptPort uid 1292,0 ps "OnEdgeStrategy" shape (Triangle uid 1293,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,37625,15000,38375" ) tg (CPTG uid 1294,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1295,0 va (VaSet ) xt "16000,37500,20600,38500" st "D2_SROUT" blo "16000,38300" tm "CptPortNameMgr" ) ) dt (MLText uid 1296,0 va (VaSet font "Courier New,8,0" ) xt "44000,7600,61500,8400" st "D2_SROUT : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "D2_SROUT" t "std_logic" o 8 suid 30,0 ) ) ) *169 (CptPort uid 1297,0 ps "OnEdgeStrategy" shape (Triangle uid 1298,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,39625,15000,40375" ) tg (CPTG uid 1299,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1300,0 va (VaSet ) xt "16000,39500,20600,40500" st "D3_SROUT" blo "16000,40300" tm "CptPortNameMgr" ) ) dt (MLText uid 1301,0 va (VaSet font "Courier New,8,0" ) xt "44000,8400,61500,9200" st "D3_SROUT : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "D3_SROUT" t "std_logic" o 9 suid 31,0 ) ) ) *170 (CptPort uid 1302,0 ps "OnEdgeStrategy" shape (Triangle uid 1303,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,29625,35750,30375" ) tg (CPTG uid 1304,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1305,0 va (VaSet ) xt "29500,29500,34000,30500" st "D_A : (3:0)" ju 2 blo "34000,30300" tm "CptPortNameMgr" ) t (Text uid 1306,0 va (VaSet ) xt "28300,30500,34000,31500" st "(others => '0')" ju 2 blo "34000,31300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1307,0 va (VaSet font "Courier New,8,0" ) xt "44000,22000,82000,22800" st "D_A : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ; " ) thePort (LogicalPort m 1 decl (Decl n "D_A" t "std_logic_vector" b "(3 DOWNTO 0)" o 26 suid 32,0 i "(others => '0')" ) ) ) *171 (CptPort uid 1308,0 ps "OnEdgeStrategy" shape (Triangle uid 1309,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,31625,35750,32375" ) tg (CPTG uid 1310,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1311,0 va (VaSet ) xt "30500,31500,34000,32500" st "DWRITE" ju 2 blo "34000,32300" tm "CptPortNameMgr" ) t (Text uid 1312,0 va (VaSet ) xt "32800,32500,34000,33500" st "'0'" ju 2 blo "34000,33300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1313,0 va (VaSet font "Courier New,8,0" ) xt "44000,21200,76000,22000" st "DWRITE : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "DWRITE" t "std_logic" o 25 suid 33,0 i "'0'" ) ) ) *172 (CptPort uid 1363,0 ps "OnEdgeStrategy" shape (Triangle uid 1364,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,33625,35750,34375" ) tg (CPTG uid 1365,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1366,0 va (VaSet ) xt "30400,33500,34000,34500" st "DAC_CS" ju 2 blo "34000,34300" tm "CptPortNameMgr" ) ) dt (MLText uid 1367,0 va (VaSet font "Courier New,8,0" ) xt "44000,18800,61500,19600" st "DAC_CS : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "DAC_CS" t "std_logic" o 22 suid 34,0 ) ) ) *173 (CptPort uid 1388,0 ps "OnEdgeStrategy" shape (Triangle uid 1389,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,43625,35750,44375" ) tg (CPTG uid 1390,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1391,0 va (VaSet ) xt "31200,43500,34000,44500" st "S_CLK" ju 2 blo "34000,44300" tm "CptPortNameMgr" ) ) dt (MLText uid 1392,0 va (VaSet font "Courier New,8,0" ) xt "44000,34800,61500,35600" st "S_CLK : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "S_CLK" t "std_logic" o 42 suid 39,0 ) ) ) *174 (CptPort uid 1393,0 ps "OnEdgeStrategy" shape (Triangle uid 1394,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,45625,35750,46375" ) tg (CPTG uid 1395,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1396,0 va (VaSet ) xt "29400,45500,34000,46500" st "W_A : (9:0)" ju 2 blo "34000,46300" tm "CptPortNameMgr" ) ) dt (MLText uid 1397,0 va (VaSet font "Courier New,8,0" ) xt "44000,37200,71500,38000" st "W_A : OUT std_logic_vector (9 DOWNTO 0) ; " ) thePort (LogicalPort m 1 decl (Decl n "W_A" t "std_logic_vector" b "(9 DOWNTO 0)" o 45 suid 40,0 ) ) ) *175 (CptPort uid 1398,0 ps "OnEdgeStrategy" shape (Diamond uid 1399,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,47625,35750,48375" ) tg (CPTG uid 1400,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1401,0 va (VaSet ) xt "28300,47500,34000,48500" st "W_D : (15:0)" ju 2 blo "34000,48300" tm "CptPortNameMgr" ) ) dt (MLText uid 1402,0 va (VaSet font "Courier New,8,0" ) xt "44000,42800,71000,43600" st "W_D : INOUT std_logic_vector (15 DOWNTO 0) " ) thePort (LogicalPort m 2 decl (Decl n "W_D" t "std_logic_vector" b "(15 DOWNTO 0)" o 52 suid 41,0 ) ) ) *176 (CptPort uid 1403,0 ps "OnEdgeStrategy" shape (Triangle uid 1404,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,49625,35750,50375" ) tg (CPTG uid 1405,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1406,0 va (VaSet ) xt "30900,49500,34000,50500" st "W_RES" ju 2 blo "34000,50300" tm "CptPortNameMgr" ) t (Text uid 1407,0 va (VaSet ) xt "32800,50500,34000,51500" st "'1'" ju 2 blo "34000,51300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1408,0 va (VaSet font "Courier New,8,0" ) xt "44000,39600,76000,40400" st "W_RES : OUT std_logic := '1' ; " ) thePort (LogicalPort m 1 decl (Decl n "W_RES" t "std_logic" o 48 suid 42,0 i "'1'" ) ) ) *177 (CptPort uid 1409,0 ps "OnEdgeStrategy" shape (Triangle uid 1410,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,51625,35750,52375" ) tg (CPTG uid 1411,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1412,0 va (VaSet ) xt "31300,51500,34000,52500" st "W_RD" ju 2 blo "34000,52300" tm "CptPortNameMgr" ) t (Text uid 1413,0 va (VaSet ) xt "32800,52500,34000,53500" st "'1'" ju 2 blo "34000,53300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1414,0 va (VaSet font "Courier New,8,0" ) xt "44000,38800,76000,39600" st "W_RD : OUT std_logic := '1' ; " ) thePort (LogicalPort m 1 decl (Decl n "W_RD" t "std_logic" o 47 suid 43,0 i "'1'" ) ) ) *178 (CptPort uid 1415,0 ps "OnEdgeStrategy" shape (Triangle uid 1416,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,53625,35750,54375" ) tg (CPTG uid 1417,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1418,0 va (VaSet ) xt "30800,53500,34000,54500" st "W_WR" ju 2 blo "34000,54300" tm "CptPortNameMgr" ) t (Text uid 1419,0 va (VaSet ) xt "32600,54500,34000,55500" st "'1'" ju 2 blo "34000,55300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1420,0 va (VaSet font "Courier New,8,0" ) xt "44000,41200,76000,42000" st "W_WR : OUT std_logic := '1' ; " ) thePort (LogicalPort m 1 decl (Decl n "W_WR" t "std_logic" o 50 suid 44,0 i "'1'" ) ) ) *179 (CptPort uid 1421,0 ps "OnEdgeStrategy" shape (Triangle uid 1422,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,41625,15000,42375" ) tg (CPTG uid 1423,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1424,0 va (VaSet ) xt "16000,41500,18800,42500" st "W_INT" blo "16000,42300" tm "CptPortNameMgr" ) ) dt (MLText uid 1425,0 va (VaSet font "Courier New,8,0" ) xt "44000,14000,61500,14800" st "W_INT : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "W_INT" t "std_logic" o 16 suid 45,0 ) ) ) *180 (CptPort uid 1426,0 ps "OnEdgeStrategy" shape (Triangle uid 1427,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,55625,35750,56375" ) tg (CPTG uid 1428,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1429,0 va (VaSet ) xt "31400,55500,34000,56500" st "W_CS" ju 2 blo "34000,56300" tm "CptPortNameMgr" ) t (Text uid 1430,0 va (VaSet ) xt "32800,56500,34000,57500" st "'1'" ju 2 blo "34000,57300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1431,0 va (VaSet font "Courier New,8,0" ) xt "44000,38000,76000,38800" st "W_CS : OUT std_logic := '1' ; " ) thePort (LogicalPort m 1 decl (Decl n "W_CS" t "std_logic" o 46 suid 46,0 i "'1'" ) ) ) *181 (CptPort uid 1620,0 ps "OnEdgeStrategy" shape (Triangle uid 1621,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,57625,35750,58375" ) tg (CPTG uid 1622,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1623,0 va (VaSet ) xt "31600,57500,34000,58500" st "MOSI" ju 2 blo "34000,58300" tm "CptPortNameMgr" ) t (Text uid 1624,0 va (VaSet ) xt "32800,58500,34000,59500" st "'0'" ju 2 blo "34000,59300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1625,0 va (VaSet font "Courier New,8,0" ) xt "44000,26000,76000,26800" st "MOSI : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "MOSI" t "std_logic" o 31 suid 47,0 i "'0'" ) ) ) *182 (CptPort uid 1626,0 ps "OnEdgeStrategy" shape (Diamond uid 1627,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,59625,35750,60375" ) tg (CPTG uid 1628,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1629,0 va (VaSet ) xt "31300,59500,34000,60500" st "MISO" ju 2 blo "34000,60300" tm "CptPortNameMgr" ) ) dt (MLText uid 1630,0 va (VaSet font "Courier New,8,0" ) xt "44000,42000,61500,42800" st "MISO : INOUT std_logic ; " ) thePort (LogicalPort m 2 decl (Decl n "MISO" t "std_logic" preAdd 0 posAdd 0 o 51 suid 48,0 ) ) ) *183 (CptPort uid 1681,0 ps "OnEdgeStrategy" shape (Triangle uid 1682,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,63625,35750,64375" ) tg (CPTG uid 1683,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1684,0 va (VaSet ) xt "28400,63500,34000,64500" st "RS485_C_RE" ju 2 blo "34000,64300" tm "CptPortNameMgr" ) ) dt (MLText uid 1685,0 va (VaSet font "Courier New,8,0" ) xt "44000,30000,61500,30800" st "RS485_C_RE : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "RS485_C_RE" t "std_logic" o 36 suid 50,0 ) ) ) *184 (CptPort uid 1686,0 ps "OnEdgeStrategy" shape (Triangle uid 1687,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,65625,35750,66375" ) tg (CPTG uid 1688,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1689,0 va (VaSet ) xt "28400,65500,34000,66500" st "RS485_C_DE" ju 2 blo "34000,66300" tm "CptPortNameMgr" ) ) dt (MLText uid 1690,0 va (VaSet font "Courier New,8,0" ) xt "44000,28400,61500,29200" st "RS485_C_DE : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "RS485_C_DE" t "std_logic" o 34 suid 51,0 ) ) ) *185 (CptPort uid 1691,0 ps "OnEdgeStrategy" shape (Triangle uid 1692,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,67625,35750,68375" ) tg (CPTG uid 1693,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1694,0 va (VaSet ) xt "28500,67500,34000,68500" st "RS485_E_RE" ju 2 blo "34000,68300" tm "CptPortNameMgr" ) ) dt (MLText uid 1695,0 va (VaSet font "Courier New,8,0" ) xt "44000,32400,61500,33200" st "RS485_E_RE : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "RS485_E_RE" t "std_logic" o 39 suid 52,0 ) ) ) *186 (CptPort uid 1696,0 ps "OnEdgeStrategy" shape (Triangle uid 1697,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,69625,35750,70375" ) tg (CPTG uid 1698,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1699,0 va (VaSet ) xt "28500,69500,34000,70500" st "RS485_E_DE" ju 2 blo "34000,70300" tm "CptPortNameMgr" ) ) dt (MLText uid 1700,0 va (VaSet font "Courier New,8,0" ) xt "44000,30800,61500,31600" st "RS485_E_DE : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "RS485_E_DE" t "std_logic" o 37 suid 53,0 ) ) ) *187 (CptPort uid 1701,0 ps "OnEdgeStrategy" shape (Triangle uid 1702,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,71625,35750,72375" ) tg (CPTG uid 1703,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1704,0 va (VaSet ) xt "30000,71500,34000,72500" st "DENABLE" ju 2 blo "34000,72300" tm "CptPortNameMgr" ) t (Text uid 1919,0 va (VaSet ) xt "32800,72500,34000,73500" st "'0'" ju 2 blo "34000,73300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1705,0 va (VaSet font "Courier New,8,0" ) xt "44000,19600,76000,20400" st "DENABLE : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "DENABLE" t "std_logic" o 23 suid 54,0 i "'0'" ) ) ) *188 (CptPort uid 1706,0 ps "OnEdgeStrategy" shape (Triangle uid 1707,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,73625,35750,74375" ) tg (CPTG uid 1708,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1709,0 va (VaSet ) xt "31700,73500,34000,74500" st "SRIN" ju 2 blo "34000,74300" tm "CptPortNameMgr" ) t (Text uid 3982,0 va (VaSet ) xt "32800,74500,34000,75500" st "'0'" ju 2 blo "34000,75300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1710,0 va (VaSet font "Courier New,8,0" ) xt "44000,34000,76000,34800" st "SRIN : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "SRIN" t "std_logic" o 41 suid 55,0 i "'0'" ) ) ) *189 (CptPort uid 1711,0 ps "OnEdgeStrategy" shape (Triangle uid 1712,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,75625,35750,76375" ) tg (CPTG uid 1713,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1714,0 va (VaSet ) xt "31100,75500,34000,76500" st "EE_CS" ju 2 blo "34000,76300" tm "CptPortNameMgr" ) ) dt (MLText uid 1715,0 va (VaSet font "Courier New,8,0" ) xt "44000,24400,61500,25200" st "EE_CS : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "EE_CS" t "std_logic" o 29 suid 56,0 ) ) ) *190 (CptPort uid 2068,0 ps "OnEdgeStrategy" shape (Triangle uid 2069,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,91625,35750,92375" ) tg (CPTG uid 2070,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 2071,0 va (VaSet ) xt "29500,91500,34000,92500" st "D_T : (7:0)" ju 2 blo "34000,92300" tm "CptPortNameMgr" ) t (Text uid 2072,0 va (VaSet ) xt "27100,92500,34000,93500" st "(OTHERS => '0')" ju 2 blo "34000,93300" tm "InitValueDelayMgr" ) ) dt (MLText uid 2073,0 va (VaSet font "Courier New,8,0" ) xt "44000,22800,82000,23600" st "D_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ; " ) thePort (LogicalPort m 1 decl (Decl n "D_T" t "std_logic_vector" b "(7 DOWNTO 0)" o 27 suid 61,0 i "(OTHERS => '0')" ) ) ) *191 (CptPort uid 2919,0 ps "OnEdgeStrategy" shape (Triangle uid 2920,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,45625,15000,46375" ) tg (CPTG uid 2921,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 2922,0 va (VaSet ) xt "16000,45500,22800,46500" st "D_PLLLCK : (3:0)" blo "16000,46300" tm "CptPortNameMgr" ) ) dt (MLText uid 2923,0 va (VaSet font "Courier New,8,0" ) xt "44000,9200,71500,10000" st "D_PLLLCK : IN std_logic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "D_PLLLCK" t "std_logic_vector" b "(3 DOWNTO 0)" o 10 suid 64,0 ) ) ) *192 (CptPort uid 2949,0 ps "OnEdgeStrategy" shape (Triangle uid 2950,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,95625,35750,96375" ) tg (CPTG uid 2951,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 2952,0 va (VaSet ) xt "29100,95500,34000,96500" st "D_T2 : (1:0)" ju 2 blo "34000,96300" tm "CptPortNameMgr" ) t (Text uid 2953,0 va (VaSet ) xt "28300,96500,34000,97500" st "(others => '0')" ju 2 blo "34000,97300" tm "InitValueDelayMgr" ) ) dt (MLText uid 2954,0 va (VaSet font "Courier New,8,0" ) xt "44000,23600,82000,24400" st "D_T2 : OUT std_logic_vector (1 DOWNTO 0) := (others => '0') ; " ) thePort (LogicalPort m 1 decl (Decl n "D_T2" t "std_logic_vector" b "(1 DOWNTO 0)" o 28 suid 65,0 i "(others => '0')" ) ) ) *193 (CptPort uid 3026,0 ps "OnEdgeStrategy" shape (Triangle uid 3027,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,97625,35750,98375" ) tg (CPTG uid 3028,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3029,0 va (VaSet ) xt "29200,97500,34000,98500" st "A1_T : (7:0)" ju 2 blo "34000,98300" tm "CptPortNameMgr" ) t (Text uid 3123,0 va (VaSet ) xt "27100,98500,34000,99500" st "(OTHERS => '0')" ju 2 blo "34000,99300" tm "InitValueDelayMgr" ) ) dt (MLText uid 3030,0 va (VaSet font "Courier New,8,0" ) xt "44000,16400,82000,17200" st "A1_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ; " ) thePort (LogicalPort m 1 decl (Decl n "A1_T" t "std_logic_vector" b "(7 DOWNTO 0)" o 19 suid 66,0 i "(OTHERS => '0')" ) ) ) *194 (CptPort uid 3456,0 ps "OnEdgeStrategy" shape (Triangle uid 3457,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,99625,35750,100375" ) tg (CPTG uid 3458,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3459,0 va (VaSet ) xt "29200,99500,34000,100500" st "A0_T : (7:0)" ju 2 blo "34000,100300" tm "CptPortNameMgr" ) t (Text uid 3460,0 va (VaSet ) xt "28300,100500,34000,101500" st "(others => '0')" ju 2 blo "34000,101300" tm "InitValueDelayMgr" ) ) dt (MLText uid 3461,0 va (VaSet font "Courier New,8,0" ) xt "44000,15600,82000,16400" st "A0_T : OUT std_logic_vector (7 DOWNTO 0) := (others => '0') ; " ) thePort (LogicalPort m 1 decl (Decl n "A0_T" t "std_logic_vector" b "(7 DOWNTO 0)" o 18 suid 68,0 i "(others => '0')" ) ) ) *195 (CptPort uid 3586,0 ps "OnEdgeStrategy" shape (Triangle uid 3587,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,101625,35750,102375" ) tg (CPTG uid 3588,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3589,0 va (VaSet ) xt "28300,101500,34000,102500" st "RS485_C_DO" ju 2 blo "34000,102300" tm "CptPortNameMgr" ) ) dt (MLText uid 3590,0 va (VaSet font "Courier New,8,0" ) xt "44000,29200,61500,30000" st "RS485_C_DO : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "RS485_C_DO" t "std_logic" o 35 suid 70,0 ) ) ) *196 (CptPort uid 3687,0 ps "OnEdgeStrategy" shape (Triangle uid 3688,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,49625,15000,50375" ) tg (CPTG uid 3689,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3690,0 va (VaSet ) xt "16000,49500,21200,50500" st "RS485_E_DI" blo "16000,50300" tm "CptPortNameMgr" ) ) dt (MLText uid 3691,0 va (VaSet font "Courier New,8,0" ) xt "44000,12400,61500,13200" st "RS485_E_DI : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "RS485_E_DI" t "std_logic" o 14 suid 71,0 ) ) ) *197 (CptPort uid 3692,0 ps "OnEdgeStrategy" shape (Triangle uid 4674,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,109625,35750,110375" ) tg (CPTG uid 3694,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3695,0 va (VaSet ) xt "28400,109500,34000,110500" st "RS485_E_DO" ju 2 blo "34000,110300" tm "CptPortNameMgr" ) ) dt (MLText uid 3696,0 va (VaSet font "Courier New,8,0" ) xt "44000,31600,61500,32400" st "RS485_E_DO : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "RS485_E_DO" t "std_logic" o 38 suid 72,0 ) ) ) *198 (CptPort uid 4033,0 ps "OnEdgeStrategy" shape (Triangle uid 4034,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,103625,35750,104375" ) tg (CPTG uid 4035,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4036,0 va (VaSet ) xt "28900,103500,34000,104500" st "AMBER_LED" ju 2 blo "34000,104300" tm "CptPortNameMgr" ) ) dt (MLText uid 4037,0 va (VaSet font "Courier New,8,0" ) xt "44000,17200,61500,18000" st "AMBER_LED : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "AMBER_LED" t "std_logic" o 20 suid 77,0 ) ) ) *199 (CptPort uid 4038,0 ps "OnEdgeStrategy" shape (Triangle uid 4039,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,105625,35750,106375" ) tg (CPTG uid 4040,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4041,0 va (VaSet ) xt "28900,105500,34000,106500" st "GREEN_LED" ju 2 blo "34000,106300" tm "CptPortNameMgr" ) ) dt (MLText uid 4042,0 va (VaSet font "Courier New,8,0" ) xt "44000,25200,61500,26000" st "GREEN_LED : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "GREEN_LED" t "std_logic" o 30 suid 78,0 ) ) ) *200 (CptPort uid 4043,0 ps "OnEdgeStrategy" shape (Triangle uid 4044,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,107625,35750,108375" ) tg (CPTG uid 4045,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4046,0 va (VaSet ) xt "30000,107500,34000,108500" st "RED_LED" ju 2 blo "34000,108300" tm "CptPortNameMgr" ) ) dt (MLText uid 4047,0 va (VaSet font "Courier New,8,0" ) xt "44000,27600,61500,28400" st "RED_LED : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "RED_LED" t "std_logic" o 33 suid 79,0 ) ) ) *201 (CptPort uid 4264,0 ps "OnEdgeStrategy" shape (Triangle uid 4265,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,55625,15000,56375" ) tg (CPTG uid 4266,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4267,0 va (VaSet ) xt "16000,55500,19500,56500" st "REFCLK" blo "16000,56300" tm "CptPortNameMgr" ) ) dt (MLText uid 4268,0 va (VaSet font "Courier New,8,0" ) xt "44000,11600,61500,12400" st "REFCLK : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "REFCLK" t "std_logic" o 13 suid 81,0 ) ) ) *202 (CptPort uid 4294,0 ps "OnEdgeStrategy" shape (Triangle uid 4295,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,57625,15000,58375" ) tg (CPTG uid 4296,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4297,0 va (VaSet ) xt "16000,57500,20700,58500" st "LINE : (5:0)" blo "16000,58300" tm "CptPortNameMgr" ) ) dt (MLText uid 4298,0 va (VaSet font "Courier New,8,0" ) xt "44000,10800,72500,11600" st "LINE : IN std_logic_vector ( 5 DOWNTO 0 ) ; " ) thePort (LogicalPort decl (Decl n "LINE" t "std_logic_vector" b "( 5 DOWNTO 0 )" o 12 suid 82,0 ) ) ) *203 (CptPort uid 4324,0 ps "OnEdgeStrategy" shape (Triangle uid 4325,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,59625,15000,60375" ) tg (CPTG uid 4326,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4327,0 va (VaSet ) xt "16000,59500,21500,60500" st "D_T_in : (1:0)" blo "16000,60300" tm "CptPortNameMgr" ) ) dt (MLText uid 4328,0 va (VaSet font "Courier New,8,0" ) xt "44000,10000,71500,10800" st "D_T_in : IN std_logic_vector (1 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "D_T_in" t "std_logic_vector" b "(1 DOWNTO 0)" o 11 suid 83,0 ) ) ) *204 (CptPort uid 4838,0 ps "OnEdgeStrategy" shape (Triangle uid 4839,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,111625,35750,112375" ) tg (CPTG uid 4840,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4841,0 va (VaSet ) xt "29400,111500,34000,112500" st "TCS : (3:0)" ju 2 blo "34000,112300" tm "CptPortNameMgr" ) ) dt (MLText uid 4842,0 va (VaSet font "Courier New,8,0" ) xt "44000,35600,71500,36400" st "TCS : OUT std_logic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort m 1 decl (Decl n "TCS" t "std_logic_vector" b "(3 DOWNTO 0)" o 43 suid 84,0 ) ) ) *205 (CptPort uid 5126,0 ps "OnEdgeStrategy" shape (Triangle uid 5127,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,113625,35750,114375" ) tg (CPTG uid 5128,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 5129,0 va (VaSet ) xt "27800,113500,34000,114500" st "DSRCLK : (3:0)" ju 2 blo "34000,114300" tm "CptPortNameMgr" ) t (Text uid 5130,0 va (VaSet ) xt "28300,114500,34000,115500" st "(others => '0')" ju 2 blo "34000,115300" tm "InitValueDelayMgr" ) ) dt (MLText uid 5131,0 va (VaSet font "Courier New,8,0" ) xt "44000,20400,82000,21200" st "DSRCLK : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ; " ) thePort (LogicalPort m 1 decl (Decl n "DSRCLK" t "std_logic_vector" b "(3 DOWNTO 0)" o 24 suid 89,0 i "(others => '0')" ) ) ) *206 (CptPort uid 5732,0 ps "OnEdgeStrategy" shape (Triangle uid 5733,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,115625,35750,116375" ) tg (CPTG uid 5734,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 5735,0 va (VaSet ) xt "31000,115500,34000,116500" st "TRG_V" ju 2 blo "34000,116300" tm "CptPortNameMgr" ) t (Text uid 5736,0 va (VaSet ) xt "32800,116500,34000,117500" st "'0'" ju 2 blo "34000,117300" tm "InitValueDelayMgr" ) ) dt (MLText uid 5737,0 va (VaSet font "Courier New,8,0" ) xt "44000,36400,76000,37200" st "TRG_V : OUT std_logic := '0' ; " ) thePort (LogicalPort lang 2 m 1 decl (Decl n "TRG_V" t "std_logic" o 44 suid 90,0 i "'0'" ) ) ) *207 (CptPort uid 6062,0 ps "OnEdgeStrategy" shape (Triangle uid 6063,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "35000,117625,35750,118375" ) tg (CPTG uid 6064,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 6065,0 va (VaSet ) xt "28800,117500,34000,118500" st "W_T : (3:0)" ju 2 blo "34000,118300" tm "CptPortNameMgr" ) t (Text uid 6066,0 va (VaSet ) xt "27700,118500,34000,119500" st "(others => '0')" ju 2 blo "34000,119300" tm "InitValueDelayMgr" ) ) dt (MLText uid 6067,0 va (VaSet font "Courier New,8,0" ) xt "44000,40400,82000,41200" st "W_T : OUT std_logic_vector ( 3 DOWNTO 0 ) := (others => '0') ; " ) thePort (LogicalPort m 1 decl (Decl n "W_T" t "std_logic_vector" b "( 3 DOWNTO 0 )" o 49 suid 91,0 i "(others => '0')" ) ) ) ] shape (Rectangle uid 9,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,6000,35000,121000" ) oxt "15000,6000,33000,26000" biTextGroup (BiTextGroup uid 10,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text uid 11,0 va (VaSet font "Arial,8,1" ) xt "22200,15000,28400,16000" st "FACT_FAD_lib" blo "22200,15800" ) second (Text uid 12,0 va (VaSet font "Arial,8,1" ) xt "22200,16000,26900,17000" st "FAD_Board" blo "22200,16800" ) ) gi *208 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix uid 14,0 text (MLText uid 15,0 va (VaSet font "Courier New,8,0" ) xt "0,12000,11500,12800" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) *209 (Grouping uid 16,0 optionalChildren [ *210 (CommentText uid 18,0 shape (Rectangle uid 19,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,48000,53000,49000" ) oxt "18000,70000,35000,71000" text (MLText uid 20,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,48000,47000,49000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *211 (CommentText uid 21,0 shape (Rectangle uid 22,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "53000,44000,57000,45000" ) oxt "35000,66000,39000,67000" text (MLText uid 23,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "53200,44000,56500,45000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *212 (CommentText uid 24,0 shape (Rectangle uid 25,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,46000,53000,47000" ) oxt "18000,68000,35000,69000" text (MLText uid 26,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,46000,47100,47000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *213 (CommentText uid 27,0 shape (Rectangle uid 28,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,46000,36000,47000" ) oxt "14000,68000,18000,69000" text (MLText uid 29,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,46000,34500,47000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *214 (CommentText uid 30,0 shape (Rectangle uid 31,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "53000,45000,73000,49000" ) oxt "35000,67000,55000,71000" text (MLText uid 32,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "53200,45200,63000,46200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *215 (CommentText uid 33,0 shape (Rectangle uid 34,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "57000,44000,73000,45000" ) oxt "39000,66000,55000,67000" text (MLText uid 35,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "57200,44000,61900,45000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *216 (CommentText uid 36,0 shape (Rectangle uid 37,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,44000,53000,46000" ) oxt "14000,66000,35000,68000" text (MLText uid 38,0 va (VaSet fg "32768,0,0" ) xt "39450,44000,45550,46000" st " TU Dortmund Physik / EE " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *217 (CommentText uid 39,0 shape (Rectangle uid 40,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,47000,36000,48000" ) oxt "14000,69000,18000,70000" text (MLText uid 41,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,47000,34500,48000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *218 (CommentText uid 42,0 shape (Rectangle uid 43,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,48000,36000,49000" ) oxt "14000,70000,18000,71000" text (MLText uid 44,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,48000,35300,49000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *219 (CommentText uid 45,0 shape (Rectangle uid 46,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,47000,53000,48000" ) oxt "18000,69000,35000,70000" text (MLText uid 47,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,47000,51000,48000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 17,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "32000,44000,73000,49000" ) oxt "14000,66000,55000,71000" ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *220 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ *221 (Text uid 49,0 va (VaSet font "Arial,8,1" ) xt "0,0,5400,1000" st "Package List" blo "0,800" ) *222 (MLText uid 50,0 va (VaSet ) xt "0,1000,11900,4000" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all;" tm "PackageList" ) ] ) windowSize "0,0,1015,690" viewArea "0,0,0,0" cachedDiagramExtent "0,0,0,0" pageBreakOrigin "0,0" defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2400,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) parentGraphicsRef (HdmGraphicsRef libraryName "" entityName "" viewName "" ) defaultSymbolBody (SymbolBody shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,6000,33000,26000" ) biTextGroup (BiTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet font "Arial,8,1" ) xt "22200,15000,25800,16000" st "" blo "22200,15800" ) second (Text va (VaSet font "Arial,8,1" ) xt "22200,16000,24800,17000" st "" blo "22200,16800" ) ) gi *223 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "0,12000,11500,12800" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) defaultCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1400,1750" st "In0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "In0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) defaultCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,2800,1750" st "Buffer0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 3 decl (Decl n "Buffer0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) DeclarativeBlock *224 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text uid 2,0 va (VaSet font "Arial,8,1" ) xt "42000,0,47400,1000" st "Declarations" blo "42000,800" ) portLabel (Text uid 3,0 va (VaSet font "Arial,8,1" ) xt "42000,1000,44700,2000" st "Ports:" blo "42000,1800" ) externalLabel (Text uid 4,0 va (VaSet font "Arial,8,1" ) xt "42000,43600,44400,44600" st "User:" blo "42000,44400" ) internalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "42000,0,47800,1000" st "Internal User:" blo "42000,800" ) externalText (MLText uid 5,0 va (VaSet font "Courier New,8,0" ) xt "44000,44600,44000,44600" tm "SyDeclarativeTextMgr" ) internalText (MLText uid 7,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) lastUid 6189,0 activeModelName "Symbol:CDM" )