source: firmware/FAD/FACT_FAD_lib/hds/@f@a@d_main/symbol.sb@ 13147

Last change on this file since 13147 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 61.7 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "FACT_FAD_lib"
15unitName "fad_definitions"
16)
17]
18libraryRefs [
19"ieee"
20"FACT_FAD_lib"
21]
22)
23version "24.1"
24appVersion "2009.1 (Build 12)"
25model (Symbol
26commonDM (CommonDM
27ldm (LogicalDM
28suid 111,0
29usingSuid 1
30emptyRow *1 (LEmptyRow
31)
32uid 53,0
33optionalChildren [
34*2 (RefLabelRowHdr
35)
36*3 (TitleRowHdr
37)
38*4 (FilterRowHdr
39)
40*5 (RefLabelColHdr
41tm "RefLabelColHdrMgr"
42)
43*6 (RowExpandColHdr
44tm "RowExpandColHdrMgr"
45)
46*7 (GroupColHdr
47tm "GroupColHdrMgr"
48)
49*8 (NameColHdr
50tm "NameColHdrMgr"
51)
52*9 (ModeColHdr
53tm "ModeColHdrMgr"
54)
55*10 (TypeColHdr
56tm "TypeColHdrMgr"
57)
58*11 (BoundsColHdr
59tm "BoundsColHdrMgr"
60)
61*12 (InitColHdr
62tm "InitColHdrMgr"
63)
64*13 (EolColHdr
65tm "EolColHdrMgr"
66)
67*14 (LogPort
68port (LogicalPort
69m 1
70decl (Decl
71n "wiz_reset"
72t "std_logic"
73o 50
74suid 2,0
75i "'1'"
76)
77)
78uid 111,0
79)
80*15 (LogPort
81port (LogicalPort
82m 1
83decl (Decl
84n "led"
85t "std_logic_vector"
86b "(7 DOWNTO 0)"
87posAdd 0
88o 38
89suid 7,0
90i "(OTHERS => '0')"
91)
92)
93uid 121,0
94)
95*16 (LogPort
96port (LogicalPort
97decl (Decl
98n "trigger"
99t "std_logic"
100preAdd 0
101posAdd 0
102o 14
103suid 18,0
104)
105)
106uid 453,0
107)
108*17 (LogPort
109port (LogicalPort
110m 1
111decl (Decl
112n "adc_oeb"
113t "std_logic"
114o 26
115suid 21,0
116i "'1'"
117)
118)
119uid 825,0
120)
121*18 (LogPort
122port (LogicalPort
123decl (Decl
124n "board_id"
125t "std_logic_vector"
126b "(3 DOWNTO 0)"
127o 10
128suid 24,0
129)
130)
131uid 920,0
132)
133*19 (LogPort
134port (LogicalPort
135decl (Decl
136n "crate_id"
137t "std_logic_vector"
138b "(1 DOWNTO 0)"
139o 11
140suid 25,0
141)
142)
143uid 922,0
144)
145*20 (LogPort
146port (LogicalPort
147m 1
148decl (Decl
149n "wiz_addr"
150t "std_logic_vector"
151b "(9 DOWNTO 0)"
152o 47
153suid 26,0
154)
155)
156uid 1026,0
157)
158*21 (LogPort
159port (LogicalPort
160m 2
161decl (Decl
162n "wiz_data"
163t "std_logic_vector"
164b "(15 DOWNTO 0)"
165o 53
166suid 27,0
167)
168)
169uid 1028,0
170)
171*22 (LogPort
172port (LogicalPort
173m 1
174decl (Decl
175n "wiz_cs"
176t "std_logic"
177o 48
178suid 28,0
179i "'1'"
180)
181)
182uid 1030,0
183)
184*23 (LogPort
185port (LogicalPort
186m 1
187decl (Decl
188n "wiz_wr"
189t "std_logic"
190o 51
191suid 29,0
192i "'1'"
193)
194)
195uid 1032,0
196)
197*24 (LogPort
198port (LogicalPort
199m 1
200decl (Decl
201n "wiz_rd"
202t "std_logic"
203o 49
204suid 30,0
205i "'1'"
206)
207)
208uid 1034,0
209)
210*25 (LogPort
211port (LogicalPort
212decl (Decl
213n "wiz_int"
214t "std_logic"
215o 15
216suid 31,0
217)
218)
219uid 1036,0
220)
221*26 (LogPort
222port (LogicalPort
223m 1
224decl (Decl
225n "CLK_25_PS"
226t "std_logic"
227o 17
228suid 35,0
229)
230)
231uid 1388,0
232)
233*27 (LogPort
234port (LogicalPort
235m 1
236decl (Decl
237n "CLK_50"
238t "std_logic"
239preAdd 0
240posAdd 0
241o 18
242suid 37,0
243)
244)
245uid 1724,0
246)
247*28 (LogPort
248port (LogicalPort
249decl (Decl
250n "CLK"
251t "std_logic"
252o 1
253suid 38,0
254)
255)
256uid 1754,0
257)
258*29 (LogPort
259port (LogicalPort
260decl (Decl
261n "adc_otr_array"
262t "std_logic_vector"
263b "(3 DOWNTO 0)"
264o 9
265suid 40,0
266)
267)
268uid 1975,0
269)
270*30 (LogPort
271port (LogicalPort
272decl (Decl
273n "adc_data_array"
274t "adc_data_array_type"
275o 8
276suid 41,0
277)
278)
279uid 2281,0
280)
281*31 (LogPort
282port (LogicalPort
283m 1
284decl (Decl
285n "drs_channel_id"
286t "std_logic_vector"
287b "(3 downto 0)"
288o 35
289suid 48,0
290i "(others => '0')"
291)
292)
293uid 2415,0
294)
295*32 (LogPort
296port (LogicalPort
297m 1
298decl (Decl
299n "drs_dwrite"
300t "std_logic"
301o 36
302suid 49,0
303i "'1'"
304)
305)
306uid 2417,0
307)
308*33 (LogPort
309port (LogicalPort
310decl (Decl
311n "SROUT_in_0"
312t "std_logic"
313o 4
314suid 52,0
315)
316)
317uid 2693,0
318)
319*34 (LogPort
320port (LogicalPort
321decl (Decl
322n "SROUT_in_1"
323t "std_logic"
324o 5
325suid 53,0
326)
327)
328uid 2695,0
329)
330*35 (LogPort
331port (LogicalPort
332decl (Decl
333n "SROUT_in_2"
334t "std_logic"
335o 6
336suid 54,0
337)
338)
339uid 2697,0
340)
341*36 (LogPort
342port (LogicalPort
343decl (Decl
344n "SROUT_in_3"
345t "std_logic"
346o 7
347suid 55,0
348)
349)
350uid 2699,0
351)
352*37 (LogPort
353port (LogicalPort
354m 1
355decl (Decl
356n "RSRLOAD"
357t "std_logic"
358o 23
359suid 56,0
360i "'0'"
361)
362)
363uid 2984,0
364)
365*38 (LogPort
366port (LogicalPort
367m 1
368decl (Decl
369n "SRCLK"
370t "std_logic"
371o 24
372suid 57,0
373i "'0'"
374)
375)
376uid 2986,0
377)
378*39 (LogPort
379port (LogicalPort
380m 1
381decl (Decl
382n "sclk"
383t "std_logic"
384o 42
385suid 62,0
386)
387)
388uid 3624,0
389)
390*40 (LogPort
391port (LogicalPort
392m 2
393decl (Decl
394n "sio"
395t "std_logic"
396preAdd 0
397posAdd 0
398o 52
399suid 63,0
400)
401)
402uid 3626,0
403)
404*41 (LogPort
405port (LogicalPort
406m 1
407decl (Decl
408n "dac_cs"
409t "std_logic"
410o 31
411suid 64,0
412)
413)
414uid 3628,0
415)
416*42 (LogPort
417port (LogicalPort
418m 1
419decl (Decl
420n "sensor_cs"
421t "std_logic_vector"
422b "(3 DOWNTO 0)"
423o 43
424suid 65,0
425)
426)
427uid 3630,0
428)
429*43 (LogPort
430port (LogicalPort
431m 1
432decl (Decl
433n "mosi"
434t "std_logic"
435o 40
436suid 66,0
437i "'0'"
438)
439)
440uid 4066,0
441)
442*44 (LogPort
443port (LogicalPort
444m 1
445decl (Decl
446n "denable"
447t "std_logic"
448eolc "-- default domino wave off"
449posAdd 0
450o 34
451suid 67,0
452i "'0'"
453)
454)
455uid 4143,0
456)
457*45 (LogPort
458port (LogicalPort
459m 1
460decl (Decl
461n "SRIN_out"
462t "std_logic"
463o 25
464suid 85,0
465i "'0'"
466)
467)
468uid 4779,0
469)
470*46 (LogPort
471port (LogicalPort
472m 1
473decl (Decl
474n "green"
475t "std_logic"
476o 37
477suid 86,0
478)
479)
480uid 4901,0
481)
482*47 (LogPort
483port (LogicalPort
484m 1
485decl (Decl
486n "amber"
487t "std_logic"
488o 29
489suid 87,0
490)
491)
492uid 4903,0
493)
494*48 (LogPort
495port (LogicalPort
496m 1
497decl (Decl
498n "red"
499t "std_logic"
500o 41
501suid 88,0
502)
503)
504uid 4905,0
505)
506*49 (LogPort
507port (LogicalPort
508decl (Decl
509n "D_T_in"
510t "std_logic_vector"
511b "(1 DOWNTO 0)"
512o 2
513suid 91,0
514)
515)
516uid 5327,0
517)
518*50 (LogPort
519port (LogicalPort
520decl (Decl
521n "drs_refclk_in"
522t "std_logic"
523eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
524o 12
525suid 92,0
526)
527)
528uid 5426,0
529)
530*51 (LogPort
531port (LogicalPort
532decl (Decl
533n "plllock_in"
534t "std_logic_vector"
535b "(3 DOWNTO 0)"
536eolc "-- high level, if dominowave is running and DRS PLL locked"
537o 13
538suid 93,0
539)
540)
541uid 5502,0
542)
543*52 (LogPort
544port (LogicalPort
545m 1
546decl (Decl
547n "counter_result"
548t "std_logic_vector"
549b "(11 DOWNTO 0)"
550o 30
551suid 94,0
552)
553)
554uid 5624,0
555)
556*53 (LogPort
557port (LogicalPort
558m 1
559decl (Decl
560n "alarm_refclk_too_high"
561t "std_logic"
562o 27
563suid 95,0
564)
565)
566uid 5626,0
567)
568*54 (LogPort
569port (LogicalPort
570m 1
571decl (Decl
572n "alarm_refclk_too_low"
573t "std_logic"
574posAdd 0
575o 28
576suid 96,0
577)
578)
579uid 5628,0
580)
581*55 (LogPort
582port (LogicalPort
583lang 2
584m 1
585decl (Decl
586n "ADC_CLK"
587t "std_logic"
588o 16
589suid 97,0
590)
591)
592uid 6703,0
593)
594*56 (LogPort
595port (LogicalPort
596m 1
597decl (Decl
598n "trigger_veto"
599t "std_logic"
600o 45
601suid 98,0
602i "'1'"
603)
604)
605uid 7538,0
606)
607*57 (LogPort
608port (LogicalPort
609decl (Decl
610n "FTM_RS485_rx_d"
611t "std_logic"
612o 3
613suid 99,0
614)
615)
616uid 7614,0
617)
618*58 (LogPort
619port (LogicalPort
620m 1
621decl (Decl
622n "FTM_RS485_tx_d"
623t "std_logic"
624o 21
625suid 100,0
626)
627)
628uid 7616,0
629)
630*59 (LogPort
631port (LogicalPort
632m 1
633decl (Decl
634n "FTM_RS485_rx_en"
635t "std_logic"
636o 20
637suid 101,0
638)
639)
640uid 7618,0
641)
642*60 (LogPort
643port (LogicalPort
644m 1
645decl (Decl
646n "FTM_RS485_tx_en"
647t "std_logic"
648o 22
649suid 102,0
650)
651)
652uid 7620,0
653)
654*61 (LogPort
655port (LogicalPort
656m 1
657decl (Decl
658n "w5300_state"
659t "std_logic_vector"
660b "(7 DOWNTO 0)"
661eolc "-- state is encoded here ... useful for debugging."
662posAdd 0
663o 46
664suid 103,0
665)
666)
667uid 7849,0
668)
669*62 (LogPort
670port (LogicalPort
671m 1
672decl (Decl
673n "debug_data_ram_empty"
674t "std_logic"
675o 32
676suid 104,0
677)
678)
679uid 7879,0
680)
681*63 (LogPort
682port (LogicalPort
683m 1
684decl (Decl
685n "debug_data_valid"
686t "std_logic"
687o 33
688suid 105,0
689)
690)
691uid 7881,0
692)
693*64 (LogPort
694port (LogicalPort
695lang 2
696m 1
697decl (Decl
698n "mem_manager_state"
699t "std_logic_vector"
700b "(3 DOWNTO 0)"
701eolc "-- state is encoded here ... useful for debugging."
702posAdd 0
703o 39
704suid 106,0
705)
706)
707uid 7962,0
708)
709*65 (LogPort
710port (LogicalPort
711m 1
712decl (Decl
713n "DG_state"
714t "std_logic_vector"
715b "(7 downto 0)"
716prec "-- for debugging"
717preAdd 0
718o 19
719suid 108,0
720)
721)
722uid 8022,0
723)
724*66 (LogPort
725port (LogicalPort
726m 1
727decl (Decl
728n "socket_tx_free_out"
729t "std_logic_vector"
730b "(16 DOWNTO 0)"
731eolc "-- 17bit value .. that's true"
732posAdd 0
733o 44
734suid 109,0
735)
736)
737uid 8282,0
738)
739]
740)
741pdm (PhysicalDM
742displayShortBounds 1
743editShortBounds 1
744uid 66,0
745optionalChildren [
746*67 (Sheet
747sheetRow (SheetRow
748headerVa (MVa
749cellColor "49152,49152,49152"
750fontColor "0,0,0"
751font "Tahoma,10,0"
752)
753cellVa (MVa
754cellColor "65535,65535,65535"
755fontColor "0,0,0"
756font "Tahoma,10,0"
757)
758groupVa (MVa
759cellColor "39936,56832,65280"
760fontColor "0,0,0"
761font "Tahoma,10,0"
762)
763emptyMRCItem *68 (MRCItem
764litem &1
765pos 17
766dimension 20
767)
768uid 68,0
769optionalChildren [
770*69 (MRCItem
771litem &2
772pos 0
773dimension 20
774uid 69,0
775)
776*70 (MRCItem
777litem &3
778pos 1
779dimension 23
780uid 70,0
781)
782*71 (MRCItem
783litem &4
784pos 2
785hidden 1
786dimension 20
787uid 71,0
788)
789*72 (MRCItem
790litem &14
791pos 0
792dimension 20
793uid 110,0
794)
795*73 (MRCItem
796litem &15
797pos 24
798dimension 20
799uid 120,0
800)
801*74 (MRCItem
802litem &16
803pos 7
804dimension 20
805uid 452,0
806)
807*75 (MRCItem
808litem &17
809pos 10
810dimension 20
811uid 824,0
812)
813*76 (MRCItem
814litem &18
815pos 8
816dimension 20
817uid 919,0
818)
819*77 (MRCItem
820litem &19
821pos 9
822dimension 20
823uid 921,0
824)
825*78 (MRCItem
826litem &20
827pos 1
828dimension 20
829uid 1025,0
830)
831*79 (MRCItem
832litem &21
833pos 2
834dimension 20
835uid 1027,0
836)
837*80 (MRCItem
838litem &22
839pos 3
840dimension 20
841uid 1029,0
842)
843*81 (MRCItem
844litem &23
845pos 4
846dimension 20
847uid 1031,0
848)
849*82 (MRCItem
850litem &24
851pos 5
852dimension 20
853uid 1033,0
854)
855*83 (MRCItem
856litem &25
857pos 6
858dimension 20
859uid 1035,0
860)
861*84 (MRCItem
862litem &26
863pos 11
864dimension 20
865uid 1387,0
866)
867*85 (MRCItem
868litem &27
869pos 12
870dimension 20
871uid 1723,0
872)
873*86 (MRCItem
874litem &28
875pos 13
876dimension 20
877uid 1753,0
878)
879*87 (MRCItem
880litem &29
881pos 14
882dimension 20
883uid 1974,0
884)
885*88 (MRCItem
886litem &30
887pos 15
888dimension 20
889uid 2280,0
890)
891*89 (MRCItem
892litem &31
893pos 16
894dimension 20
895uid 2414,0
896)
897*90 (MRCItem
898litem &32
899pos 17
900dimension 20
901uid 2416,0
902)
903*91 (MRCItem
904litem &33
905pos 18
906dimension 20
907uid 2692,0
908)
909*92 (MRCItem
910litem &34
911pos 19
912dimension 20
913uid 2694,0
914)
915*93 (MRCItem
916litem &35
917pos 20
918dimension 20
919uid 2696,0
920)
921*94 (MRCItem
922litem &36
923pos 21
924dimension 20
925uid 2698,0
926)
927*95 (MRCItem
928litem &37
929pos 22
930dimension 20
931uid 2983,0
932)
933*96 (MRCItem
934litem &38
935pos 23
936dimension 20
937uid 2985,0
938)
939*97 (MRCItem
940litem &39
941pos 25
942dimension 20
943uid 3623,0
944)
945*98 (MRCItem
946litem &40
947pos 26
948dimension 20
949uid 3625,0
950)
951*99 (MRCItem
952litem &41
953pos 27
954dimension 20
955uid 3627,0
956)
957*100 (MRCItem
958litem &42
959pos 28
960dimension 20
961uid 3629,0
962)
963*101 (MRCItem
964litem &43
965pos 29
966dimension 20
967uid 4065,0
968)
969*102 (MRCItem
970litem &44
971pos 30
972dimension 20
973uid 4142,0
974)
975*103 (MRCItem
976litem &45
977pos 31
978dimension 20
979uid 4778,0
980)
981*104 (MRCItem
982litem &46
983pos 32
984dimension 20
985uid 4900,0
986)
987*105 (MRCItem
988litem &47
989pos 33
990dimension 20
991uid 4902,0
992)
993*106 (MRCItem
994litem &48
995pos 34
996dimension 20
997uid 4904,0
998)
999*107 (MRCItem
1000litem &49
1001pos 35
1002dimension 20
1003uid 5326,0
1004)
1005*108 (MRCItem
1006litem &50
1007pos 36
1008dimension 20
1009uid 5425,0
1010)
1011*109 (MRCItem
1012litem &51
1013pos 37
1014dimension 20
1015uid 5501,0
1016)
1017*110 (MRCItem
1018litem &52
1019pos 40
1020dimension 20
1021uid 5623,0
1022)
1023*111 (MRCItem
1024litem &53
1025pos 38
1026dimension 20
1027uid 5625,0
1028)
1029*112 (MRCItem
1030litem &54
1031pos 39
1032dimension 20
1033uid 5627,0
1034)
1035*113 (MRCItem
1036litem &55
1037pos 41
1038dimension 20
1039uid 6702,0
1040)
1041*114 (MRCItem
1042litem &56
1043pos 42
1044dimension 20
1045uid 7537,0
1046)
1047*115 (MRCItem
1048litem &57
1049pos 43
1050dimension 20
1051uid 7613,0
1052)
1053*116 (MRCItem
1054litem &58
1055pos 44
1056dimension 20
1057uid 7615,0
1058)
1059*117 (MRCItem
1060litem &59
1061pos 45
1062dimension 20
1063uid 7617,0
1064)
1065*118 (MRCItem
1066litem &60
1067pos 46
1068dimension 20
1069uid 7619,0
1070)
1071*119 (MRCItem
1072litem &61
1073pos 47
1074dimension 20
1075uid 7848,0
1076)
1077*120 (MRCItem
1078litem &62
1079pos 48
1080dimension 20
1081uid 7878,0
1082)
1083*121 (MRCItem
1084litem &63
1085pos 49
1086dimension 20
1087uid 7880,0
1088)
1089*122 (MRCItem
1090litem &64
1091pos 50
1092dimension 20
1093uid 7961,0
1094)
1095*123 (MRCItem
1096litem &65
1097pos 51
1098dimension 20
1099uid 8021,0
1100)
1101*124 (MRCItem
1102litem &66
1103pos 52
1104dimension 20
1105uid 8281,0
1106)
1107]
1108)
1109sheetCol (SheetCol
1110propVa (MVa
1111cellColor "0,49152,49152"
1112fontColor "0,0,0"
1113font "Tahoma,10,0"
1114textAngle 90
1115)
1116uid 72,0
1117optionalChildren [
1118*125 (MRCItem
1119litem &5
1120pos 0
1121dimension 20
1122uid 73,0
1123)
1124*126 (MRCItem
1125litem &7
1126pos 1
1127dimension 50
1128uid 74,0
1129)
1130*127 (MRCItem
1131litem &8
1132pos 2
1133dimension 100
1134uid 75,0
1135)
1136*128 (MRCItem
1137litem &9
1138pos 3
1139dimension 50
1140uid 76,0
1141)
1142*129 (MRCItem
1143litem &10
1144pos 4
1145dimension 100
1146uid 77,0
1147)
1148*130 (MRCItem
1149litem &11
1150pos 5
1151dimension 100
1152uid 78,0
1153)
1154*131 (MRCItem
1155litem &12
1156pos 6
1157dimension 50
1158uid 79,0
1159)
1160*132 (MRCItem
1161litem &13
1162pos 7
1163dimension 80
1164uid 80,0
1165)
1166]
1167)
1168fixedCol 4
1169fixedRow 2
1170name "Ports"
1171uid 67,0
1172vaOverrides [
1173]
1174)
1175]
1176)
1177uid 52,0
1178)
1179genericsCommonDM (CommonDM
1180ldm (LogicalDM
1181emptyRow *133 (LEmptyRow
1182)
1183uid 82,0
1184optionalChildren [
1185*134 (RefLabelRowHdr
1186)
1187*135 (TitleRowHdr
1188)
1189*136 (FilterRowHdr
1190)
1191*137 (RefLabelColHdr
1192tm "RefLabelColHdrMgr"
1193)
1194*138 (RowExpandColHdr
1195tm "RowExpandColHdrMgr"
1196)
1197*139 (GroupColHdr
1198tm "GroupColHdrMgr"
1199)
1200*140 (NameColHdr
1201tm "GenericNameColHdrMgr"
1202)
1203*141 (TypeColHdr
1204tm "GenericTypeColHdrMgr"
1205)
1206*142 (InitColHdr
1207tm "GenericValueColHdrMgr"
1208)
1209*143 (PragmaColHdr
1210tm "GenericPragmaColHdrMgr"
1211)
1212*144 (EolColHdr
1213tm "GenericEolColHdrMgr"
1214)
1215*145 (LogGeneric
1216generic (GiElement
1217name "RAMADDRWIDTH64b"
1218type "integer"
1219value "12"
1220)
1221uid 4249,0
1222)
1223]
1224)
1225pdm (PhysicalDM
1226displayShortBounds 1
1227editShortBounds 1
1228uid 94,0
1229optionalChildren [
1230*146 (Sheet
1231sheetRow (SheetRow
1232headerVa (MVa
1233cellColor "49152,49152,49152"
1234fontColor "0,0,0"
1235font "Tahoma,10,0"
1236)
1237cellVa (MVa
1238cellColor "65535,65535,65535"
1239fontColor "0,0,0"
1240font "Tahoma,10,0"
1241)
1242groupVa (MVa
1243cellColor "39936,56832,65280"
1244fontColor "0,0,0"
1245font "Tahoma,10,0"
1246)
1247emptyMRCItem *147 (MRCItem
1248litem &133
1249pos 0
1250dimension 20
1251)
1252uid 96,0
1253optionalChildren [
1254*148 (MRCItem
1255litem &134
1256pos 0
1257dimension 20
1258uid 97,0
1259)
1260*149 (MRCItem
1261litem &135
1262pos 1
1263dimension 23
1264uid 98,0
1265)
1266*150 (MRCItem
1267litem &136
1268pos 2
1269hidden 1
1270dimension 20
1271uid 99,0
1272)
1273*151 (MRCItem
1274litem &145
1275pos 0
1276dimension 20
1277uid 4248,0
1278)
1279]
1280)
1281sheetCol (SheetCol
1282propVa (MVa
1283cellColor "0,49152,49152"
1284fontColor "0,0,0"
1285font "Tahoma,10,0"
1286textAngle 90
1287)
1288uid 100,0
1289optionalChildren [
1290*152 (MRCItem
1291litem &137
1292pos 0
1293dimension 20
1294uid 101,0
1295)
1296*153 (MRCItem
1297litem &139
1298pos 1
1299dimension 50
1300uid 102,0
1301)
1302*154 (MRCItem
1303litem &140
1304pos 2
1305dimension 100
1306uid 103,0
1307)
1308*155 (MRCItem
1309litem &141
1310pos 3
1311dimension 100
1312uid 104,0
1313)
1314*156 (MRCItem
1315litem &142
1316pos 4
1317dimension 50
1318uid 105,0
1319)
1320*157 (MRCItem
1321litem &143
1322pos 5
1323dimension 50
1324uid 106,0
1325)
1326*158 (MRCItem
1327litem &144
1328pos 6
1329dimension 80
1330uid 107,0
1331)
1332]
1333)
1334fixedCol 3
1335fixedRow 2
1336name "Ports"
1337uid 95,0
1338vaOverrides [
1339]
1340)
1341]
1342)
1343uid 81,0
1344type 1
1345)
1346VExpander (VariableExpander
1347vvMap [
1348(vvPair
1349variable "HDLDir"
1350value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
1351)
1352(vvPair
1353variable "HDSDir"
1354value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1355)
1356(vvPair
1357variable "SideDataDesignDir"
1358value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.info"
1359)
1360(vvPair
1361variable "SideDataUserDir"
1362value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.user"
1363)
1364(vvPair
1365variable "SourceDir"
1366value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1367)
1368(vvPair
1369variable "appl"
1370value "HDL Designer"
1371)
1372(vvPair
1373variable "arch_name"
1374value "symbol"
1375)
1376(vvPair
1377variable "config"
1378value "%(unit)_%(view)_config"
1379)
1380(vvPair
1381variable "d"
1382value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
1383)
1384(vvPair
1385variable "d_logical"
1386value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
1387)
1388(vvPair
1389variable "date"
1390value "09.06.2011"
1391)
1392(vvPair
1393variable "day"
1394value "Do"
1395)
1396(vvPair
1397variable "day_long"
1398value "Donnerstag"
1399)
1400(vvPair
1401variable "dd"
1402value "09"
1403)
1404(vvPair
1405variable "entity_name"
1406value "FAD_main"
1407)
1408(vvPair
1409variable "ext"
1410value "<TBD>"
1411)
1412(vvPair
1413variable "f"
1414value "symbol.sb"
1415)
1416(vvPair
1417variable "f_logical"
1418value "symbol.sb"
1419)
1420(vvPair
1421variable "f_noext"
1422value "symbol"
1423)
1424(vvPair
1425variable "group"
1426value "UNKNOWN"
1427)
1428(vvPair
1429variable "host"
1430value "IHP110"
1431)
1432(vvPair
1433variable "language"
1434value "VHDL"
1435)
1436(vvPair
1437variable "library"
1438value "FACT_FAD_lib"
1439)
1440(vvPair
1441variable "library_downstream_HdsLintPlugin"
1442value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
1443)
1444(vvPair
1445variable "library_downstream_ISEPARInvoke"
1446value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1447)
1448(vvPair
1449variable "library_downstream_ImpactInvoke"
1450value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1451)
1452(vvPair
1453variable "library_downstream_ModelSimCompiler"
1454value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
1455)
1456(vvPair
1457variable "library_downstream_PrecisionSynthesisDataPrep"
1458value "$HDS_PROJECT_DIR/FACT_FAD_lib/ps"
1459)
1460(vvPair
1461variable "library_downstream_XSTDataPrep"
1462value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1463)
1464(vvPair
1465variable "mm"
1466value "06"
1467)
1468(vvPair
1469variable "module_name"
1470value "FAD_main"
1471)
1472(vvPair
1473variable "month"
1474value "Jun"
1475)
1476(vvPair
1477variable "month_long"
1478value "Juni"
1479)
1480(vvPair
1481variable "p"
1482value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb"
1483)
1484(vvPair
1485variable "p_logical"
1486value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\symbol.sb"
1487)
1488(vvPair
1489variable "package_name"
1490value "<Undefined Variable>"
1491)
1492(vvPair
1493variable "project_name"
1494value "FACT_FAD"
1495)
1496(vvPair
1497variable "series"
1498value "HDL Designer Series"
1499)
1500(vvPair
1501variable "task_DesignCompilerPath"
1502value "<TBD>"
1503)
1504(vvPair
1505variable "task_LeonardoPath"
1506value "<TBD>"
1507)
1508(vvPair
1509variable "task_ModelSimPath"
1510value "D:\\modeltech_6.5e\\win32"
1511)
1512(vvPair
1513variable "task_NC-SimPath"
1514value "<TBD>"
1515)
1516(vvPair
1517variable "task_PrecisionRTLPath"
1518value "<TBD>"
1519)
1520(vvPair
1521variable "task_QuestaSimPath"
1522value "<TBD>"
1523)
1524(vvPair
1525variable "task_VCSPath"
1526value "<TBD>"
1527)
1528(vvPair
1529variable "this_ext"
1530value "sb"
1531)
1532(vvPair
1533variable "this_file"
1534value "symbol"
1535)
1536(vvPair
1537variable "this_file_logical"
1538value "symbol"
1539)
1540(vvPair
1541variable "time"
1542value "16:55:25"
1543)
1544(vvPair
1545variable "unit"
1546value "FAD_main"
1547)
1548(vvPair
1549variable "user"
1550value "daqct3"
1551)
1552(vvPair
1553variable "version"
1554value "2009.1 (Build 12)"
1555)
1556(vvPair
1557variable "view"
1558value "symbol"
1559)
1560(vvPair
1561variable "year"
1562value "2011"
1563)
1564(vvPair
1565variable "yy"
1566value "11"
1567)
1568]
1569)
1570LanguageMgr "VhdlLangMgr"
1571uid 51,0
1572optionalChildren [
1573*159 (SymbolBody
1574uid 8,0
1575optionalChildren [
1576*160 (CptPort
1577uid 135,0
1578ps "OnEdgeStrategy"
1579shape (Triangle
1580uid 136,0
1581ro 90
1582va (VaSet
1583vasetType 1
1584fg "0,65535,0"
1585)
1586xt "43000,-3375,43750,-2625"
1587)
1588tg (CPTG
1589uid 137,0
1590ps "CptPortTextPlaceStrategy"
1591stg "RightVerticalLayoutStrategy"
1592f (Text
1593uid 138,0
1594va (VaSet
1595)
1596xt "37800,-3500,42000,-2500"
1597st "wiz_reset"
1598ju 2
1599blo "42000,-2700"
1600tm "CptPortNameMgr"
1601)
1602)
1603dt (MLText
1604uid 140,0
1605va (VaSet
1606font "Courier New,8,0"
1607)
1608xt "44000,42000,80500,42800"
1609st "wiz_reset : OUT std_logic := '1' ;
1610"
1611)
1612thePort (LogicalPort
1613m 1
1614decl (Decl
1615n "wiz_reset"
1616t "std_logic"
1617o 50
1618suid 2,0
1619i "'1'"
1620)
1621)
1622)
1623*161 (CptPort
1624uid 163,0
1625ps "OnEdgeStrategy"
1626shape (Triangle
1627uid 164,0
1628ro 90
1629va (VaSet
1630vasetType 1
1631fg "0,65535,0"
1632)
1633xt "43000,42625,43750,43375"
1634)
1635tg (CPTG
1636uid 165,0
1637ps "CptPortTextPlaceStrategy"
1638stg "RightVerticalLayoutStrategy"
1639f (Text
1640uid 166,0
1641va (VaSet
1642)
1643xt "37600,42500,42000,43500"
1644st "led : (7:0)"
1645ju 2
1646blo "42000,43300"
1647tm "CptPortNameMgr"
1648)
1649)
1650dt (MLText
1651uid 168,0
1652va (VaSet
1653font "Courier New,8,0"
1654)
1655xt "44000,32400,86500,33200"
1656st "led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
1657"
1658)
1659thePort (LogicalPort
1660m 1
1661decl (Decl
1662n "led"
1663t "std_logic_vector"
1664b "(7 DOWNTO 0)"
1665posAdd 0
1666o 38
1667suid 7,0
1668i "(OTHERS => '0')"
1669)
1670)
1671)
1672*162 (CptPort
1673uid 464,0
1674ps "OnEdgeStrategy"
1675shape (Triangle
1676uid 465,0
1677ro 90
1678va (VaSet
1679vasetType 1
1680fg "0,65535,0"
1681)
1682xt "14250,4625,15000,5375"
1683)
1684tg (CPTG
1685uid 466,0
1686ps "CptPortTextPlaceStrategy"
1687stg "VerticalLayoutStrategy"
1688f (Text
1689uid 467,0
1690va (VaSet
1691)
1692xt "16000,4500,19000,5500"
1693st "trigger"
1694blo "16000,5300"
1695tm "CptPortNameMgr"
1696)
1697)
1698dt (MLText
1699uid 468,0
1700va (VaSet
1701font "Courier New,8,0"
1702)
1703xt "44000,12400,67500,13200"
1704st "trigger : IN std_logic ;
1705"
1706)
1707thePort (LogicalPort
1708decl (Decl
1709n "trigger"
1710t "std_logic"
1711preAdd 0
1712posAdd 0
1713o 14
1714suid 18,0
1715)
1716)
1717)
1718*163 (CptPort
1719uid 833,0
1720ps "OnEdgeStrategy"
1721shape (Triangle
1722uid 834,0
1723ro 270
1724va (VaSet
1725vasetType 1
1726fg "0,65535,0"
1727)
1728xt "14250,15625,15000,16375"
1729)
1730tg (CPTG
1731uid 835,0
1732ps "CptPortTextPlaceStrategy"
1733stg "VerticalLayoutStrategy"
1734f (Text
1735uid 836,0
1736va (VaSet
1737)
1738xt "16000,15500,19500,16500"
1739st "adc_oeb"
1740blo "16000,16300"
1741tm "CptPortNameMgr"
1742)
1743)
1744dt (MLText
1745uid 837,0
1746va (VaSet
1747font "Courier New,8,0"
1748)
1749xt "44000,22800,80500,23600"
1750st "adc_oeb : OUT std_logic := '1' ;
1751"
1752)
1753thePort (LogicalPort
1754m 1
1755decl (Decl
1756n "adc_oeb"
1757t "std_logic"
1758o 26
1759suid 21,0
1760i "'1'"
1761)
1762)
1763)
1764*164 (CptPort
1765uid 923,0
1766ps "OnEdgeStrategy"
1767shape (Triangle
1768uid 924,0
1769ro 90
1770va (VaSet
1771vasetType 1
1772fg "0,65535,0"
1773)
1774xt "14250,6625,15000,7375"
1775)
1776tg (CPTG
1777uid 925,0
1778ps "CptPortTextPlaceStrategy"
1779stg "VerticalLayoutStrategy"
1780f (Text
1781uid 926,0
1782va (VaSet
1783)
1784xt "16000,6500,22700,7500"
1785st "board_id : (3:0)"
1786blo "16000,7300"
1787tm "CptPortNameMgr"
1788)
1789)
1790dt (MLText
1791uid 927,0
1792va (VaSet
1793font "Courier New,8,0"
1794)
1795xt "44000,9200,77000,10000"
1796st "board_id : IN std_logic_vector (3 DOWNTO 0) ;
1797"
1798)
1799thePort (LogicalPort
1800decl (Decl
1801n "board_id"
1802t "std_logic_vector"
1803b "(3 DOWNTO 0)"
1804o 10
1805suid 24,0
1806)
1807)
1808)
1809*165 (CptPort
1810uid 928,0
1811ps "OnEdgeStrategy"
1812shape (Triangle
1813uid 929,0
1814ro 90
1815va (VaSet
1816vasetType 1
1817fg "0,65535,0"
1818)
1819xt "14250,7625,15000,8375"
1820)
1821tg (CPTG
1822uid 930,0
1823ps "CptPortTextPlaceStrategy"
1824stg "VerticalLayoutStrategy"
1825f (Text
1826uid 931,0
1827va (VaSet
1828)
1829xt "16000,7500,22400,8500"
1830st "crate_id : (1:0)"
1831blo "16000,8300"
1832tm "CptPortNameMgr"
1833)
1834)
1835dt (MLText
1836uid 932,0
1837va (VaSet
1838font "Courier New,8,0"
1839)
1840xt "44000,10000,77000,10800"
1841st "crate_id : IN std_logic_vector (1 DOWNTO 0) ;
1842"
1843)
1844thePort (LogicalPort
1845decl (Decl
1846n "crate_id"
1847t "std_logic_vector"
1848b "(1 DOWNTO 0)"
1849o 11
1850suid 25,0
1851)
1852)
1853)
1854*166 (CptPort
1855uid 1037,0
1856ps "OnEdgeStrategy"
1857shape (Triangle
1858uid 1038,0
1859ro 90
1860va (VaSet
1861vasetType 1
1862fg "0,65535,0"
1863)
1864xt "43000,-6375,43750,-5625"
1865)
1866tg (CPTG
1867uid 1039,0
1868ps "CptPortTextPlaceStrategy"
1869stg "RightVerticalLayoutStrategy"
1870f (Text
1871uid 1040,0
1872va (VaSet
1873)
1874xt "35100,-6500,42000,-5500"
1875st "wiz_addr : (9:0)"
1876ju 2
1877blo "42000,-5700"
1878tm "CptPortNameMgr"
1879)
1880)
1881dt (MLText
1882uid 1041,0
1883va (VaSet
1884font "Courier New,8,0"
1885)
1886xt "44000,39600,77000,40400"
1887st "wiz_addr : OUT std_logic_vector (9 DOWNTO 0) ;
1888"
1889)
1890thePort (LogicalPort
1891m 1
1892decl (Decl
1893n "wiz_addr"
1894t "std_logic_vector"
1895b "(9 DOWNTO 0)"
1896o 47
1897suid 26,0
1898)
1899)
1900)
1901*167 (CptPort
1902uid 1042,0
1903ps "OnEdgeStrategy"
1904shape (Diamond
1905uid 1043,0
1906ro 90
1907va (VaSet
1908vasetType 1
1909fg "0,65535,0"
1910)
1911xt "43000,-5375,43750,-4625"
1912)
1913tg (CPTG
1914uid 1044,0
1915ps "CptPortTextPlaceStrategy"
1916stg "RightVerticalLayoutStrategy"
1917f (Text
1918uid 1045,0
1919va (VaSet
1920)
1921xt "34800,-5500,42000,-4500"
1922st "wiz_data : (15:0)"
1923ju 2
1924blo "42000,-4700"
1925tm "CptPortNameMgr"
1926)
1927)
1928dt (MLText
1929uid 1046,0
1930va (VaSet
1931font "Courier New,8,0"
1932)
1933xt "44000,44400,76500,45200"
1934st "wiz_data : INOUT std_logic_vector (15 DOWNTO 0)
1935"
1936)
1937thePort (LogicalPort
1938m 2
1939decl (Decl
1940n "wiz_data"
1941t "std_logic_vector"
1942b "(15 DOWNTO 0)"
1943o 53
1944suid 27,0
1945)
1946)
1947)
1948*168 (CptPort
1949uid 1047,0
1950ps "OnEdgeStrategy"
1951shape (Triangle
1952uid 1048,0
1953ro 90
1954va (VaSet
1955vasetType 1
1956fg "0,65535,0"
1957)
1958xt "43000,625,43750,1375"
1959)
1960tg (CPTG
1961uid 1049,0
1962ps "CptPortTextPlaceStrategy"
1963stg "RightVerticalLayoutStrategy"
1964f (Text
1965uid 1050,0
1966va (VaSet
1967)
1968xt "39000,500,42000,1500"
1969st "wiz_cs"
1970ju 2
1971blo "42000,1300"
1972tm "CptPortNameMgr"
1973)
1974)
1975dt (MLText
1976uid 1051,0
1977va (VaSet
1978font "Courier New,8,0"
1979)
1980xt "44000,40400,80500,41200"
1981st "wiz_cs : OUT std_logic := '1' ;
1982"
1983)
1984thePort (LogicalPort
1985m 1
1986decl (Decl
1987n "wiz_cs"
1988t "std_logic"
1989o 48
1990suid 28,0
1991i "'1'"
1992)
1993)
1994)
1995*169 (CptPort
1996uid 1052,0
1997ps "OnEdgeStrategy"
1998shape (Triangle
1999uid 1053,0
2000ro 90
2001va (VaSet
2002vasetType 1
2003fg "0,65535,0"
2004)
2005xt "43000,-1375,43750,-625"
2006)
2007tg (CPTG
2008uid 1054,0
2009ps "CptPortTextPlaceStrategy"
2010stg "RightVerticalLayoutStrategy"
2011f (Text
2012uid 1055,0
2013va (VaSet
2014)
2015xt "38800,-1500,42000,-500"
2016st "wiz_wr"
2017ju 2
2018blo "42000,-700"
2019tm "CptPortNameMgr"
2020)
2021)
2022dt (MLText
2023uid 1056,0
2024va (VaSet
2025font "Courier New,8,0"
2026)
2027xt "44000,42800,80500,43600"
2028st "wiz_wr : OUT std_logic := '1' ;
2029"
2030)
2031thePort (LogicalPort
2032m 1
2033decl (Decl
2034n "wiz_wr"
2035t "std_logic"
2036o 51
2037suid 29,0
2038i "'1'"
2039)
2040)
2041)
2042*170 (CptPort
2043uid 1057,0
2044ps "OnEdgeStrategy"
2045shape (Triangle
2046uid 1058,0
2047ro 90
2048va (VaSet
2049vasetType 1
2050fg "0,65535,0"
2051)
2052xt "43000,-2375,43750,-1625"
2053)
2054tg (CPTG
2055uid 1059,0
2056ps "CptPortTextPlaceStrategy"
2057stg "RightVerticalLayoutStrategy"
2058f (Text
2059uid 1060,0
2060va (VaSet
2061)
2062xt "38900,-2500,42000,-1500"
2063st "wiz_rd"
2064ju 2
2065blo "42000,-1700"
2066tm "CptPortNameMgr"
2067)
2068)
2069dt (MLText
2070uid 1061,0
2071va (VaSet
2072font "Courier New,8,0"
2073)
2074xt "44000,41200,80500,42000"
2075st "wiz_rd : OUT std_logic := '1' ;
2076"
2077)
2078thePort (LogicalPort
2079m 1
2080decl (Decl
2081n "wiz_rd"
2082t "std_logic"
2083o 49
2084suid 30,0
2085i "'1'"
2086)
2087)
2088)
2089*171 (CptPort
2090uid 1062,0
2091ps "OnEdgeStrategy"
2092shape (Triangle
2093uid 1063,0
2094ro 270
2095va (VaSet
2096vasetType 1
2097fg "0,65535,0"
2098)
2099xt "43000,-375,43750,375"
2100)
2101tg (CPTG
2102uid 1064,0
2103ps "CptPortTextPlaceStrategy"
2104stg "RightVerticalLayoutStrategy"
2105f (Text
2106uid 1065,0
2107va (VaSet
2108)
2109xt "38800,-500,42000,500"
2110st "wiz_int"
2111ju 2
2112blo "42000,300"
2113tm "CptPortNameMgr"
2114)
2115)
2116dt (MLText
2117uid 1066,0
2118va (VaSet
2119font "Courier New,8,0"
2120)
2121xt "44000,13200,67500,14000"
2122st "wiz_int : IN std_logic ;
2123"
2124)
2125thePort (LogicalPort
2126decl (Decl
2127n "wiz_int"
2128t "std_logic"
2129o 15
2130suid 31,0
2131)
2132)
2133)
2134*172 (CptPort
2135uid 1389,0
2136ps "OnEdgeStrategy"
2137shape (Triangle
2138uid 1390,0
2139ro 270
2140va (VaSet
2141vasetType 1
2142fg "0,65535,0"
2143)
2144xt "14250,-4375,15000,-3625"
2145)
2146tg (CPTG
2147uid 1391,0
2148ps "CptPortTextPlaceStrategy"
2149stg "VerticalLayoutStrategy"
2150f (Text
2151uid 1392,0
2152va (VaSet
2153)
2154xt "16000,-4500,20800,-3500"
2155st "CLK_25_PS"
2156blo "16000,-3700"
2157tm "CptPortNameMgr"
2158)
2159)
2160dt (MLText
2161uid 1393,0
2162va (VaSet
2163font "Courier New,8,0"
2164)
2165xt "44000,14800,67500,15600"
2166st "CLK_25_PS : OUT std_logic ;
2167"
2168)
2169thePort (LogicalPort
2170m 1
2171decl (Decl
2172n "CLK_25_PS"
2173t "std_logic"
2174o 17
2175suid 35,0
2176)
2177)
2178)
2179*173 (CptPort
2180uid 1725,0
2181ps "OnEdgeStrategy"
2182shape (Triangle
2183uid 1726,0
2184ro 270
2185va (VaSet
2186vasetType 1
2187fg "0,65535,0"
2188)
2189xt "14250,-5375,15000,-4625"
2190)
2191tg (CPTG
2192uid 1727,0
2193ps "CptPortTextPlaceStrategy"
2194stg "VerticalLayoutStrategy"
2195f (Text
2196uid 1728,0
2197va (VaSet
2198)
2199xt "16000,-5500,19300,-4500"
2200st "CLK_50"
2201blo "16000,-4700"
2202tm "CptPortNameMgr"
2203)
2204)
2205dt (MLText
2206uid 1729,0
2207va (VaSet
2208font "Courier New,8,0"
2209)
2210xt "44000,15600,67500,16400"
2211st "CLK_50 : OUT std_logic ;
2212"
2213)
2214thePort (LogicalPort
2215m 1
2216decl (Decl
2217n "CLK_50"
2218t "std_logic"
2219preAdd 0
2220posAdd 0
2221o 18
2222suid 37,0
2223)
2224)
2225)
2226*174 (CptPort
2227uid 1755,0
2228ps "OnEdgeStrategy"
2229shape (Triangle
2230uid 1756,0
2231ro 90
2232va (VaSet
2233vasetType 1
2234fg "0,65535,0"
2235)
2236xt "14250,-6375,15000,-5625"
2237)
2238tg (CPTG
2239uid 1757,0
2240ps "CptPortTextPlaceStrategy"
2241stg "VerticalLayoutStrategy"
2242f (Text
2243uid 1758,0
2244va (VaSet
2245)
2246xt "16000,-6500,17900,-5500"
2247st "CLK"
2248blo "16000,-5700"
2249tm "CptPortNameMgr"
2250)
2251)
2252dt (MLText
2253uid 1759,0
2254va (VaSet
2255font "Courier New,8,0"
2256)
2257xt "44000,2000,67500,2800"
2258st "CLK : IN std_logic ;
2259"
2260)
2261thePort (LogicalPort
2262decl (Decl
2263n "CLK"
2264t "std_logic"
2265o 1
2266suid 38,0
2267)
2268)
2269)
2270*175 (CptPort
2271uid 1976,0
2272ps "OnEdgeStrategy"
2273shape (Triangle
2274uid 1977,0
2275ro 90
2276va (VaSet
2277vasetType 1
2278fg "0,65535,0"
2279)
2280xt "14250,14625,15000,15375"
2281)
2282tg (CPTG
2283uid 1978,0
2284ps "CptPortTextPlaceStrategy"
2285stg "VerticalLayoutStrategy"
2286f (Text
2287uid 1979,0
2288va (VaSet
2289)
2290xt "16000,14500,25300,15500"
2291st "adc_otr_array : (3:0)"
2292blo "16000,15300"
2293tm "CptPortNameMgr"
2294)
2295)
2296dt (MLText
2297uid 1980,0
2298va (VaSet
2299font "Courier New,8,0"
2300)
2301xt "44000,8400,77000,9200"
2302st "adc_otr_array : IN std_logic_vector (3 DOWNTO 0) ;
2303"
2304)
2305thePort (LogicalPort
2306decl (Decl
2307n "adc_otr_array"
2308t "std_logic_vector"
2309b "(3 DOWNTO 0)"
2310o 9
2311suid 40,0
2312)
2313)
2314)
2315*176 (CptPort
2316uid 2282,0
2317ps "OnEdgeStrategy"
2318shape (Triangle
2319uid 2283,0
2320ro 90
2321va (VaSet
2322vasetType 1
2323fg "0,65535,0"
2324)
2325xt "14250,20625,15000,21375"
2326)
2327tg (CPTG
2328uid 2284,0
2329ps "CptPortTextPlaceStrategy"
2330stg "VerticalLayoutStrategy"
2331f (Text
2332uid 2285,0
2333va (VaSet
2334)
2335xt "16000,20500,22900,21500"
2336st "adc_data_array"
2337blo "16000,21300"
2338tm "CptPortNameMgr"
2339)
2340)
2341dt (MLText
2342uid 2286,0
2343va (VaSet
2344font "Courier New,8,0"
2345)
2346xt "44000,7600,72500,8400"
2347st "adc_data_array : IN adc_data_array_type ;
2348"
2349)
2350thePort (LogicalPort
2351decl (Decl
2352n "adc_data_array"
2353t "adc_data_array_type"
2354o 8
2355suid 41,0
2356)
2357)
2358)
2359*177 (CptPort
2360uid 2448,0
2361ps "OnEdgeStrategy"
2362shape (Triangle
2363uid 2449,0
2364ro 270
2365va (VaSet
2366vasetType 1
2367fg "0,65535,0"
2368)
2369xt "14250,34625,15000,35375"
2370)
2371tg (CPTG
2372uid 2450,0
2373ps "CptPortTextPlaceStrategy"
2374stg "VerticalLayoutStrategy"
2375f (Text
2376uid 2451,0
2377va (VaSet
2378)
2379xt "16000,34500,25500,35500"
2380st "drs_channel_id : (3:0)"
2381blo "16000,35300"
2382tm "CptPortNameMgr"
2383)
2384)
2385dt (MLText
2386uid 2452,0
2387va (VaSet
2388font "Courier New,8,0"
2389)
2390xt "44000,30000,86500,30800"
2391st "drs_channel_id : OUT std_logic_vector (3 downto 0) := (others => '0') ;
2392"
2393)
2394thePort (LogicalPort
2395m 1
2396decl (Decl
2397n "drs_channel_id"
2398t "std_logic_vector"
2399b "(3 downto 0)"
2400o 35
2401suid 48,0
2402i "(others => '0')"
2403)
2404)
2405)
2406*178 (CptPort
2407uid 2453,0
2408ps "OnEdgeStrategy"
2409shape (Triangle
2410uid 2454,0
2411ro 270
2412va (VaSet
2413vasetType 1
2414fg "0,65535,0"
2415)
2416xt "14250,35625,15000,36375"
2417)
2418tg (CPTG
2419uid 2455,0
2420ps "CptPortTextPlaceStrategy"
2421stg "VerticalLayoutStrategy"
2422f (Text
2423uid 2456,0
2424va (VaSet
2425)
2426xt "16000,35500,21200,36500"
2427st "drs_dwrite"
2428blo "16000,36300"
2429tm "CptPortNameMgr"
2430)
2431)
2432dt (MLText
2433uid 2457,0
2434va (VaSet
2435font "Courier New,8,0"
2436)
2437xt "44000,30800,80500,31600"
2438st "drs_dwrite : OUT std_logic := '1' ;
2439"
2440)
2441thePort (LogicalPort
2442m 1
2443decl (Decl
2444n "drs_dwrite"
2445t "std_logic"
2446o 36
2447suid 49,0
2448i "'1'"
2449)
2450)
2451)
2452*179 (CptPort
2453uid 2710,0
2454ps "OnEdgeStrategy"
2455shape (Triangle
2456uid 2711,0
2457ro 90
2458va (VaSet
2459vasetType 1
2460fg "0,65535,0"
2461)
2462xt "14250,30625,15000,31375"
2463)
2464tg (CPTG
2465uid 2712,0
2466ps "CptPortTextPlaceStrategy"
2467stg "VerticalLayoutStrategy"
2468f (Text
2469uid 2713,0
2470va (VaSet
2471)
2472xt "16000,30500,21800,31500"
2473st "SROUT_in_0"
2474blo "16000,31300"
2475tm "CptPortNameMgr"
2476)
2477)
2478dt (MLText
2479uid 2714,0
2480va (VaSet
2481font "Courier New,8,0"
2482)
2483xt "44000,4400,67500,5200"
2484st "SROUT_in_0 : IN std_logic ;
2485"
2486)
2487thePort (LogicalPort
2488decl (Decl
2489n "SROUT_in_0"
2490t "std_logic"
2491o 4
2492suid 52,0
2493)
2494)
2495)
2496*180 (CptPort
2497uid 2715,0
2498ps "OnEdgeStrategy"
2499shape (Triangle
2500uid 2716,0
2501ro 90
2502va (VaSet
2503vasetType 1
2504fg "0,65535,0"
2505)
2506xt "14250,31625,15000,32375"
2507)
2508tg (CPTG
2509uid 2717,0
2510ps "CptPortTextPlaceStrategy"
2511stg "VerticalLayoutStrategy"
2512f (Text
2513uid 2718,0
2514va (VaSet
2515)
2516xt "16000,31500,21700,32500"
2517st "SROUT_in_1"
2518blo "16000,32300"
2519tm "CptPortNameMgr"
2520)
2521)
2522dt (MLText
2523uid 2719,0
2524va (VaSet
2525font "Courier New,8,0"
2526)
2527xt "44000,5200,67500,6000"
2528st "SROUT_in_1 : IN std_logic ;
2529"
2530)
2531thePort (LogicalPort
2532decl (Decl
2533n "SROUT_in_1"
2534t "std_logic"
2535o 5
2536suid 53,0
2537)
2538)
2539)
2540*181 (CptPort
2541uid 2720,0
2542ps "OnEdgeStrategy"
2543shape (Triangle
2544uid 2721,0
2545ro 90
2546va (VaSet
2547vasetType 1
2548fg "0,65535,0"
2549)
2550xt "14250,32625,15000,33375"
2551)
2552tg (CPTG
2553uid 2722,0
2554ps "CptPortTextPlaceStrategy"
2555stg "VerticalLayoutStrategy"
2556f (Text
2557uid 2723,0
2558va (VaSet
2559)
2560xt "16000,32500,21800,33500"
2561st "SROUT_in_2"
2562blo "16000,33300"
2563tm "CptPortNameMgr"
2564)
2565)
2566dt (MLText
2567uid 2724,0
2568va (VaSet
2569font "Courier New,8,0"
2570)
2571xt "44000,6000,67500,6800"
2572st "SROUT_in_2 : IN std_logic ;
2573"
2574)
2575thePort (LogicalPort
2576decl (Decl
2577n "SROUT_in_2"
2578t "std_logic"
2579o 6
2580suid 54,0
2581)
2582)
2583)
2584*182 (CptPort
2585uid 2725,0
2586ps "OnEdgeStrategy"
2587shape (Triangle
2588uid 2726,0
2589ro 90
2590va (VaSet
2591vasetType 1
2592fg "0,65535,0"
2593)
2594xt "14250,33625,15000,34375"
2595)
2596tg (CPTG
2597uid 2727,0
2598ps "CptPortTextPlaceStrategy"
2599stg "VerticalLayoutStrategy"
2600f (Text
2601uid 2728,0
2602va (VaSet
2603)
2604xt "16000,33500,21800,34500"
2605st "SROUT_in_3"
2606blo "16000,34300"
2607tm "CptPortNameMgr"
2608)
2609)
2610dt (MLText
2611uid 2729,0
2612va (VaSet
2613font "Courier New,8,0"
2614)
2615xt "44000,6800,67500,7600"
2616st "SROUT_in_3 : IN std_logic ;
2617"
2618)
2619thePort (LogicalPort
2620decl (Decl
2621n "SROUT_in_3"
2622t "std_logic"
2623o 7
2624suid 55,0
2625)
2626)
2627)
2628*183 (CptPort
2629uid 2987,0
2630ps "OnEdgeStrategy"
2631shape (Triangle
2632uid 2988,0
2633ro 270
2634va (VaSet
2635vasetType 1
2636fg "0,65535,0"
2637)
2638xt "14250,36625,15000,37375"
2639)
2640tg (CPTG
2641uid 2989,0
2642ps "CptPortTextPlaceStrategy"
2643stg "VerticalLayoutStrategy"
2644f (Text
2645uid 2990,0
2646va (VaSet
2647)
2648xt "16000,36500,20200,37500"
2649st "RSRLOAD"
2650blo "16000,37300"
2651tm "CptPortNameMgr"
2652)
2653)
2654dt (MLText
2655uid 2991,0
2656va (VaSet
2657font "Courier New,8,0"
2658)
2659xt "44000,20400,80500,21200"
2660st "RSRLOAD : OUT std_logic := '0' ;
2661"
2662)
2663thePort (LogicalPort
2664m 1
2665decl (Decl
2666n "RSRLOAD"
2667t "std_logic"
2668o 23
2669suid 56,0
2670i "'0'"
2671)
2672)
2673)
2674*184 (CptPort
2675uid 2992,0
2676ps "OnEdgeStrategy"
2677shape (Triangle
2678uid 2993,0
2679ro 270
2680va (VaSet
2681vasetType 1
2682fg "0,65535,0"
2683)
2684xt "14250,38625,15000,39375"
2685)
2686tg (CPTG
2687uid 2994,0
2688ps "CptPortTextPlaceStrategy"
2689stg "VerticalLayoutStrategy"
2690f (Text
2691uid 2995,0
2692va (VaSet
2693)
2694xt "16000,38500,18900,39500"
2695st "SRCLK"
2696blo "16000,39300"
2697tm "CptPortNameMgr"
2698)
2699)
2700dt (MLText
2701uid 2996,0
2702va (VaSet
2703font "Courier New,8,0"
2704)
2705xt "44000,21200,80500,22000"
2706st "SRCLK : OUT std_logic := '0' ;
2707"
2708)
2709thePort (LogicalPort
2710m 1
2711decl (Decl
2712n "SRCLK"
2713t "std_logic"
2714o 24
2715suid 57,0
2716i "'0'"
2717)
2718)
2719)
2720*185 (CptPort
2721uid 3631,0
2722ps "OnEdgeStrategy"
2723shape (Triangle
2724uid 3632,0
2725ro 90
2726va (VaSet
2727vasetType 1
2728fg "0,65535,0"
2729)
2730xt "43000,23625,43750,24375"
2731)
2732tg (CPTG
2733uid 3633,0
2734ps "CptPortTextPlaceStrategy"
2735stg "RightVerticalLayoutStrategy"
2736f (Text
2737uid 3634,0
2738va (VaSet
2739)
2740xt "40100,23500,42000,24500"
2741st "sclk"
2742ju 2
2743blo "42000,24300"
2744tm "CptPortNameMgr"
2745)
2746)
2747dt (MLText
2748uid 3635,0
2749va (VaSet
2750font "Courier New,8,0"
2751)
2752xt "44000,35600,67500,36400"
2753st "sclk : OUT std_logic ;
2754"
2755)
2756thePort (LogicalPort
2757m 1
2758decl (Decl
2759n "sclk"
2760t "std_logic"
2761o 42
2762suid 62,0
2763)
2764)
2765)
2766*186 (CptPort
2767uid 3636,0
2768ps "OnEdgeStrategy"
2769shape (Diamond
2770uid 3637,0
2771ro 90
2772va (VaSet
2773vasetType 1
2774fg "0,65535,0"
2775)
2776xt "43000,24625,43750,25375"
2777)
2778tg (CPTG
2779uid 3638,0
2780ps "CptPortTextPlaceStrategy"
2781stg "RightVerticalLayoutStrategy"
2782f (Text
2783uid 3639,0
2784va (VaSet
2785)
2786xt "40600,24500,42000,25500"
2787st "sio"
2788ju 2
2789blo "42000,25300"
2790tm "CptPortNameMgr"
2791)
2792)
2793dt (MLText
2794uid 3640,0
2795va (VaSet
2796font "Courier New,8,0"
2797)
2798xt "44000,43600,67500,44400"
2799st "sio : INOUT std_logic ;
2800"
2801)
2802thePort (LogicalPort
2803m 2
2804decl (Decl
2805n "sio"
2806t "std_logic"
2807preAdd 0
2808posAdd 0
2809o 52
2810suid 63,0
2811)
2812)
2813)
2814*187 (CptPort
2815uid 3641,0
2816ps "OnEdgeStrategy"
2817shape (Triangle
2818uid 3642,0
2819ro 90
2820va (VaSet
2821vasetType 1
2822fg "0,65535,0"
2823)
2824xt "43000,12625,43750,13375"
2825)
2826tg (CPTG
2827uid 3643,0
2828ps "CptPortTextPlaceStrategy"
2829stg "RightVerticalLayoutStrategy"
2830f (Text
2831uid 3644,0
2832va (VaSet
2833)
2834xt "39000,12500,42000,13500"
2835st "dac_cs"
2836ju 2
2837blo "42000,13300"
2838tm "CptPortNameMgr"
2839)
2840)
2841dt (MLText
2842uid 3645,0
2843va (VaSet
2844font "Courier New,8,0"
2845)
2846xt "44000,26800,67500,27600"
2847st "dac_cs : OUT std_logic ;
2848"
2849)
2850thePort (LogicalPort
2851m 1
2852decl (Decl
2853n "dac_cs"
2854t "std_logic"
2855o 31
2856suid 64,0
2857)
2858)
2859)
2860*188 (CptPort
2861uid 3646,0
2862ps "OnEdgeStrategy"
2863shape (Triangle
2864uid 3647,0
2865ro 90
2866va (VaSet
2867vasetType 1
2868fg "0,65535,0"
2869)
2870xt "43000,14625,43750,15375"
2871)
2872tg (CPTG
2873uid 3648,0
2874ps "CptPortTextPlaceStrategy"
2875stg "RightVerticalLayoutStrategy"
2876f (Text
2877uid 3649,0
2878va (VaSet
2879)
2880xt "35000,14500,42000,15500"
2881st "sensor_cs : (3:0)"
2882ju 2
2883blo "42000,15300"
2884tm "CptPortNameMgr"
2885)
2886)
2887dt (MLText
2888uid 3650,0
2889va (VaSet
2890font "Courier New,8,0"
2891)
2892xt "44000,36400,77000,37200"
2893st "sensor_cs : OUT std_logic_vector (3 DOWNTO 0) ;
2894"
2895)
2896thePort (LogicalPort
2897m 1
2898decl (Decl
2899n "sensor_cs"
2900t "std_logic_vector"
2901b "(3 DOWNTO 0)"
2902o 43
2903suid 65,0
2904)
2905)
2906)
2907*189 (CptPort
2908uid 4067,0
2909ps "OnEdgeStrategy"
2910shape (Triangle
2911uid 4068,0
2912ro 90
2913va (VaSet
2914vasetType 1
2915fg "0,65535,0"
2916)
2917xt "43000,25625,43750,26375"
2918)
2919tg (CPTG
2920uid 4069,0
2921ps "CptPortTextPlaceStrategy"
2922stg "RightVerticalLayoutStrategy"
2923f (Text
2924uid 4070,0
2925va (VaSet
2926)
2927xt "40000,25500,42000,26500"
2928st "mosi"
2929ju 2
2930blo "42000,26300"
2931tm "CptPortNameMgr"
2932)
2933)
2934dt (MLText
2935uid 4071,0
2936va (VaSet
2937font "Courier New,8,0"
2938)
2939xt "44000,34000,80500,34800"
2940st "mosi : OUT std_logic := '0' ;
2941"
2942)
2943thePort (LogicalPort
2944m 1
2945decl (Decl
2946n "mosi"
2947t "std_logic"
2948o 40
2949suid 66,0
2950i "'0'"
2951)
2952)
2953)
2954*190 (CptPort
2955uid 4144,0
2956ps "OnEdgeStrategy"
2957shape (Triangle
2958uid 4145,0
2959ro 90
2960va (VaSet
2961vasetType 1
2962fg "0,65535,0"
2963)
2964xt "43000,43625,43750,44375"
2965)
2966tg (CPTG
2967uid 4146,0
2968ps "CptPortTextPlaceStrategy"
2969stg "RightVerticalLayoutStrategy"
2970f (Text
2971uid 4147,0
2972va (VaSet
2973)
2974xt "38800,43500,42000,44500"
2975st "denable"
2976ju 2
2977blo "42000,44300"
2978tm "CptPortNameMgr"
2979)
2980)
2981dt (MLText
2982uid 4148,0
2983va (VaSet
2984font "Courier New,8,0"
2985)
2986xt "44000,29200,94000,30000"
2987st "denable : OUT std_logic := '0' ; -- default domino wave off
2988"
2989)
2990thePort (LogicalPort
2991m 1
2992decl (Decl
2993n "denable"
2994t "std_logic"
2995eolc "-- default domino wave off"
2996posAdd 0
2997o 34
2998suid 67,0
2999i "'0'"
3000)
3001)
3002)
3003*191 (CptPort
3004uid 4780,0
3005ps "OnEdgeStrategy"
3006shape (Triangle
3007uid 4781,0
3008ro 90
3009va (VaSet
3010vasetType 1
3011fg "0,65535,0"
3012)
3013xt "43000,60625,43750,61375"
3014)
3015tg (CPTG
3016uid 4782,0
3017ps "CptPortTextPlaceStrategy"
3018stg "RightVerticalLayoutStrategy"
3019f (Text
3020uid 4783,0
3021va (VaSet
3022)
3023xt "37800,60500,42000,61500"
3024st "SRIN_out"
3025ju 2
3026blo "42000,61300"
3027tm "CptPortNameMgr"
3028)
3029)
3030dt (MLText
3031uid 4784,0
3032va (VaSet
3033font "Courier New,8,0"
3034)
3035xt "44000,22000,80500,22800"
3036st "SRIN_out : OUT std_logic := '0' ;
3037"
3038)
3039thePort (LogicalPort
3040m 1
3041decl (Decl
3042n "SRIN_out"
3043t "std_logic"
3044o 25
3045suid 85,0
3046i "'0'"
3047)
3048)
3049)
3050*192 (CptPort
3051uid 4906,0
3052ps "OnEdgeStrategy"
3053shape (Triangle
3054uid 4907,0
3055ro 90
3056va (VaSet
3057vasetType 1
3058fg "0,65535,0"
3059)
3060xt "43000,61625,43750,62375"
3061)
3062tg (CPTG
3063uid 4908,0
3064ps "CptPortTextPlaceStrategy"
3065stg "RightVerticalLayoutStrategy"
3066f (Text
3067uid 4909,0
3068va (VaSet
3069)
3070xt "39600,61500,42000,62500"
3071st "green"
3072ju 2
3073blo "42000,62300"
3074tm "CptPortNameMgr"
3075)
3076)
3077dt (MLText
3078uid 4910,0
3079va (VaSet
3080font "Courier New,8,0"
3081)
3082xt "44000,31600,67500,32400"
3083st "green : OUT std_logic ;
3084"
3085)
3086thePort (LogicalPort
3087m 1
3088decl (Decl
3089n "green"
3090t "std_logic"
3091o 37
3092suid 86,0
3093)
3094)
3095)
3096*193 (CptPort
3097uid 4911,0
3098ps "OnEdgeStrategy"
3099shape (Triangle
3100uid 4912,0
3101ro 90
3102va (VaSet
3103vasetType 1
3104fg "0,65535,0"
3105)
3106xt "43000,62625,43750,63375"
3107)
3108tg (CPTG
3109uid 4913,0
3110ps "CptPortTextPlaceStrategy"
3111stg "RightVerticalLayoutStrategy"
3112f (Text
3113uid 4914,0
3114va (VaSet
3115)
3116xt "39300,62500,42000,63500"
3117st "amber"
3118ju 2
3119blo "42000,63300"
3120tm "CptPortNameMgr"
3121)
3122)
3123dt (MLText
3124uid 4915,0
3125va (VaSet
3126font "Courier New,8,0"
3127)
3128xt "44000,25200,67500,26000"
3129st "amber : OUT std_logic ;
3130"
3131)
3132thePort (LogicalPort
3133m 1
3134decl (Decl
3135n "amber"
3136t "std_logic"
3137o 29
3138suid 87,0
3139)
3140)
3141)
3142*194 (CptPort
3143uid 4916,0
3144ps "OnEdgeStrategy"
3145shape (Triangle
3146uid 4917,0
3147ro 90
3148va (VaSet
3149vasetType 1
3150fg "0,65535,0"
3151)
3152xt "43000,63625,43750,64375"
3153)
3154tg (CPTG
3155uid 4918,0
3156ps "CptPortTextPlaceStrategy"
3157stg "RightVerticalLayoutStrategy"
3158f (Text
3159uid 4919,0
3160va (VaSet
3161)
3162xt "40300,63500,42000,64500"
3163st "red"
3164ju 2
3165blo "42000,64300"
3166tm "CptPortNameMgr"
3167)
3168)
3169dt (MLText
3170uid 4920,0
3171va (VaSet
3172font "Courier New,8,0"
3173)
3174xt "44000,34800,67500,35600"
3175st "red : OUT std_logic ;
3176"
3177)
3178thePort (LogicalPort
3179m 1
3180decl (Decl
3181n "red"
3182t "std_logic"
3183o 41
3184suid 88,0
3185)
3186)
3187)
3188*195 (CptPort
3189uid 5328,0
3190ps "OnEdgeStrategy"
3191shape (Triangle
3192uid 5329,0
3193ro 90
3194va (VaSet
3195vasetType 1
3196fg "0,65535,0"
3197)
3198xt "14250,39625,15000,40375"
3199)
3200tg (CPTG
3201uid 5330,0
3202ps "CptPortTextPlaceStrategy"
3203stg "VerticalLayoutStrategy"
3204f (Text
3205uid 5331,0
3206va (VaSet
3207)
3208xt "16000,39500,21500,40500"
3209st "D_T_in : (1:0)"
3210blo "16000,40300"
3211tm "CptPortNameMgr"
3212)
3213)
3214dt (MLText
3215uid 5332,0
3216va (VaSet
3217font "Courier New,8,0"
3218)
3219xt "44000,2800,77000,3600"
3220st "D_T_in : IN std_logic_vector (1 DOWNTO 0) ;
3221"
3222)
3223thePort (LogicalPort
3224decl (Decl
3225n "D_T_in"
3226t "std_logic_vector"
3227b "(1 DOWNTO 0)"
3228o 2
3229suid 91,0
3230)
3231)
3232)
3233*196 (CptPort
3234uid 5427,0
3235ps "OnEdgeStrategy"
3236shape (Triangle
3237uid 5428,0
3238ro 90
3239va (VaSet
3240vasetType 1
3241fg "0,65535,0"
3242)
3243xt "14250,40625,15000,41375"
3244)
3245tg (CPTG
3246uid 5429,0
3247ps "CptPortTextPlaceStrategy"
3248stg "VerticalLayoutStrategy"
3249f (Text
3250uid 5430,0
3251va (VaSet
3252)
3253xt "16000,40500,22100,41500"
3254st "drs_refclk_in"
3255blo "16000,41300"
3256tm "CptPortNameMgr"
3257)
3258)
3259dt (MLText
3260uid 5431,0
3261va (VaSet
3262font "Courier New,8,0"
3263)
3264xt "44000,10800,99000,11600"
3265st "drs_refclk_in : IN std_logic ; -- used to check if DRS REFCLK exsists, if not DENABLE inhibit
3266"
3267)
3268thePort (LogicalPort
3269decl (Decl
3270n "drs_refclk_in"
3271t "std_logic"
3272eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
3273o 12
3274suid 92,0
3275)
3276)
3277)
3278*197 (CptPort
3279uid 5503,0
3280ps "OnEdgeStrategy"
3281shape (Triangle
3282uid 5504,0
3283ro 90
3284va (VaSet
3285vasetType 1
3286fg "0,65535,0"
3287)
3288xt "14250,41625,15000,42375"
3289)
3290tg (CPTG
3291uid 5505,0
3292ps "CptPortTextPlaceStrategy"
3293stg "VerticalLayoutStrategy"
3294f (Text
3295uid 5506,0
3296va (VaSet
3297)
3298xt "16000,41500,22700,42500"
3299st "plllock_in : (3:0)"
3300blo "16000,42300"
3301tm "CptPortNameMgr"
3302)
3303)
3304dt (MLText
3305uid 5507,0
3306va (VaSet
3307font "Courier New,8,0"
3308)
3309xt "44000,11600,106500,12400"
3310st "plllock_in : IN std_logic_vector (3 DOWNTO 0) ; -- high level, if dominowave is running and DRS PLL locked
3311"
3312)
3313thePort (LogicalPort
3314decl (Decl
3315n "plllock_in"
3316t "std_logic_vector"
3317b "(3 DOWNTO 0)"
3318eolc "-- high level, if dominowave is running and DRS PLL locked"
3319o 13
3320suid 93,0
3321)
3322)
3323)
3324*198 (CptPort
3325uid 5629,0
3326ps "OnEdgeStrategy"
3327shape (Triangle
3328uid 5630,0
3329ro 90
3330va (VaSet
3331vasetType 1
3332fg "0,65535,0"
3333)
3334xt "43000,65625,43750,66375"
3335)
3336tg (CPTG
3337uid 5631,0
3338ps "CptPortTextPlaceStrategy"
3339stg "RightVerticalLayoutStrategy"
3340f (Text
3341uid 5632,0
3342va (VaSet
3343)
3344xt "32400,65500,42000,66500"
3345st "counter_result : (11:0)"
3346ju 2
3347blo "42000,66300"
3348tm "CptPortNameMgr"
3349)
3350)
3351dt (MLText
3352uid 5633,0
3353va (VaSet
3354font "Courier New,8,0"
3355)
3356xt "44000,26000,77500,26800"
3357st "counter_result : OUT std_logic_vector (11 DOWNTO 0) ;
3358"
3359)
3360thePort (LogicalPort
3361m 1
3362decl (Decl
3363n "counter_result"
3364t "std_logic_vector"
3365b "(11 DOWNTO 0)"
3366o 30
3367suid 94,0
3368)
3369)
3370)
3371*199 (CptPort
3372uid 5634,0
3373ps "OnEdgeStrategy"
3374shape (Triangle
3375uid 5635,0
3376ro 90
3377va (VaSet
3378vasetType 1
3379fg "0,65535,0"
3380)
3381xt "43000,66625,43750,67375"
3382)
3383tg (CPTG
3384uid 5636,0
3385ps "CptPortTextPlaceStrategy"
3386stg "RightVerticalLayoutStrategy"
3387f (Text
3388uid 5637,0
3389va (VaSet
3390)
3391xt "32000,66500,42000,67500"
3392st "alarm_refclk_too_high"
3393ju 2
3394blo "42000,67300"
3395tm "CptPortNameMgr"
3396)
3397)
3398dt (MLText
3399uid 5638,0
3400va (VaSet
3401font "Courier New,8,0"
3402)
3403xt "44000,23600,67500,24400"
3404st "alarm_refclk_too_high : OUT std_logic ;
3405"
3406)
3407thePort (LogicalPort
3408m 1
3409decl (Decl
3410n "alarm_refclk_too_high"
3411t "std_logic"
3412o 27
3413suid 95,0
3414)
3415)
3416)
3417*200 (CptPort
3418uid 5639,0
3419ps "OnEdgeStrategy"
3420shape (Triangle
3421uid 5640,0
3422ro 90
3423va (VaSet
3424vasetType 1
3425fg "0,65535,0"
3426)
3427xt "43000,67625,43750,68375"
3428)
3429tg (CPTG
3430uid 5641,0
3431ps "CptPortTextPlaceStrategy"
3432stg "RightVerticalLayoutStrategy"
3433f (Text
3434uid 5642,0
3435va (VaSet
3436)
3437xt "32400,67500,42000,68500"
3438st "alarm_refclk_too_low"
3439ju 2
3440blo "42000,68300"
3441tm "CptPortNameMgr"
3442)
3443)
3444dt (MLText
3445uid 5643,0
3446va (VaSet
3447font "Courier New,8,0"
3448)
3449xt "44000,24400,67500,25200"
3450st "alarm_refclk_too_low : OUT std_logic ;
3451"
3452)
3453thePort (LogicalPort
3454m 1
3455decl (Decl
3456n "alarm_refclk_too_low"
3457t "std_logic"
3458posAdd 0
3459o 28
3460suid 96,0
3461)
3462)
3463)
3464*201 (CptPort
3465uid 6704,0
3466ps "OnEdgeStrategy"
3467shape (Triangle
3468uid 6705,0
3469ro 90
3470va (VaSet
3471vasetType 1
3472fg "0,65535,0"
3473)
3474xt "43000,68625,43750,69375"
3475)
3476tg (CPTG
3477uid 6706,0
3478ps "CptPortTextPlaceStrategy"
3479stg "RightVerticalLayoutStrategy"
3480f (Text
3481uid 6707,0
3482va (VaSet
3483)
3484xt "38000,68500,42000,69500"
3485st "ADC_CLK"
3486ju 2
3487blo "42000,69300"
3488tm "CptPortNameMgr"
3489)
3490)
3491dt (MLText
3492uid 6708,0
3493va (VaSet
3494font "Courier New,8,0"
3495)
3496xt "44000,14000,67500,14800"
3497st "ADC_CLK : OUT std_logic ;
3498"
3499)
3500thePort (LogicalPort
3501lang 2
3502m 1
3503decl (Decl
3504n "ADC_CLK"
3505t "std_logic"
3506o 16
3507suid 97,0
3508)
3509)
3510)
3511*202 (CptPort
3512uid 7539,0
3513ps "OnEdgeStrategy"
3514shape (Triangle
3515uid 7540,0
3516ro 90
3517va (VaSet
3518vasetType 1
3519fg "0,65535,0"
3520)
3521xt "43000,69625,43750,70375"
3522)
3523tg (CPTG
3524uid 7541,0
3525ps "CptPortTextPlaceStrategy"
3526stg "RightVerticalLayoutStrategy"
3527f (Text
3528uid 7542,0
3529va (VaSet
3530)
3531xt "36400,69500,42000,70500"
3532st "trigger_veto"
3533ju 2
3534blo "42000,70300"
3535tm "CptPortNameMgr"
3536)
3537)
3538dt (MLText
3539uid 7543,0
3540va (VaSet
3541font "Courier New,8,0"
3542)
3543xt "44000,38000,80500,38800"
3544st "trigger_veto : OUT std_logic := '1' ;
3545"
3546)
3547thePort (LogicalPort
3548m 1
3549decl (Decl
3550n "trigger_veto"
3551t "std_logic"
3552o 45
3553suid 98,0
3554i "'1'"
3555)
3556)
3557)
3558*203 (CptPort
3559uid 7621,0
3560ps "OnEdgeStrategy"
3561shape (Triangle
3562uid 7622,0
3563ro 90
3564va (VaSet
3565vasetType 1
3566fg "0,65535,0"
3567)
3568xt "14250,42625,15000,43375"
3569)
3570tg (CPTG
3571uid 7623,0
3572ps "CptPortTextPlaceStrategy"
3573stg "VerticalLayoutStrategy"
3574f (Text
3575uid 7624,0
3576va (VaSet
3577)
3578xt "16000,42500,24100,43500"
3579st "FTM_RS485_rx_d"
3580blo "16000,43300"
3581tm "CptPortNameMgr"
3582)
3583)
3584dt (MLText
3585uid 7625,0
3586va (VaSet
3587font "Courier New,8,0"
3588)
3589xt "44000,3600,67500,4400"
3590st "FTM_RS485_rx_d : IN std_logic ;
3591"
3592)
3593thePort (LogicalPort
3594decl (Decl
3595n "FTM_RS485_rx_d"
3596t "std_logic"
3597o 3
3598suid 99,0
3599)
3600)
3601)
3602*204 (CptPort
3603uid 7626,0
3604ps "OnEdgeStrategy"
3605shape (Triangle
3606uid 7627,0
3607ro 90
3608va (VaSet
3609vasetType 1
3610fg "0,65535,0"
3611)
3612xt "43000,70625,43750,71375"
3613)
3614tg (CPTG
3615uid 7628,0
3616ps "CptPortTextPlaceStrategy"
3617stg "RightVerticalLayoutStrategy"
3618f (Text
3619uid 7629,0
3620va (VaSet
3621)
3622xt "33900,70500,42000,71500"
3623st "FTM_RS485_tx_d"
3624ju 2
3625blo "42000,71300"
3626tm "CptPortNameMgr"
3627)
3628)
3629dt (MLText
3630uid 7630,0
3631va (VaSet
3632font "Courier New,8,0"
3633)
3634xt "44000,18800,67500,19600"
3635st "FTM_RS485_tx_d : OUT std_logic ;
3636"
3637)
3638thePort (LogicalPort
3639m 1
3640decl (Decl
3641n "FTM_RS485_tx_d"
3642t "std_logic"
3643o 21
3644suid 100,0
3645)
3646)
3647)
3648*205 (CptPort
3649uid 7631,0
3650ps "OnEdgeStrategy"
3651shape (Triangle
3652uid 7632,0
3653ro 90
3654va (VaSet
3655vasetType 1
3656fg "0,65535,0"
3657)
3658xt "43000,71625,43750,72375"
3659)
3660tg (CPTG
3661uid 7633,0
3662ps "CptPortTextPlaceStrategy"
3663stg "RightVerticalLayoutStrategy"
3664f (Text
3665uid 7634,0
3666va (VaSet
3667)
3668xt "33600,71500,42000,72500"
3669st "FTM_RS485_rx_en"
3670ju 2
3671blo "42000,72300"
3672tm "CptPortNameMgr"
3673)
3674)
3675dt (MLText
3676uid 7635,0
3677va (VaSet
3678font "Courier New,8,0"
3679)
3680xt "44000,18000,67500,18800"
3681st "FTM_RS485_rx_en : OUT std_logic ;
3682"
3683)
3684thePort (LogicalPort
3685m 1
3686decl (Decl
3687n "FTM_RS485_rx_en"
3688t "std_logic"
3689o 20
3690suid 101,0
3691)
3692)
3693)
3694*206 (CptPort
3695uid 7636,0
3696ps "OnEdgeStrategy"
3697shape (Triangle
3698uid 7637,0
3699ro 90
3700va (VaSet
3701vasetType 1
3702fg "0,65535,0"
3703)
3704xt "43000,72625,43750,73375"
3705)
3706tg (CPTG
3707uid 7638,0
3708ps "CptPortTextPlaceStrategy"
3709stg "RightVerticalLayoutStrategy"
3710f (Text
3711uid 7639,0
3712va (VaSet
3713)
3714xt "33600,72500,42000,73500"
3715st "FTM_RS485_tx_en"
3716ju 2
3717blo "42000,73300"
3718tm "CptPortNameMgr"
3719)
3720)
3721dt (MLText
3722uid 7640,0
3723va (VaSet
3724font "Courier New,8,0"
3725)
3726xt "44000,19600,67500,20400"
3727st "FTM_RS485_tx_en : OUT std_logic ;
3728"
3729)
3730thePort (LogicalPort
3731m 1
3732decl (Decl
3733n "FTM_RS485_tx_en"
3734t "std_logic"
3735o 22
3736suid 102,0
3737)
3738)
3739)
3740*207 (CptPort
3741uid 7850,0
3742ps "OnEdgeStrategy"
3743shape (Triangle
3744uid 7851,0
3745ro 90
3746va (VaSet
3747vasetType 1
3748fg "0,65535,0"
3749)
3750xt "43000,73625,43750,74375"
3751)
3752tg (CPTG
3753uid 7852,0
3754ps "CptPortTextPlaceStrategy"
3755stg "RightVerticalLayoutStrategy"
3756f (Text
3757uid 7853,0
3758va (VaSet
3759)
3760xt "33600,73500,42000,74500"
3761st "w5300_state : (7:0)"
3762ju 2
3763blo "42000,74300"
3764tm "CptPortNameMgr"
3765)
3766)
3767dt (MLText
3768uid 7854,0
3769va (VaSet
3770font "Courier New,8,0"
3771)
3772xt "44000,38800,102500,39600"
3773st "w5300_state : OUT std_logic_vector (7 DOWNTO 0) ; -- state is encoded here ... useful for debugging.
3774"
3775)
3776thePort (LogicalPort
3777m 1
3778decl (Decl
3779n "w5300_state"
3780t "std_logic_vector"
3781b "(7 DOWNTO 0)"
3782eolc "-- state is encoded here ... useful for debugging."
3783posAdd 0
3784o 46
3785suid 103,0
3786)
3787)
3788)
3789*208 (CptPort
3790uid 7882,0
3791ps "OnEdgeStrategy"
3792shape (Triangle
3793uid 7883,0
3794ro 90
3795va (VaSet
3796vasetType 1
3797fg "0,65535,0"
3798)
3799xt "43000,74625,43750,75375"
3800)
3801tg (CPTG
3802uid 7884,0
3803ps "CptPortTextPlaceStrategy"
3804stg "RightVerticalLayoutStrategy"
3805f (Text
3806uid 7885,0
3807va (VaSet
3808)
3809xt "31600,74500,42000,75500"
3810st "debug_data_ram_empty"
3811ju 2
3812blo "42000,75300"
3813tm "CptPortNameMgr"
3814)
3815)
3816dt (MLText
3817uid 7886,0
3818va (VaSet
3819font "Courier New,8,0"
3820)
3821xt "44000,27600,67500,28400"
3822st "debug_data_ram_empty : OUT std_logic ;
3823"
3824)
3825thePort (LogicalPort
3826m 1
3827decl (Decl
3828n "debug_data_ram_empty"
3829t "std_logic"
3830o 32
3831suid 104,0
3832)
3833)
3834)
3835*209 (CptPort
3836uid 7887,0
3837ps "OnEdgeStrategy"
3838shape (Triangle
3839uid 7888,0
3840ro 90
3841va (VaSet
3842vasetType 1
3843fg "0,65535,0"
3844)
3845xt "43000,75625,43750,76375"
3846)
3847tg (CPTG
3848uid 7889,0
3849ps "CptPortTextPlaceStrategy"
3850stg "RightVerticalLayoutStrategy"
3851f (Text
3852uid 7890,0
3853va (VaSet
3854)
3855xt "34500,75500,42000,76500"
3856st "debug_data_valid"
3857ju 2
3858blo "42000,76300"
3859tm "CptPortNameMgr"
3860)
3861)
3862dt (MLText
3863uid 7891,0
3864va (VaSet
3865font "Courier New,8,0"
3866)
3867xt "44000,28400,67500,29200"
3868st "debug_data_valid : OUT std_logic ;
3869"
3870)
3871thePort (LogicalPort
3872m 1
3873decl (Decl
3874n "debug_data_valid"
3875t "std_logic"
3876o 33
3877suid 105,0
3878)
3879)
3880)
3881*210 (CptPort
3882uid 7963,0
3883ps "OnEdgeStrategy"
3884shape (Triangle
3885uid 7964,0
3886ro 90
3887va (VaSet
3888vasetType 1
3889fg "0,65535,0"
3890)
3891xt "43000,76625,43750,77375"
3892)
3893tg (CPTG
3894uid 7965,0
3895ps "CptPortTextPlaceStrategy"
3896stg "RightVerticalLayoutStrategy"
3897f (Text
3898uid 7966,0
3899va (VaSet
3900)
3901xt "30600,76500,42000,77500"
3902st "mem_manager_state : (3:0)"
3903ju 2
3904blo "42000,77300"
3905tm "CptPortNameMgr"
3906)
3907)
3908dt (MLText
3909uid 7967,0
3910va (VaSet
3911font "Courier New,8,0"
3912)
3913xt "44000,33200,102500,34000"
3914st "mem_manager_state : OUT std_logic_vector (3 DOWNTO 0) ; -- state is encoded here ... useful for debugging.
3915"
3916)
3917thePort (LogicalPort
3918lang 2
3919m 1
3920decl (Decl
3921n "mem_manager_state"
3922t "std_logic_vector"
3923b "(3 DOWNTO 0)"
3924eolc "-- state is encoded here ... useful for debugging."
3925posAdd 0
3926o 39
3927suid 106,0
3928)
3929)
3930)
3931*211 (CptPort
3932uid 8023,0
3933ps "OnEdgeStrategy"
3934shape (Triangle
3935uid 8024,0
3936ro 90
3937va (VaSet
3938vasetType 1
3939fg "0,65535,0"
3940)
3941xt "43000,77625,43750,78375"
3942)
3943tg (CPTG
3944uid 8025,0
3945ps "CptPortTextPlaceStrategy"
3946stg "RightVerticalLayoutStrategy"
3947f (Text
3948uid 8026,0
3949va (VaSet
3950)
3951xt "35100,77500,42000,78500"
3952st "DG_state : (7:0)"
3953ju 2
3954blo "42000,78300"
3955tm "CptPortNameMgr"
3956)
3957)
3958dt (MLText
3959uid 8027,0
3960va (VaSet
3961font "Courier New,8,0"
3962)
3963xt "44000,16400,77000,18000"
3964st "-- for debugging
3965DG_state : OUT std_logic_vector (7 downto 0) ;
3966"
3967)
3968thePort (LogicalPort
3969m 1
3970decl (Decl
3971n "DG_state"
3972t "std_logic_vector"
3973b "(7 downto 0)"
3974prec "-- for debugging"
3975preAdd 0
3976o 19
3977suid 108,0
3978)
3979)
3980)
3981*212 (CptPort
3982uid 8283,0
3983ps "OnEdgeStrategy"
3984shape (Triangle
3985uid 8284,0
3986ro 90
3987va (VaSet
3988vasetType 1
3989fg "0,65535,0"
3990)
3991xt "43000,78625,43750,79375"
3992)
3993tg (CPTG
3994uid 8285,0
3995ps "CptPortTextPlaceStrategy"
3996stg "RightVerticalLayoutStrategy"
3997f (Text
3998uid 8286,0
3999va (VaSet
4000)
4001xt "30100,78500,42000,79500"
4002st "socket_tx_free_out : (16:0)"
4003ju 2
4004blo "42000,79300"
4005tm "CptPortNameMgr"
4006)
4007)
4008dt (MLText
4009uid 8287,0
4010va (VaSet
4011font "Courier New,8,0"
4012)
4013xt "44000,37200,92500,38000"
4014st "socket_tx_free_out : OUT std_logic_vector (16 DOWNTO 0) ; -- 17bit value .. that's true
4015"
4016)
4017thePort (LogicalPort
4018m 1
4019decl (Decl
4020n "socket_tx_free_out"
4021t "std_logic_vector"
4022b "(16 DOWNTO 0)"
4023eolc "-- 17bit value .. that's true"
4024posAdd 0
4025o 44
4026suid 109,0
4027)
4028)
4029)
4030]
4031shape (Rectangle
4032uid 4141,0
4033va (VaSet
4034vasetType 1
4035fg "0,65535,0"
4036lineColor "0,32896,0"
4037lineWidth 2
4038)
4039xt "15000,-8000,43000,81000"
4040)
4041oxt "15000,-8000,43000,45000"
4042biTextGroup (BiTextGroup
4043uid 10,0
4044ps "CenterOffsetStrategy"
4045stg "VerticalLayoutStrategy"
4046first (Text
4047uid 11,0
4048va (VaSet
4049font "Arial,8,1"
4050)
4051xt "15200,45000,21400,46000"
4052st "FACT_FAD_lib"
4053blo "15200,45800"
4054)
4055second (Text
4056uid 12,0
4057va (VaSet
4058font "Arial,8,1"
4059)
4060xt "15200,46000,19400,47000"
4061st "FAD_main"
4062blo "15200,46800"
4063)
4064)
4065gi *213 (GenericInterface
4066uid 13,0
4067ps "CenterOffsetStrategy"
4068matrix (Matrix
4069uid 14,0
4070text (MLText
4071uid 15,0
4072va (VaSet
4073font "Courier New,8,0"
4074)
4075xt "0,12000,15500,14400"
4076st "Generic Declarations
4077
4078RAMADDRWIDTH64b integer 12
4079"
4080)
4081header "Generic Declarations"
4082showHdrWhenContentsEmpty 1
4083)
4084elements [
4085(GiElement
4086name "RAMADDRWIDTH64b"
4087type "integer"
4088value "12"
4089)
4090]
4091)
4092portInstanceVisAsIs 1
4093portInstanceVis (PortSigDisplay
4094)
4095portVis (PortSigDisplay
4096)
4097)
4098*214 (Grouping
4099uid 16,0
4100optionalChildren [
4101*215 (CommentText
4102uid 18,0
4103shape (Rectangle
4104uid 19,0
4105sl 0
4106va (VaSet
4107vasetType 1
4108fg "65280,65280,46080"
4109)
4110xt "36000,48000,53000,49000"
4111)
4112oxt "18000,70000,35000,71000"
4113text (MLText
4114uid 20,0
4115va (VaSet
4116fg "0,0,32768"
4117bg "0,0,32768"
4118)
4119xt "36200,48000,47000,49000"
4120st "
4121by %user on %dd %month %year
4122"
4123tm "CommentText"
4124wrapOption 3
4125visibleHeight 1000
4126visibleWidth 17000
4127)
4128position 1
4129ignorePrefs 1
4130titleBlock 1
4131)
4132*216 (CommentText
4133uid 21,0
4134shape (Rectangle
4135uid 22,0
4136sl 0
4137va (VaSet
4138vasetType 1
4139fg "65280,65280,46080"
4140)
4141xt "53000,44000,57000,45000"
4142)
4143oxt "35000,66000,39000,67000"
4144text (MLText
4145uid 23,0
4146va (VaSet
4147fg "0,0,32768"
4148bg "0,0,32768"
4149)
4150xt "53200,44000,56500,45000"
4151st "
4152Project:
4153"
4154tm "CommentText"
4155wrapOption 3
4156visibleHeight 1000
4157visibleWidth 4000
4158)
4159position 1
4160ignorePrefs 1
4161titleBlock 1
4162)
4163*217 (CommentText
4164uid 24,0
4165shape (Rectangle
4166uid 25,0
4167sl 0
4168va (VaSet
4169vasetType 1
4170fg "65280,65280,46080"
4171)
4172xt "36000,46000,53000,47000"
4173)
4174oxt "18000,68000,35000,69000"
4175text (MLText
4176uid 26,0
4177va (VaSet
4178fg "0,0,32768"
4179bg "0,0,32768"
4180)
4181xt "36200,46000,47100,47000"
4182st "
4183<enter diagram title here>
4184"
4185tm "CommentText"
4186wrapOption 3
4187visibleHeight 1000
4188visibleWidth 17000
4189)
4190position 1
4191ignorePrefs 1
4192titleBlock 1
4193)
4194*218 (CommentText
4195uid 27,0
4196shape (Rectangle
4197uid 28,0
4198sl 0
4199va (VaSet
4200vasetType 1
4201fg "65280,65280,46080"
4202)
4203xt "32000,46000,36000,47000"
4204)
4205oxt "14000,68000,18000,69000"
4206text (MLText
4207uid 29,0
4208va (VaSet
4209fg "0,0,32768"
4210bg "0,0,32768"
4211)
4212xt "32200,46000,34500,47000"
4213st "
4214Title:
4215"
4216tm "CommentText"
4217wrapOption 3
4218visibleHeight 1000
4219visibleWidth 4000
4220)
4221position 1
4222ignorePrefs 1
4223titleBlock 1
4224)
4225*219 (CommentText
4226uid 30,0
4227shape (Rectangle
4228uid 31,0
4229sl 0
4230va (VaSet
4231vasetType 1
4232fg "65280,65280,46080"
4233)
4234xt "53000,45000,73000,49000"
4235)
4236oxt "35000,67000,55000,71000"
4237text (MLText
4238uid 32,0
4239va (VaSet
4240fg "0,0,32768"
4241bg "0,0,32768"
4242)
4243xt "53200,45200,63000,46200"
4244st "
4245<enter comments here>
4246"
4247tm "CommentText"
4248wrapOption 3
4249visibleHeight 4000
4250visibleWidth 20000
4251)
4252ignorePrefs 1
4253titleBlock 1
4254)
4255*220 (CommentText
4256uid 33,0
4257shape (Rectangle
4258uid 34,0
4259sl 0
4260va (VaSet
4261vasetType 1
4262fg "65280,65280,46080"
4263)
4264xt "57000,44000,73000,45000"
4265)
4266oxt "39000,66000,55000,67000"
4267text (MLText
4268uid 35,0
4269va (VaSet
4270fg "0,0,32768"
4271bg "0,0,32768"
4272)
4273xt "57200,44000,61900,45000"
4274st "
4275%project_name
4276"
4277tm "CommentText"
4278wrapOption 3
4279visibleHeight 1000
4280visibleWidth 16000
4281)
4282position 1
4283ignorePrefs 1
4284titleBlock 1
4285)
4286*221 (CommentText
4287uid 36,0
4288shape (Rectangle
4289uid 37,0
4290sl 0
4291va (VaSet
4292vasetType 1
4293fg "65280,65280,46080"
4294)
4295xt "32000,44000,53000,46000"
4296)
4297oxt "14000,66000,35000,68000"
4298text (MLText
4299uid 38,0
4300va (VaSet
4301fg "32768,0,0"
4302)
4303xt "39200,44500,45800,45500"
4304st "
4305<company name>
4306"
4307ju 0
4308tm "CommentText"
4309wrapOption 3
4310visibleHeight 2000
4311visibleWidth 21000
4312)
4313position 1
4314ignorePrefs 1
4315titleBlock 1
4316)
4317*222 (CommentText
4318uid 39,0
4319shape (Rectangle
4320uid 40,0
4321sl 0
4322va (VaSet
4323vasetType 1
4324fg "65280,65280,46080"
4325)
4326xt "32000,47000,36000,48000"
4327)
4328oxt "14000,69000,18000,70000"
4329text (MLText
4330uid 41,0
4331va (VaSet
4332fg "0,0,32768"
4333bg "0,0,32768"
4334)
4335xt "32200,47000,34500,48000"
4336st "
4337Path:
4338"
4339tm "CommentText"
4340wrapOption 3
4341visibleHeight 1000
4342visibleWidth 4000
4343)
4344position 1
4345ignorePrefs 1
4346titleBlock 1
4347)
4348*223 (CommentText
4349uid 42,0
4350shape (Rectangle
4351uid 43,0
4352sl 0
4353va (VaSet
4354vasetType 1
4355fg "65280,65280,46080"
4356)
4357xt "32000,48000,36000,49000"
4358)
4359oxt "14000,70000,18000,71000"
4360text (MLText
4361uid 44,0
4362va (VaSet
4363fg "0,0,32768"
4364bg "0,0,32768"
4365)
4366xt "32200,48000,35300,49000"
4367st "
4368Edited:
4369"
4370tm "CommentText"
4371wrapOption 3
4372visibleHeight 1000
4373visibleWidth 4000
4374)
4375position 1
4376ignorePrefs 1
4377titleBlock 1
4378)
4379*224 (CommentText
4380uid 45,0
4381shape (Rectangle
4382uid 46,0
4383sl 0
4384va (VaSet
4385vasetType 1
4386fg "65280,65280,46080"
4387)
4388xt "36000,47000,53000,48000"
4389)
4390oxt "18000,69000,35000,70000"
4391text (MLText
4392uid 47,0
4393va (VaSet
4394fg "0,0,32768"
4395bg "0,0,32768"
4396)
4397xt "36200,47000,50400,48000"
4398st "
4399%library/%unit/%view
4400"
4401tm "CommentText"
4402wrapOption 3
4403visibleHeight 1000
4404visibleWidth 17000
4405)
4406position 1
4407ignorePrefs 1
4408titleBlock 1
4409)
4410]
4411shape (GroupingShape
4412uid 17,0
4413va (VaSet
4414vasetType 1
4415fg "65535,65535,65535"
4416lineStyle 2
4417lineWidth 2
4418)
4419xt "32000,44000,73000,49000"
4420)
4421oxt "14000,66000,55000,71000"
4422)
4423]
4424bg "65535,65535,65535"
4425grid (Grid
4426origin "0,0"
4427isVisible 1
4428isActive 1
4429xSpacing 1000
4430xySpacing 1000
4431xShown 1
4432yShown 1
4433color "26368,26368,26368"
4434)
4435packageList *225 (PackageList
4436uid 48,0
4437stg "VerticalLayoutStrategy"
4438textVec [
4439*226 (Text
4440uid 49,0
4441va (VaSet
4442font "arial,8,1"
4443)
4444xt "0,0,5400,1000"
4445st "Package List"
4446blo "0,800"
4447)
4448*227 (MLText
4449uid 50,0
4450va (VaSet
4451)
4452xt "0,1000,16100,6000"
4453st "LIBRARY ieee;
4454USE ieee.std_logic_1164.all;
4455USE ieee.std_logic_arith.all;
4456LIBRARY FACT_FAD_lib;
4457USE FACT_FAD_lib.fad_definitions.all;"
4458tm "PackageList"
4459)
4460]
4461)
4462windowSize "0,0,1553,1028"
4463viewArea "-12542,-31642,130863,66614"
4464cachedDiagramExtent "0,-1000,83000,49000"
4465hasePageBreakOrigin 1
4466pageBreakOrigin "0,-2000"
4467defaultCommentText (CommentText
4468shape (Rectangle
4469layer 0
4470va (VaSet
4471vasetType 1
4472fg "65280,65280,46080"
4473lineColor "0,0,32768"
4474)
4475xt "0,0,15000,5000"
4476)
4477text (MLText
4478va (VaSet
4479fg "0,0,32768"
4480)
4481xt "200,200,2400,1200"
4482st "
4483Text
4484"
4485tm "CommentText"
4486wrapOption 3
4487visibleHeight 4600
4488visibleWidth 14600
4489)
4490)
4491defaultPanel (Panel
4492shape (RectFrame
4493va (VaSet
4494vasetType 1
4495fg "65535,65535,65535"
4496lineColor "32768,0,0"
4497lineWidth 3
4498)
4499xt "0,0,20000,20000"
4500)
4501title (TextAssociate
4502ps "TopLeftStrategy"
4503text (Text
4504va (VaSet
4505font "Arial,8,1"
4506)
4507xt "1000,1000,3800,2000"
4508st "Panel0"
4509blo "1000,1800"
4510tm "PanelText"
4511)
4512)
4513)
4514parentGraphicsRef (HdmGraphicsRef
4515libraryName ""
4516entityName ""
4517viewName ""
4518)
4519defaultSymbolBody (SymbolBody
4520shape (Rectangle
4521va (VaSet
4522vasetType 1
4523fg "0,65535,0"
4524lineColor "0,32896,0"
4525lineWidth 2
4526)
4527xt "15000,6000,33000,26000"
4528)
4529biTextGroup (BiTextGroup
4530ps "CenterOffsetStrategy"
4531stg "VerticalLayoutStrategy"
4532first (Text
4533va (VaSet
4534font "Arial,8,1"
4535)
4536xt "22200,15000,25800,16000"
4537st "<library>"
4538blo "22200,15800"
4539)
4540second (Text
4541va (VaSet
4542font "Arial,8,1"
4543)
4544xt "22200,16000,24800,17000"
4545st "<cell>"
4546blo "22200,16800"
4547)
4548)
4549gi *228 (GenericInterface
4550ps "CenterOffsetStrategy"
4551matrix (Matrix
4552text (MLText
4553va (VaSet
4554font "Courier New,8,0"
4555)
4556xt "0,12000,11500,12800"
4557st "Generic Declarations"
4558)
4559header "Generic Declarations"
4560showHdrWhenContentsEmpty 1
4561)
4562elements [
4563]
4564)
4565portInstanceVisAsIs 1
4566portInstanceVis (PortSigDisplay
4567sIVOD 1
4568)
4569portVis (PortSigDisplay
4570sIVOD 1
4571)
4572)
4573defaultCptPort (CptPort
4574ps "OnEdgeStrategy"
4575shape (Triangle
4576ro 90
4577va (VaSet
4578vasetType 1
4579fg "0,65535,0"
4580)
4581xt "0,0,750,750"
4582)
4583tg (CPTG
4584ps "CptPortTextPlaceStrategy"
4585stg "VerticalLayoutStrategy"
4586f (Text
4587va (VaSet
4588)
4589xt "0,750,1400,1750"
4590st "In0"
4591blo "0,1550"
4592tm "CptPortNameMgr"
4593)
4594)
4595dt (MLText
4596va (VaSet
4597font "Courier New,8,0"
4598)
4599)
4600thePort (LogicalPort
4601decl (Decl
4602n "In0"
4603t "std_logic_vector"
4604b "(15 DOWNTO 0)"
4605o 0
4606)
4607)
4608)
4609defaultCptPortBuffer (CptPort
4610ps "OnEdgeStrategy"
4611shape (Diamond
4612va (VaSet
4613vasetType 1
4614fg "65535,65535,65535"
4615bg "0,0,0"
4616)
4617xt "0,0,750,750"
4618)
4619tg (CPTG
4620ps "CptPortTextPlaceStrategy"
4621stg "VerticalLayoutStrategy"
4622f (Text
4623va (VaSet
4624)
4625xt "0,750,2800,1750"
4626st "Buffer0"
4627blo "0,1550"
4628tm "CptPortNameMgr"
4629)
4630)
4631dt (MLText
4632va (VaSet
4633font "Courier New,8,0"
4634)
4635)
4636thePort (LogicalPort
4637m 3
4638decl (Decl
4639n "Buffer0"
4640t "std_logic_vector"
4641b "(15 DOWNTO 0)"
4642o 0
4643)
4644)
4645)
4646DeclarativeBlock *229 (SymDeclBlock
4647uid 1,0
4648stg "SymDeclLayoutStrategy"
4649declLabel (Text
4650uid 2,0
4651va (VaSet
4652font "Arial,8,1"
4653)
4654xt "42000,0,47400,1000"
4655st "Declarations"
4656blo "42000,800"
4657)
4658portLabel (Text
4659uid 3,0
4660va (VaSet
4661font "Arial,8,1"
4662)
4663xt "42000,1000,44700,2000"
4664st "Ports:"
4665blo "42000,1800"
4666)
4667externalLabel (Text
4668uid 4,0
4669va (VaSet
4670font "Arial,8,1"
4671)
4672xt "42000,45200,44400,46200"
4673st "User:"
4674blo "42000,46000"
4675)
4676internalLabel (Text
4677uid 6,0
4678va (VaSet
4679isHidden 1
4680font "Arial,8,1"
4681)
4682xt "42000,0,47800,1000"
4683st "Internal User:"
4684blo "42000,800"
4685)
4686externalText (MLText
4687uid 5,0
4688va (VaSet
4689font "Courier New,8,0"
4690)
4691xt "44000,46200,44000,46200"
4692tm "SyDeclarativeTextMgr"
4693)
4694internalText (MLText
4695uid 7,0
4696va (VaSet
4697isHidden 1
4698font "Courier New,8,0"
4699)
4700xt "42000,0,42000,0"
4701tm "SyDeclarativeTextMgr"
4702)
4703)
4704lastUid 8508,0
4705okToSyncOnLoad 1
4706OkToSyncGenericsOnLoad 1
4707activeModelName "Symbol"
4708)
Note: See TracBrowser for help on using the repository browser.