source: firmware/FAD/FACT_FAD_lib/hds/clock_generator_var_ps/struct.bd.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 67.8 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "numeric_std"
16)
17(DmPackageRef
18library "FACT_FAD_lib"
19unitName "fad_definitions"
20)
21]
22instances [
23(Instance
24name "U_1"
25duLibraryName "FACT_FAD_lib"
26duName "dcm_ps_38ns"
27elements [
28]
29mwi 0
30uid 354,0
31)
32(Instance
33name "U_0"
34duLibraryName "FACT_FAD_lib"
35duName "dcm_50_to_25"
36elements [
37]
38mwi 0
39uid 403,0
40)
41(Instance
42name "U_2"
43duLibraryName "FACT_FAD_lib"
44duName "dcm_var_ps_38ns"
45elements [
46]
47mwi 0
48uid 514,0
49)
50(Instance
51name "U_4"
52duLibraryName "FACT_FAD_lib"
53duName "phase_shifter"
54elements [
55]
56mwi 0
57uid 826,0
58)
59]
60libraryRefs [
61"ieee"
62"UNISIM"
63"FACT_FAD_lib"
64]
65)
66version "29.1"
67appVersion "2009.1 (Build 12)"
68noEmbeddedEditors 1
69model (BlockDiag
70VExpander (VariableExpander
71vvMap [
72(vvPair
73variable "HDLDir"
74value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
75)
76(vvPair
77variable "HDSDir"
78value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
79)
80(vvPair
81variable "SideDataDesignDir"
82value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd.info"
83)
84(vvPair
85variable "SideDataUserDir"
86value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd.user"
87)
88(vvPair
89variable "SourceDir"
90value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
91)
92(vvPair
93variable "appl"
94value "HDL Designer"
95)
96(vvPair
97variable "arch_name"
98value "struct"
99)
100(vvPair
101variable "config"
102value "%(unit)_%(view)_config"
103)
104(vvPair
105variable "d"
106value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps"
107)
108(vvPair
109variable "d_logical"
110value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps"
111)
112(vvPair
113variable "date"
114value "03.03.2011"
115)
116(vvPair
117variable "day"
118value "Do"
119)
120(vvPair
121variable "day_long"
122value "Donnerstag"
123)
124(vvPair
125variable "dd"
126value "03"
127)
128(vvPair
129variable "entity_name"
130value "clock_generator_var_ps"
131)
132(vvPair
133variable "ext"
134value "<TBD>"
135)
136(vvPair
137variable "f"
138value "struct.bd"
139)
140(vvPair
141variable "f_logical"
142value "struct.bd"
143)
144(vvPair
145variable "f_noext"
146value "struct"
147)
148(vvPair
149variable "group"
150value "UNKNOWN"
151)
152(vvPair
153variable "host"
154value "IHP110"
155)
156(vvPair
157variable "language"
158value "VHDL"
159)
160(vvPair
161variable "library"
162value "FACT_FAD_lib"
163)
164(vvPair
165variable "library_downstream_HdsLintPlugin"
166value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
167)
168(vvPair
169variable "library_downstream_ISEPARInvoke"
170value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
171)
172(vvPair
173variable "library_downstream_ImpactInvoke"
174value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
175)
176(vvPair
177variable "library_downstream_ModelSimCompiler"
178value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
179)
180(vvPair
181variable "library_downstream_XSTDataPrep"
182value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
183)
184(vvPair
185variable "mm"
186value "03"
187)
188(vvPair
189variable "module_name"
190value "clock_generator_var_ps"
191)
192(vvPair
193variable "month"
194value "Mrz"
195)
196(vvPair
197variable "month_long"
198value "März"
199)
200(vvPair
201variable "p"
202value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd"
203)
204(vvPair
205variable "p_logical"
206value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd"
207)
208(vvPair
209variable "package_name"
210value "<Undefined Variable>"
211)
212(vvPair
213variable "project_name"
214value "FACT_FAD"
215)
216(vvPair
217variable "series"
218value "HDL Designer Series"
219)
220(vvPair
221variable "task_DesignCompilerPath"
222value "<TBD>"
223)
224(vvPair
225variable "task_LeonardoPath"
226value "<TBD>"
227)
228(vvPair
229variable "task_ModelSimPath"
230value "D:\\modeltech_6.5e\\win32"
231)
232(vvPair
233variable "task_NC-SimPath"
234value "<TBD>"
235)
236(vvPair
237variable "task_PrecisionRTLPath"
238value "<TBD>"
239)
240(vvPair
241variable "task_QuestaSimPath"
242value "<TBD>"
243)
244(vvPair
245variable "task_VCSPath"
246value "<TBD>"
247)
248(vvPair
249variable "this_ext"
250value "bd"
251)
252(vvPair
253variable "this_file"
254value "struct"
255)
256(vvPair
257variable "this_file_logical"
258value "struct"
259)
260(vvPair
261variable "time"
262value "17:32:15"
263)
264(vvPair
265variable "unit"
266value "clock_generator_var_ps"
267)
268(vvPair
269variable "user"
270value "daqct3"
271)
272(vvPair
273variable "version"
274value "2009.1 (Build 12)"
275)
276(vvPair
277variable "view"
278value "struct"
279)
280(vvPair
281variable "year"
282value "2011"
283)
284(vvPair
285variable "yy"
286value "11"
287)
288]
289)
290LanguageMgr "VhdlLangMgr"
291uid 52,0
292optionalChildren [
293*1 (Grouping
294uid 9,0
295optionalChildren [
296*2 (CommentText
297uid 11,0
298shape (Rectangle
299uid 12,0
300sl 0
301va (VaSet
302vasetType 1
303fg "65280,65280,46080"
304)
305xt "36000,4000,53000,5000"
306)
307oxt "18000,70000,35000,71000"
308text (MLText
309uid 13,0
310va (VaSet
311fg "0,0,32768"
312bg "0,0,32768"
313)
314xt "36200,4000,47300,5000"
315st "
316by %user on %dd %month %year
317"
318tm "CommentText"
319wrapOption 3
320visibleHeight 1000
321visibleWidth 17000
322)
323position 1
324ignorePrefs 1
325titleBlock 1
326)
327*3 (CommentText
328uid 14,0
329shape (Rectangle
330uid 15,0
331sl 0
332va (VaSet
333vasetType 1
334fg "65280,65280,46080"
335)
336xt "53000,0,57000,1000"
337)
338oxt "35000,66000,39000,67000"
339text (MLText
340uid 16,0
341va (VaSet
342fg "0,0,32768"
343bg "0,0,32768"
344)
345xt "53200,0,56500,1000"
346st "
347Project:
348"
349tm "CommentText"
350wrapOption 3
351visibleHeight 1000
352visibleWidth 4000
353)
354position 1
355ignorePrefs 1
356titleBlock 1
357)
358*4 (CommentText
359uid 17,0
360shape (Rectangle
361uid 18,0
362sl 0
363va (VaSet
364vasetType 1
365fg "65280,65280,46080"
366)
367xt "36000,2000,53000,3000"
368)
369oxt "18000,68000,35000,69000"
370text (MLText
371uid 19,0
372va (VaSet
373fg "0,0,32768"
374bg "0,0,32768"
375)
376xt "36200,2000,47100,3000"
377st "
378<enter diagram title here>
379"
380tm "CommentText"
381wrapOption 3
382visibleHeight 1000
383visibleWidth 17000
384)
385position 1
386ignorePrefs 1
387titleBlock 1
388)
389*5 (CommentText
390uid 20,0
391shape (Rectangle
392uid 21,0
393sl 0
394va (VaSet
395vasetType 1
396fg "65280,65280,46080"
397)
398xt "32000,2000,36000,3000"
399)
400oxt "14000,68000,18000,69000"
401text (MLText
402uid 22,0
403va (VaSet
404fg "0,0,32768"
405bg "0,0,32768"
406)
407xt "32200,2000,34500,3000"
408st "
409Title:
410"
411tm "CommentText"
412wrapOption 3
413visibleHeight 1000
414visibleWidth 4000
415)
416position 1
417ignorePrefs 1
418titleBlock 1
419)
420*6 (CommentText
421uid 23,0
422shape (Rectangle
423uid 24,0
424sl 0
425va (VaSet
426vasetType 1
427fg "65280,65280,46080"
428)
429xt "53000,1000,73000,5000"
430)
431oxt "35000,67000,55000,71000"
432text (MLText
433uid 25,0
434va (VaSet
435fg "0,0,32768"
436bg "0,0,32768"
437)
438xt "53200,1200,63000,2200"
439st "
440<enter comments here>
441"
442tm "CommentText"
443wrapOption 3
444visibleHeight 4000
445visibleWidth 20000
446)
447ignorePrefs 1
448titleBlock 1
449)
450*7 (CommentText
451uid 26,0
452shape (Rectangle
453uid 27,0
454sl 0
455va (VaSet
456vasetType 1
457fg "65280,65280,46080"
458)
459xt "57000,0,73000,1000"
460)
461oxt "39000,66000,55000,67000"
462text (MLText
463uid 28,0
464va (VaSet
465fg "0,0,32768"
466bg "0,0,32768"
467)
468xt "57200,0,61900,1000"
469st "
470%project_name
471"
472tm "CommentText"
473wrapOption 3
474visibleHeight 1000
475visibleWidth 16000
476)
477position 1
478ignorePrefs 1
479titleBlock 1
480)
481*8 (CommentText
482uid 29,0
483shape (Rectangle
484uid 30,0
485sl 0
486va (VaSet
487vasetType 1
488fg "65280,65280,46080"
489)
490xt "32000,0,53000,2000"
491)
492oxt "14000,66000,35000,68000"
493text (MLText
494uid 31,0
495va (VaSet
496fg "32768,0,0"
497)
498xt "39200,500,45800,1500"
499st "
500<company name>
501"
502ju 0
503tm "CommentText"
504wrapOption 3
505visibleHeight 2000
506visibleWidth 21000
507)
508position 1
509ignorePrefs 1
510titleBlock 1
511)
512*9 (CommentText
513uid 32,0
514shape (Rectangle
515uid 33,0
516sl 0
517va (VaSet
518vasetType 1
519fg "65280,65280,46080"
520)
521xt "32000,3000,36000,4000"
522)
523oxt "14000,69000,18000,70000"
524text (MLText
525uid 34,0
526va (VaSet
527fg "0,0,32768"
528bg "0,0,32768"
529)
530xt "32200,3000,34500,4000"
531st "
532Path:
533"
534tm "CommentText"
535wrapOption 3
536visibleHeight 1000
537visibleWidth 4000
538)
539position 1
540ignorePrefs 1
541titleBlock 1
542)
543*10 (CommentText
544uid 35,0
545shape (Rectangle
546uid 36,0
547sl 0
548va (VaSet
549vasetType 1
550fg "65280,65280,46080"
551)
552xt "32000,4000,36000,5000"
553)
554oxt "14000,70000,18000,71000"
555text (MLText
556uid 37,0
557va (VaSet
558fg "0,0,32768"
559bg "0,0,32768"
560)
561xt "32200,4000,35300,5000"
562st "
563Edited:
564"
565tm "CommentText"
566wrapOption 3
567visibleHeight 1000
568visibleWidth 4000
569)
570position 1
571ignorePrefs 1
572titleBlock 1
573)
574*11 (CommentText
575uid 38,0
576shape (Rectangle
577uid 39,0
578sl 0
579va (VaSet
580vasetType 1
581fg "65280,65280,46080"
582)
583xt "36000,3000,53000,4000"
584)
585oxt "18000,69000,35000,70000"
586text (MLText
587uid 40,0
588va (VaSet
589fg "0,0,32768"
590bg "0,0,32768"
591)
592xt "36200,3000,53100,4000"
593st "
594%library/%unit/%view
595"
596tm "CommentText"
597wrapOption 3
598visibleHeight 1000
599visibleWidth 17000
600)
601position 1
602ignorePrefs 1
603titleBlock 1
604)
605]
606shape (GroupingShape
607uid 10,0
608va (VaSet
609vasetType 1
610fg "65535,65535,65535"
611lineStyle 2
612lineWidth 2
613)
614xt "32000,0,73000,5000"
615)
616oxt "14000,66000,55000,71000"
617)
618*12 (PortIoIn
619uid 169,0
620shape (CompositeShape
621uid 170,0
622va (VaSet
623vasetType 1
624fg "0,0,32768"
625)
626optionalChildren [
627(Pentagon
628uid 171,0
629sl 0
630ro 270
631xt "8000,13625,9500,14375"
632)
633(Line
634uid 172,0
635sl 0
636ro 270
637xt "9500,14000,10000,14000"
638pts [
639"9500,14000"
640"10000,14000"
641]
642)
643]
644)
645stc 0
646sf 1
647tg (WTG
648uid 173,0
649ps "PortIoTextPlaceStrategy"
650stg "STSignalDisplayStrategy"
651f (Text
652uid 174,0
653va (VaSet
654font "arial,8,0"
655)
656xt "5100,13500,7000,14500"
657st "CLK"
658ju 2
659blo "7000,14300"
660tm "WireNameMgr"
661)
662)
663)
664*13 (PortIoOut
665uid 197,0
666shape (CompositeShape
667uid 198,0
668va (VaSet
669vasetType 1
670fg "0,0,32768"
671)
672optionalChildren [
673(Pentagon
674uid 199,0
675sl 0
676ro 270
677xt "39500,15625,41000,16375"
678)
679(Line
680uid 200,0
681sl 0
682ro 270
683xt "39000,16000,39500,16000"
684pts [
685"39000,16000"
686"39500,16000"
687]
688)
689]
690)
691stc 0
692sf 1
693tg (WTG
694uid 201,0
695ps "PortIoTextPlaceStrategy"
696stg "STSignalDisplayStrategy"
697f (Text
698uid 202,0
699va (VaSet
700font "arial,8,0"
701)
702xt "42000,15500,45100,16500"
703st "CLK_50"
704blo "42000,16300"
705tm "WireNameMgr"
706)
707)
708)
709*14 (PortIoOut
710uid 215,0
711shape (CompositeShape
712uid 216,0
713va (VaSet
714vasetType 1
715fg "0,0,32768"
716)
717optionalChildren [
718(Pentagon
719uid 217,0
720sl 0
721ro 270
722xt "39500,13625,41000,14375"
723)
724(Line
725uid 218,0
726sl 0
727ro 270
728xt "39000,14000,39500,14000"
729pts [
730"39000,14000"
731"39500,14000"
732]
733)
734]
735)
736stc 0
737sf 1
738tg (WTG
739uid 219,0
740ps "PortIoTextPlaceStrategy"
741stg "STSignalDisplayStrategy"
742f (Text
743uid 220,0
744va (VaSet
745font "arial,8,0"
746)
747xt "42000,13500,45100,14500"
748st "CLK_25"
749blo "42000,14300"
750tm "WireNameMgr"
751)
752)
753)
754*15 (Net
755uid 223,0
756decl (Decl
757n "CLK_50"
758t "std_logic"
759o 3
760suid 9,0
761)
762declText (MLText
763uid 224,0
764va (VaSet
765font "Courier New,8,0"
766)
767xt "11000,-9200,26000,-8400"
768st "CLK_50 : std_logic"
769)
770)
771*16 (Net
772uid 225,0
773decl (Decl
774n "CLK_25"
775t "std_logic"
776o 2
777suid 10,0
778)
779declText (MLText
780uid 226,0
781va (VaSet
782font "Courier New,8,0"
783)
784xt "11000,-10800,26000,-10000"
785st "CLK_25 : std_logic"
786)
787)
788*17 (Net
789uid 293,0
790decl (Decl
791n "CLK"
792t "std_logic"
793o 1
794suid 13,0
795)
796declText (MLText
797uid 294,0
798va (VaSet
799font "Courier New,8,0"
800)
801xt "11000,-14000,26000,-13200"
802st "CLK : std_logic"
803)
804)
805*18 (SaComponent
806uid 354,0
807optionalChildren [
808*19 (CptPort
809uid 346,0
810ps "OnEdgeStrategy"
811shape (Triangle
812uid 347,0
813ro 90
814va (VaSet
815vasetType 1
816fg "0,65535,0"
817)
818xt "52250,10625,53000,11375"
819)
820tg (CPTG
821uid 348,0
822ps "CptPortTextPlaceStrategy"
823stg "VerticalLayoutStrategy"
824f (Text
825uid 349,0
826va (VaSet
827font "arial,8,0"
828)
829xt "54000,10500,57900,11500"
830st "CLKIN_IN"
831blo "54000,11300"
832)
833)
834thePort (LogicalPort
835decl (Decl
836n "CLKIN_IN"
837t "std_logic"
838o 1
839)
840)
841)
842*20 (CptPort
843uid 350,0
844ps "OnEdgeStrategy"
845shape (Triangle
846uid 351,0
847ro 90
848va (VaSet
849vasetType 1
850fg "0,65535,0"
851)
852xt "64000,12625,64750,13375"
853)
854tg (CPTG
855uid 352,0
856ps "CptPortTextPlaceStrategy"
857stg "RightVerticalLayoutStrategy"
858f (Text
859uid 353,0
860va (VaSet
861font "arial,8,0"
862)
863xt "58600,12500,63000,13500"
864st "CLK0_OUT"
865ju 2
866blo "63000,13300"
867)
868)
869thePort (LogicalPort
870m 1
871decl (Decl
872n "CLK0_OUT"
873t "std_logic"
874o 2
875)
876)
877)
878]
879shape (Rectangle
880uid 355,0
881va (VaSet
882vasetType 1
883fg "0,65535,0"
884lineColor "0,32896,0"
885lineWidth 2
886)
887xt "53000,10000,64000,15000"
888)
889oxt "0,0,8000,10000"
890ttg (MlTextGroup
891uid 356,0
892ps "CenterOffsetStrategy"
893stg "VerticalLayoutStrategy"
894textVec [
895*21 (Text
896uid 357,0
897va (VaSet
898font "arial,8,1"
899)
900xt "53400,15000,59600,16000"
901st "FACT_FAD_lib"
902blo "53400,15800"
903tm "BdLibraryNameMgr"
904)
905*22 (Text
906uid 358,0
907va (VaSet
908font "arial,8,1"
909)
910xt "53400,16000,59200,17000"
911st "dcm_ps_38ns"
912blo "53400,16800"
913tm "CptNameMgr"
914)
915*23 (Text
916uid 359,0
917va (VaSet
918font "arial,8,1"
919)
920xt "53400,17000,55200,18000"
921st "U_1"
922blo "53400,17800"
923tm "InstanceNameMgr"
924)
925]
926)
927ga (GenericAssociation
928uid 360,0
929ps "EdgeToEdgeStrategy"
930matrix (Matrix
931uid 361,0
932text (MLText
933uid 362,0
934va (VaSet
935font "Courier New,8,0"
936)
937xt "58500,12000,58500,12000"
938)
939header ""
940)
941elements [
942]
943)
944viewicon (ZoomableIcon
945uid 363,0
946sl 0
947va (VaSet
948vasetType 1
949fg "49152,49152,49152"
950)
951xt "53250,13250,54750,14750"
952iconName "VhdlFileViewIcon.png"
953iconMaskName "VhdlFileViewIcon.msk"
954ftype 10
955)
956ordering 1
957viewiconposition 0
958portVis (PortSigDisplay
959)
960archFileType "UNKNOWN"
961)
962*24 (SaComponent
963uid 403,0
964optionalChildren [
965*25 (CptPort
966uid 387,0
967ps "OnEdgeStrategy"
968shape (Triangle
969uid 388,0
970ro 90
971va (VaSet
972vasetType 1
973fg "0,65535,0"
974)
975xt "16250,13625,17000,14375"
976)
977tg (CPTG
978uid 389,0
979ps "CptPortTextPlaceStrategy"
980stg "VerticalLayoutStrategy"
981f (Text
982uid 390,0
983va (VaSet
984font "arial,8,0"
985)
986xt "18000,13500,21900,14500"
987st "CLKIN_IN"
988blo "18000,14300"
989)
990)
991thePort (LogicalPort
992decl (Decl
993n "CLKIN_IN"
994t "std_logic"
995o 1
996)
997)
998)
999*26 (CptPort
1000uid 391,0
1001ps "OnEdgeStrategy"
1002shape (Triangle
1003uid 392,0
1004ro 90
1005va (VaSet
1006vasetType 1
1007fg "0,65535,0"
1008)
1009xt "32000,13625,32750,14375"
1010)
1011tg (CPTG
1012uid 393,0
1013ps "CptPortTextPlaceStrategy"
1014stg "RightVerticalLayoutStrategy"
1015f (Text
1016uid 394,0
1017va (VaSet
1018font "arial,8,0"
1019)
1020xt "26000,13500,31000,14500"
1021st "CLKFX_OUT"
1022ju 2
1023blo "31000,14300"
1024)
1025)
1026thePort (LogicalPort
1027m 1
1028decl (Decl
1029n "CLKFX_OUT"
1030t "std_logic"
1031o 2
1032)
1033)
1034)
1035*27 (CptPort
1036uid 395,0
1037ps "OnEdgeStrategy"
1038shape (Triangle
1039uid 396,0
1040ro 90
1041va (VaSet
1042vasetType 1
1043fg "0,65535,0"
1044)
1045xt "32000,14625,32750,15375"
1046)
1047tg (CPTG
1048uid 397,0
1049ps "CptPortTextPlaceStrategy"
1050stg "RightVerticalLayoutStrategy"
1051f (Text
1052uid 398,0
1053va (VaSet
1054font "arial,8,0"
1055)
1056xt "23000,14500,31000,15500"
1057st "CLKIN_IBUFG_OUT"
1058ju 2
1059blo "31000,15300"
1060)
1061)
1062thePort (LogicalPort
1063m 1
1064decl (Decl
1065n "CLKIN_IBUFG_OUT"
1066t "std_logic"
1067o 3
1068)
1069)
1070)
1071*28 (CptPort
1072uid 399,0
1073ps "OnEdgeStrategy"
1074shape (Triangle
1075uid 400,0
1076ro 90
1077va (VaSet
1078vasetType 1
1079fg "0,65535,0"
1080)
1081xt "32000,15625,32750,16375"
1082)
1083tg (CPTG
1084uid 401,0
1085ps "CptPortTextPlaceStrategy"
1086stg "RightVerticalLayoutStrategy"
1087f (Text
1088uid 402,0
1089va (VaSet
1090font "arial,8,0"
1091)
1092xt "26600,15500,31000,16500"
1093st "CLK0_OUT"
1094ju 2
1095blo "31000,16300"
1096)
1097)
1098thePort (LogicalPort
1099m 1
1100decl (Decl
1101n "CLK0_OUT"
1102t "std_logic"
1103o 4
1104)
1105)
1106)
1107]
1108shape (Rectangle
1109uid 404,0
1110va (VaSet
1111vasetType 1
1112fg "0,65535,0"
1113lineColor "0,32896,0"
1114lineWidth 2
1115)
1116xt "17000,13000,32000,18000"
1117)
1118oxt "0,0,8000,10000"
1119ttg (MlTextGroup
1120uid 405,0
1121ps "CenterOffsetStrategy"
1122stg "VerticalLayoutStrategy"
1123textVec [
1124*29 (Text
1125uid 406,0
1126va (VaSet
1127font "arial,8,1"
1128)
1129xt "17400,18000,23600,19000"
1130st "FACT_FAD_lib"
1131blo "17400,18800"
1132tm "BdLibraryNameMgr"
1133)
1134*30 (Text
1135uid 407,0
1136va (VaSet
1137font "arial,8,1"
1138)
1139xt "17400,19000,23400,20000"
1140st "dcm_50_to_25"
1141blo "17400,19800"
1142tm "CptNameMgr"
1143)
1144*31 (Text
1145uid 408,0
1146va (VaSet
1147font "arial,8,1"
1148)
1149xt "17400,20000,19200,21000"
1150st "U_0"
1151blo "17400,20800"
1152tm "InstanceNameMgr"
1153)
1154]
1155)
1156ga (GenericAssociation
1157uid 409,0
1158ps "EdgeToEdgeStrategy"
1159matrix (Matrix
1160uid 410,0
1161text (MLText
1162uid 411,0
1163va (VaSet
1164font "Courier New,8,0"
1165)
1166xt "24500,13000,24500,13000"
1167)
1168header ""
1169)
1170elements [
1171]
1172)
1173viewicon (ZoomableIcon
1174uid 412,0
1175sl 0
1176va (VaSet
1177vasetType 1
1178fg "49152,49152,49152"
1179)
1180xt "17250,16250,18750,17750"
1181iconName "VhdlFileViewIcon.png"
1182iconMaskName "VhdlFileViewIcon.msk"
1183ftype 10
1184)
1185ordering 1
1186viewiconposition 0
1187portVis (PortSigDisplay
1188)
1189archFileType "UNKNOWN"
1190)
1191*32 (SaComponent
1192uid 514,0
1193optionalChildren [
1194*33 (CptPort
1195uid 482,0
1196ps "OnEdgeStrategy"
1197shape (Triangle
1198uid 483,0
1199ro 90
1200va (VaSet
1201vasetType 1
1202fg "0,65535,0"
1203)
1204xt "13250,31625,14000,32375"
1205)
1206tg (CPTG
1207uid 484,0
1208ps "CptPortTextPlaceStrategy"
1209stg "VerticalLayoutStrategy"
1210f (Text
1211uid 485,0
1212va (VaSet
1213font "arial,8,0"
1214)
1215xt "15000,31500,18900,32500"
1216st "CLKIN_IN"
1217blo "15000,32300"
1218)
1219)
1220thePort (LogicalPort
1221decl (Decl
1222n "CLKIN_IN"
1223t "std_logic"
1224o 1
1225)
1226)
1227)
1228*34 (CptPort
1229uid 486,0
1230ps "OnEdgeStrategy"
1231shape (Triangle
1232uid 487,0
1233ro 90
1234va (VaSet
1235vasetType 1
1236fg "0,65535,0"
1237)
1238xt "13250,32625,14000,33375"
1239)
1240tg (CPTG
1241uid 488,0
1242ps "CptPortTextPlaceStrategy"
1243stg "VerticalLayoutStrategy"
1244f (Text
1245uid 489,0
1246va (VaSet
1247font "arial,8,0"
1248)
1249xt "15000,32500,19100,33500"
1250st "PSCLK_IN"
1251blo "15000,33300"
1252)
1253)
1254thePort (LogicalPort
1255decl (Decl
1256n "PSCLK_IN"
1257t "std_logic"
1258o 2
1259)
1260)
1261)
1262*35 (CptPort
1263uid 490,0
1264ps "OnEdgeStrategy"
1265shape (Triangle
1266uid 491,0
1267ro 90
1268va (VaSet
1269vasetType 1
1270fg "0,65535,0"
1271)
1272xt "13250,33625,14000,34375"
1273)
1274tg (CPTG
1275uid 492,0
1276ps "CptPortTextPlaceStrategy"
1277stg "VerticalLayoutStrategy"
1278f (Text
1279uid 493,0
1280va (VaSet
1281font "arial,8,0"
1282)
1283xt "15000,33500,18700,34500"
1284st "PSEN_IN"
1285blo "15000,34300"
1286)
1287)
1288thePort (LogicalPort
1289decl (Decl
1290n "PSEN_IN"
1291t "std_logic"
1292o 3
1293)
1294)
1295)
1296*36 (CptPort
1297uid 494,0
1298ps "OnEdgeStrategy"
1299shape (Triangle
1300uid 495,0
1301ro 90
1302va (VaSet
1303vasetType 1
1304fg "0,65535,0"
1305)
1306xt "13250,34625,14000,35375"
1307)
1308tg (CPTG
1309uid 496,0
1310ps "CptPortTextPlaceStrategy"
1311stg "VerticalLayoutStrategy"
1312f (Text
1313uid 497,0
1314va (VaSet
1315font "arial,8,0"
1316)
1317xt "15000,34500,21100,35500"
1318st "PSINCDEC_IN"
1319blo "15000,35300"
1320)
1321)
1322thePort (LogicalPort
1323decl (Decl
1324n "PSINCDEC_IN"
1325t "std_logic"
1326o 4
1327)
1328)
1329)
1330*37 (CptPort
1331uid 502,0
1332ps "OnEdgeStrategy"
1333shape (Triangle
1334uid 503,0
1335ro 90
1336va (VaSet
1337vasetType 1
1338fg "0,65535,0"
1339)
1340xt "29000,31625,29750,32375"
1341)
1342tg (CPTG
1343uid 504,0
1344ps "CptPortTextPlaceStrategy"
1345stg "RightVerticalLayoutStrategy"
1346f (Text
1347uid 505,0
1348va (VaSet
1349font "arial,8,0"
1350)
1351xt "23600,31500,28000,32500"
1352st "CLK0_OUT"
1353ju 2
1354blo "28000,32300"
1355)
1356)
1357thePort (LogicalPort
1358m 1
1359decl (Decl
1360n "CLK0_OUT"
1361t "std_logic"
1362o 6
1363)
1364)
1365)
1366*38 (CptPort
1367uid 506,0
1368ps "OnEdgeStrategy"
1369shape (Triangle
1370uid 507,0
1371ro 90
1372va (VaSet
1373vasetType 1
1374fg "0,65535,0"
1375)
1376xt "29000,32625,29750,33375"
1377)
1378tg (CPTG
1379uid 508,0
1380ps "CptPortTextPlaceStrategy"
1381stg "RightVerticalLayoutStrategy"
1382f (Text
1383uid 509,0
1384va (VaSet
1385font "arial,8,0"
1386)
1387xt "21900,32500,28000,33500"
1388st "LOCKED_OUT"
1389ju 2
1390blo "28000,33300"
1391)
1392)
1393thePort (LogicalPort
1394m 1
1395decl (Decl
1396n "LOCKED_OUT"
1397t "std_logic"
1398o 7
1399)
1400)
1401)
1402*39 (CptPort
1403uid 510,0
1404ps "OnEdgeStrategy"
1405shape (Triangle
1406uid 511,0
1407ro 90
1408va (VaSet
1409vasetType 1
1410fg "0,65535,0"
1411)
1412xt "29000,33625,29750,34375"
1413)
1414tg (CPTG
1415uid 512,0
1416ps "CptPortTextPlaceStrategy"
1417stg "RightVerticalLayoutStrategy"
1418f (Text
1419uid 513,0
1420va (VaSet
1421font "arial,8,0"
1422)
1423xt "21800,33500,28000,34500"
1424st "PSDONE_OUT"
1425ju 2
1426blo "28000,34300"
1427)
1428)
1429thePort (LogicalPort
1430m 1
1431decl (Decl
1432n "PSDONE_OUT"
1433t "std_logic"
1434o 8
1435)
1436)
1437)
1438*40 (CptPort
1439uid 1487,0
1440ps "OnEdgeStrategy"
1441shape (Triangle
1442uid 1488,0
1443ro 90
1444va (VaSet
1445vasetType 1
1446fg "0,65535,0"
1447)
1448xt "13250,35625,14000,36375"
1449)
1450tg (CPTG
1451uid 1489,0
1452ps "CptPortTextPlaceStrategy"
1453stg "VerticalLayoutStrategy"
1454f (Text
1455uid 1490,0
1456va (VaSet
1457font "arial,8,0"
1458)
1459xt "15000,35500,18200,36500"
1460st "RST_IN"
1461blo "15000,36300"
1462)
1463)
1464thePort (LogicalPort
1465decl (Decl
1466n "RST_IN"
1467t "std_logic"
1468o 5
1469)
1470)
1471)
1472]
1473shape (Rectangle
1474uid 515,0
1475va (VaSet
1476vasetType 1
1477fg "0,65535,0"
1478lineColor "0,32896,0"
1479lineWidth 2
1480)
1481xt "14000,31000,29000,38000"
1482)
1483oxt "0,0,8000,10000"
1484ttg (MlTextGroup
1485uid 516,0
1486ps "CenterOffsetStrategy"
1487stg "VerticalLayoutStrategy"
1488textVec [
1489*41 (Text
1490uid 517,0
1491va (VaSet
1492font "arial,8,1"
1493)
1494xt "14800,38000,21000,39000"
1495st "FACT_FAD_lib"
1496blo "14800,38800"
1497tm "BdLibraryNameMgr"
1498)
1499*42 (Text
1500uid 518,0
1501va (VaSet
1502font "arial,8,1"
1503)
1504xt "14800,39000,22200,40000"
1505st "dcm_var_ps_38ns"
1506blo "14800,39800"
1507tm "CptNameMgr"
1508)
1509*43 (Text
1510uid 519,0
1511va (VaSet
1512font "arial,8,1"
1513)
1514xt "14800,40000,16600,41000"
1515st "U_2"
1516blo "14800,40800"
1517tm "InstanceNameMgr"
1518)
1519]
1520)
1521ga (GenericAssociation
1522uid 520,0
1523ps "EdgeToEdgeStrategy"
1524matrix (Matrix
1525uid 521,0
1526text (MLText
1527uid 522,0
1528va (VaSet
1529font "Courier New,8,0"
1530)
1531xt "21500,31000,21500,31000"
1532)
1533header ""
1534)
1535elements [
1536]
1537)
1538viewicon (ZoomableIcon
1539uid 523,0
1540sl 0
1541va (VaSet
1542vasetType 1
1543fg "49152,49152,49152"
1544)
1545xt "14250,36250,15750,37750"
1546iconName "VhdlFileViewIcon.png"
1547iconMaskName "VhdlFileViewIcon.msk"
1548ftype 10
1549)
1550ordering 1
1551viewiconposition 0
1552portVis (PortSigDisplay
1553)
1554archFileType "UNKNOWN"
1555)
1556*44 (Net
1557uid 524,0
1558decl (Decl
1559n "CLK0_OUT"
1560t "std_logic"
1561o 14
1562suid 14,0
1563)
1564declText (MLText
1565uid 525,0
1566va (VaSet
1567font "Courier New,8,0"
1568)
1569xt "11000,-5000,29500,-4200"
1570st "SIGNAL CLK0_OUT : std_logic"
1571)
1572)
1573*45 (Net
1574uid 530,0
1575decl (Decl
1576n "PSCLK_IN"
1577t "std_logic"
1578o 16
1579suid 15,0
1580)
1581declText (MLText
1582uid 531,0
1583va (VaSet
1584font "Courier New,8,0"
1585)
1586xt "11000,-3400,29500,-2600"
1587st "SIGNAL PSCLK_IN : std_logic"
1588)
1589)
1590*46 (Net
1591uid 544,0
1592decl (Decl
1593n "PSEN_IN"
1594t "std_logic"
1595o 18
1596suid 16,0
1597)
1598declText (MLText
1599uid 545,0
1600va (VaSet
1601font "Courier New,8,0"
1602)
1603xt "11000,-1800,29500,-1000"
1604st "SIGNAL PSEN_IN : std_logic"
1605)
1606)
1607*47 (Net
1608uid 558,0
1609decl (Decl
1610n "PSINCDEC_IN"
1611t "std_logic"
1612o 19
1613suid 17,0
1614)
1615declText (MLText
1616uid 559,0
1617va (VaSet
1618font "Courier New,8,0"
1619)
1620xt "11000,-1000,29500,-200"
1621st "SIGNAL PSINCDEC_IN : std_logic"
1622)
1623)
1624*48 (Net
1625uid 586,0
1626decl (Decl
1627n "PSDONE_OUT"
1628t "std_logic"
1629o 17
1630suid 19,0
1631)
1632declText (MLText
1633uid 587,0
1634va (VaSet
1635font "Courier New,8,0"
1636)
1637xt "11000,-2600,29500,-1800"
1638st "SIGNAL PSDONE_OUT : std_logic"
1639)
1640)
1641*49 (Net
1642uid 600,0
1643decl (Decl
1644n "LOCKED_OUT"
1645t "std_logic"
1646o 15
1647suid 20,0
1648)
1649declText (MLText
1650uid 601,0
1651va (VaSet
1652font "Courier New,8,0"
1653)
1654xt "11000,-4200,29500,-3400"
1655st "SIGNAL LOCKED_OUT : std_logic"
1656)
1657)
1658*50 (SaComponent
1659uid 826,0
1660optionalChildren [
1661*51 (CptPort
1662uid 767,0
1663ps "OnEdgeStrategy"
1664shape (Triangle
1665uid 768,0
1666ro 90
1667va (VaSet
1668vasetType 1
1669fg "0,65535,0"
1670)
1671xt "37250,28625,38000,29375"
1672)
1673tg (CPTG
1674uid 769,0
1675ps "CptPortTextPlaceStrategy"
1676stg "VerticalLayoutStrategy"
1677f (Text
1678uid 770,0
1679va (VaSet
1680)
1681xt "39000,28500,40900,29500"
1682st "CLK"
1683blo "39000,29300"
1684)
1685)
1686thePort (LogicalPort
1687decl (Decl
1688n "CLK"
1689t "std_logic"
1690preAdd 0
1691posAdd 0
1692o 1
1693suid 1,0
1694)
1695)
1696)
1697*52 (CptPort
1698uid 771,0
1699ps "OnEdgeStrategy"
1700shape (Triangle
1701uid 772,0
1702ro 90
1703va (VaSet
1704vasetType 1
1705fg "0,65535,0"
1706)
1707xt "64000,37625,64750,38375"
1708)
1709tg (CPTG
1710uid 773,0
1711ps "CptPortTextPlaceStrategy"
1712stg "RightVerticalLayoutStrategy"
1713f (Text
1714uid 774,0
1715va (VaSet
1716)
1717xt "60100,37500,63000,38500"
1718st "PSCLK"
1719ju 2
1720blo "63000,38300"
1721)
1722)
1723thePort (LogicalPort
1724m 1
1725decl (Decl
1726n "PSCLK"
1727t "std_logic"
1728prec "-- interface to: clock_generator_variable_PS_struct.vhd"
1729preAdd 0
1730posAdd 0
1731o 3
1732suid 2,0
1733)
1734)
1735)
1736*53 (CptPort
1737uid 775,0
1738ps "OnEdgeStrategy"
1739shape (Triangle
1740uid 776,0
1741ro 90
1742va (VaSet
1743vasetType 1
1744fg "0,65535,0"
1745)
1746xt "64000,38625,64750,39375"
1747)
1748tg (CPTG
1749uid 777,0
1750ps "CptPortTextPlaceStrategy"
1751stg "RightVerticalLayoutStrategy"
1752f (Text
1753uid 778,0
1754va (VaSet
1755)
1756xt "60500,38500,63000,39500"
1757st "PSEN"
1758ju 2
1759blo "63000,39300"
1760)
1761)
1762thePort (LogicalPort
1763m 1
1764decl (Decl
1765n "PSEN"
1766t "std_logic"
1767preAdd 0
1768posAdd 0
1769o 4
1770suid 3,0
1771i "'0'"
1772)
1773)
1774)
1775*54 (CptPort
1776uid 779,0
1777ps "OnEdgeStrategy"
1778shape (Triangle
1779uid 780,0
1780ro 90
1781va (VaSet
1782vasetType 1
1783fg "0,65535,0"
1784)
1785xt "64000,39625,64750,40375"
1786)
1787tg (CPTG
1788uid 781,0
1789ps "CptPortTextPlaceStrategy"
1790stg "RightVerticalLayoutStrategy"
1791f (Text
1792uid 782,0
1793va (VaSet
1794)
1795xt "58500,39500,63000,40500"
1796st "PSINCDEC"
1797ju 2
1798blo "63000,40300"
1799)
1800)
1801thePort (LogicalPort
1802m 1
1803decl (Decl
1804n "PSINCDEC"
1805t "std_logic"
1806eolc "-- default is 'incrementing'"
1807preAdd 0
1808posAdd 0
1809o 5
1810suid 4,0
1811i "'1'"
1812)
1813)
1814)
1815*55 (CptPort
1816uid 783,0
1817ps "OnEdgeStrategy"
1818shape (Triangle
1819uid 784,0
1820ro 90
1821va (VaSet
1822vasetType 1
1823fg "0,65535,0"
1824)
1825xt "37250,33625,38000,34375"
1826)
1827tg (CPTG
1828uid 785,0
1829ps "CptPortTextPlaceStrategy"
1830stg "VerticalLayoutStrategy"
1831f (Text
1832uid 786,0
1833va (VaSet
1834)
1835xt "39000,33500,42700,34500"
1836st "PSDONE"
1837blo "39000,34300"
1838)
1839)
1840thePort (LogicalPort
1841decl (Decl
1842n "PSDONE"
1843t "std_logic"
1844eolc "-- will pulse once, if phase shifting was done."
1845preAdd 0
1846posAdd 0
1847o 6
1848suid 5,0
1849)
1850)
1851)
1852*56 (CptPort
1853uid 787,0
1854ps "OnEdgeStrategy"
1855shape (Triangle
1856uid 788,0
1857ro 90
1858va (VaSet
1859vasetType 1
1860fg "0,65535,0"
1861)
1862xt "37250,32625,38000,33375"
1863)
1864tg (CPTG
1865uid 789,0
1866ps "CptPortTextPlaceStrategy"
1867stg "VerticalLayoutStrategy"
1868f (Text
1869uid 790,0
1870va (VaSet
1871)
1872xt "39000,32500,42600,33500"
1873st "LOCKED"
1874blo "39000,33300"
1875)
1876)
1877thePort (LogicalPort
1878decl (Decl
1879n "LOCKED"
1880t "std_logic"
1881eolc "-- when is this going high?"
1882preAdd 0
1883posAdd 0
1884o 7
1885suid 6,0
1886)
1887)
1888)
1889*57 (CptPort
1890uid 795,0
1891ps "OnEdgeStrategy"
1892shape (Triangle
1893uid 796,0
1894ro 90
1895va (VaSet
1896vasetType 1
1897fg "0,65535,0"
1898)
1899xt "37250,38625,38000,39375"
1900)
1901tg (CPTG
1902uid 797,0
1903ps "CptPortTextPlaceStrategy"
1904stg "VerticalLayoutStrategy"
1905f (Text
1906uid 798,0
1907va (VaSet
1908)
1909xt "39000,38500,43600,39500"
1910st "shift_phase"
1911blo "39000,39300"
1912)
1913)
1914thePort (LogicalPort
1915decl (Decl
1916n "shift_phase"
1917t "std_logic"
1918prec "-- interface to: w5300_modul.vhd"
1919preAdd 0
1920posAdd 0
1921o 8
1922suid 8,0
1923)
1924)
1925)
1926*58 (CptPort
1927uid 799,0
1928ps "OnEdgeStrategy"
1929shape (Triangle
1930uid 800,0
1931ro 90
1932va (VaSet
1933vasetType 1
1934fg "0,65535,0"
1935)
1936xt "37250,39625,38000,40375"
1937)
1938tg (CPTG
1939uid 801,0
1940ps "CptPortTextPlaceStrategy"
1941stg "VerticalLayoutStrategy"
1942f (Text
1943uid 802,0
1944va (VaSet
1945)
1946xt "39000,39500,42300,40500"
1947st "direction"
1948blo "39000,40300"
1949)
1950)
1951thePort (LogicalPort
1952decl (Decl
1953n "direction"
1954t "std_logic"
1955eolc "-- corresponds to 'PSINCDEC'"
1956preAdd 0
1957posAdd 0
1958o 9
1959suid 9,0
1960)
1961)
1962)
1963*59 (CptPort
1964uid 803,0
1965ps "OnEdgeStrategy"
1966shape (Triangle
1967uid 804,0
1968ro 90
1969va (VaSet
1970vasetType 1
1971fg "0,65535,0"
1972)
1973xt "64000,29625,64750,30375"
1974)
1975tg (CPTG
1976uid 805,0
1977ps "CptPortTextPlaceStrategy"
1978stg "RightVerticalLayoutStrategy"
1979f (Text
1980uid 806,0
1981va (VaSet
1982)
1983xt "60100,29500,63000,30500"
1984st "shifting"
1985ju 2
1986blo "63000,30300"
1987)
1988)
1989thePort (LogicalPort
1990m 1
1991decl (Decl
1992n "shifting"
1993t "std_logic"
1994prec "-- status:"
1995preAdd 0
1996posAdd 0
1997o 11
1998suid 10,0
1999i "'0'"
2000)
2001)
2002)
2003*60 (CptPort
2004uid 807,0
2005ps "OnEdgeStrategy"
2006shape (Triangle
2007uid 808,0
2008ro 90
2009va (VaSet
2010vasetType 1
2011fg "0,65535,0"
2012)
2013xt "64000,28625,64750,29375"
2014)
2015tg (CPTG
2016uid 809,0
2017ps "CptPortTextPlaceStrategy"
2018stg "RightVerticalLayoutStrategy"
2019f (Text
2020uid 810,0
2021va (VaSet
2022)
2023xt "60800,28500,63000,29500"
2024st "ready"
2025ju 2
2026blo "63000,29300"
2027)
2028)
2029thePort (LogicalPort
2030m 1
2031decl (Decl
2032n "ready"
2033t "std_logic"
2034preAdd 0
2035posAdd 0
2036o 12
2037suid 11,0
2038i "'0'"
2039)
2040)
2041)
2042*61 (CptPort
2043uid 811,0
2044ps "OnEdgeStrategy"
2045shape (Triangle
2046uid 812,0
2047ro 90
2048va (VaSet
2049vasetType 1
2050fg "0,65535,0"
2051)
2052xt "64000,31625,64750,32375"
2053)
2054tg (CPTG
2055uid 813,0
2056ps "CptPortTextPlaceStrategy"
2057stg "RightVerticalLayoutStrategy"
2058f (Text
2059uid 814,0
2060va (VaSet
2061)
2062xt "57300,31500,63000,32500"
2063st "offset : (7:0)"
2064ju 2
2065blo "63000,32300"
2066)
2067)
2068thePort (LogicalPort
2069m 1
2070decl (Decl
2071n "offset"
2072t "std_logic_vector"
2073b "(7 DOWNTO 0)"
2074preAdd 0
2075posAdd 0
2076o 15
2077suid 12,0
2078i "(OTHERS => '0')"
2079)
2080)
2081)
2082*62 (CptPort
2083uid 1621,0
2084ps "OnEdgeStrategy"
2085shape (Triangle
2086uid 2010,0
2087ro 270
2088va (VaSet
2089vasetType 1
2090fg "0,65535,0"
2091)
2092xt "37250,40625,38000,41375"
2093)
2094tg (CPTG
2095uid 1623,0
2096ps "CptPortTextPlaceStrategy"
2097stg "VerticalLayoutStrategy"
2098f (Text
2099uid 1624,0
2100va (VaSet
2101)
2102xt "39000,40500,40300,41500"
2103st "rst"
2104blo "39000,41300"
2105)
2106)
2107thePort (LogicalPort
2108m 1
2109decl (Decl
2110n "rst"
2111t "std_logic"
2112eolc "--asynch in of DCM"
2113posAdd 0
2114o 2
2115suid 15,0
2116i "'0'"
2117)
2118)
2119)
2120*63 (CptPort
2121uid 1975,0
2122ps "OnEdgeStrategy"
2123shape (Triangle
2124uid 1976,0
2125ro 90
2126va (VaSet
2127vasetType 1
2128fg "0,65535,0"
2129)
2130xt "37250,41625,38000,42375"
2131)
2132tg (CPTG
2133uid 1977,0
2134ps "CptPortTextPlaceStrategy"
2135stg "VerticalLayoutStrategy"
2136f (Text
2137uid 1978,0
2138va (VaSet
2139)
2140xt "39000,41500,43400,42500"
2141st "reset_DCM"
2142blo "39000,42300"
2143)
2144)
2145thePort (LogicalPort
2146decl (Decl
2147n "reset_DCM"
2148t "std_logic"
2149eolc "-- asynch in: orders us, to reset the DCM"
2150posAdd 0
2151o 10
2152suid 17,0
2153)
2154)
2155)
2156*64 (CptPort
2157uid 2013,0
2158ps "OnEdgeStrategy"
2159shape (Triangle
2160uid 2014,0
2161ro 90
2162va (VaSet
2163vasetType 1
2164fg "0,65535,0"
2165)
2166xt "64000,32625,64750,33375"
2167)
2168tg (CPTG
2169uid 2015,0
2170ps "CptPortTextPlaceStrategy"
2171stg "RightVerticalLayoutStrategy"
2172f (Text
2173uid 2016,0
2174va (VaSet
2175)
2176xt "55900,32500,63000,33500"
2177st "locked_status_o"
2178ju 2
2179blo "63000,33300"
2180)
2181)
2182thePort (LogicalPort
2183m 1
2184decl (Decl
2185n "locked_status_o"
2186t "std_logic"
2187o 13
2188suid 18,0
2189)
2190)
2191)
2192*65 (CptPort
2193uid 2017,0
2194ps "OnEdgeStrategy"
2195shape (Triangle
2196uid 2018,0
2197ro 90
2198va (VaSet
2199vasetType 1
2200fg "0,65535,0"
2201)
2202xt "64000,33625,64750,34375"
2203)
2204tg (CPTG
2205uid 2019,0
2206ps "CptPortTextPlaceStrategy"
2207stg "RightVerticalLayoutStrategy"
2208f (Text
2209uid 2020,0
2210va (VaSet
2211)
2212xt "56200,33500,63000,34500"
2213st "ready_status_o"
2214ju 2
2215blo "63000,34300"
2216)
2217)
2218thePort (LogicalPort
2219m 1
2220decl (Decl
2221n "ready_status_o"
2222t "std_logic"
2223o 14
2224suid 19,0
2225)
2226)
2227)
2228]
2229shape (Rectangle
2230uid 827,0
2231va (VaSet
2232vasetType 1
2233fg "0,65535,0"
2234lineColor "0,32896,0"
2235lineWidth 2
2236)
2237xt "38000,28000,64000,44000"
2238)
2239oxt "50000,7000,63000,25000"
2240ttg (MlTextGroup
2241uid 828,0
2242ps "CenterOffsetStrategy"
2243stg "VerticalLayoutStrategy"
2244textVec [
2245*66 (Text
2246uid 829,0
2247va (VaSet
2248font "Arial,8,1"
2249)
2250xt "47700,34000,53900,35000"
2251st "FACT_FAD_lib"
2252blo "47700,34800"
2253tm "BdLibraryNameMgr"
2254)
2255*67 (Text
2256uid 830,0
2257va (VaSet
2258font "Arial,8,1"
2259)
2260xt "47700,35000,53500,36000"
2261st "phase_shifter"
2262blo "47700,35800"
2263tm "CptNameMgr"
2264)
2265*68 (Text
2266uid 831,0
2267va (VaSet
2268font "Arial,8,1"
2269)
2270xt "47700,36000,49500,37000"
2271st "U_4"
2272blo "47700,36800"
2273tm "InstanceNameMgr"
2274)
2275]
2276)
2277ga (GenericAssociation
2278uid 832,0
2279ps "EdgeToEdgeStrategy"
2280matrix (Matrix
2281uid 833,0
2282text (MLText
2283uid 834,0
2284va (VaSet
2285font "Courier New,8,0"
2286)
2287xt "38000,27000,38000,27000"
2288)
2289header ""
2290)
2291elements [
2292]
2293)
2294viewicon (ZoomableIcon
2295uid 835,0
2296sl 0
2297va (VaSet
2298vasetType 1
2299fg "49152,49152,49152"
2300)
2301xt "38250,42250,39750,43750"
2302iconName "VhdlFileViewIcon.png"
2303iconMaskName "VhdlFileViewIcon.msk"
2304ftype 10
2305)
2306ordering 1
2307viewiconposition 0
2308portVis (PortSigDisplay
2309)
2310archFileType "UNKNOWN"
2311)
2312*69 (PortIoOut
2313uid 1248,0
2314shape (CompositeShape
2315uid 1249,0
2316va (VaSet
2317vasetType 1
2318fg "0,0,32768"
2319)
2320optionalChildren [
2321(Pentagon
2322uid 1250,0
2323sl 0
2324ro 270
2325xt "30500,31625,32000,32375"
2326)
2327(Line
2328uid 1251,0
2329sl 0
2330ro 270
2331xt "30000,32000,30500,32000"
2332pts [
2333"30000,32000"
2334"30500,32000"
2335]
2336)
2337]
2338)
2339stc 0
2340sf 1
2341tg (WTG
2342uid 1252,0
2343ps "PortIoTextPlaceStrategy"
2344stg "STSignalDisplayStrategy"
2345f (Text
2346uid 1253,0
2347va (VaSet
2348font "arial,8,0"
2349)
2350xt "33000,31500,37500,32500"
2351st "CLK_25_PS"
2352blo "33000,32300"
2353tm "WireNameMgr"
2354)
2355)
2356)
2357*70 (Net
2358uid 1260,0
2359decl (Decl
2360n "CLK_25_PS"
2361t "std_logic"
2362o 22
2363suid 34,0
2364)
2365declText (MLText
2366uid 1261,0
2367va (VaSet
2368font "Courier New,8,0"
2369)
2370xt "11000,-10000,26000,-9200"
2371st "CLK_25_PS : std_logic"
2372)
2373)
2374*71 (PortIoIn
2375uid 1266,0
2376shape (CompositeShape
2377uid 1267,0
2378va (VaSet
2379vasetType 1
2380fg "0,0,32768"
2381)
2382optionalChildren [
2383(Pentagon
2384uid 1268,0
2385sl 0
2386ro 270
2387xt "33000,38625,34500,39375"
2388)
2389(Line
2390uid 1269,0
2391sl 0
2392ro 270
2393xt "34500,39000,35000,39000"
2394pts [
2395"34500,39000"
2396"35000,39000"
2397]
2398)
2399]
2400)
2401stc 0
2402sf 1
2403tg (WTG
2404uid 1270,0
2405ps "PortIoTextPlaceStrategy"
2406stg "STSignalDisplayStrategy"
2407f (Text
2408uid 1271,0
2409va (VaSet
2410font "arial,8,0"
2411)
2412xt "29000,38500,32000,39500"
2413st "do_shift"
2414ju 2
2415blo "32000,39300"
2416tm "WireNameMgr"
2417)
2418)
2419)
2420*72 (Net
2421uid 1278,0
2422decl (Decl
2423n "do_shift"
2424t "std_logic"
2425o 23
2426suid 35,0
2427)
2428declText (MLText
2429uid 1279,0
2430va (VaSet
2431font "Courier New,8,0"
2432)
2433xt "11000,-11600,26000,-10800"
2434st "do_shift : std_logic"
2435)
2436)
2437*73 (PortIoIn
2438uid 1280,0
2439shape (CompositeShape
2440uid 1281,0
2441va (VaSet
2442vasetType 1
2443fg "0,0,32768"
2444)
2445optionalChildren [
2446(Pentagon
2447uid 1282,0
2448sl 0
2449ro 270
2450xt "33000,39625,34500,40375"
2451)
2452(Line
2453uid 1283,0
2454sl 0
2455ro 270
2456xt "34500,40000,35000,40000"
2457pts [
2458"34500,40000"
2459"35000,40000"
2460]
2461)
2462]
2463)
2464stc 0
2465sf 1
2466tg (WTG
2467uid 1284,0
2468ps "PortIoTextPlaceStrategy"
2469stg "STSignalDisplayStrategy"
2470f (Text
2471uid 1285,0
2472va (VaSet
2473font "arial,8,0"
2474)
2475xt "28700,39500,32000,40500"
2476st "direction"
2477ju 2
2478blo "32000,40300"
2479tm "WireNameMgr"
2480)
2481)
2482)
2483*74 (Net
2484uid 1292,0
2485decl (Decl
2486n "direction"
2487t "std_logic"
2488o 24
2489suid 36,0
2490)
2491declText (MLText
2492uid 1293,0
2493va (VaSet
2494font "Courier New,8,0"
2495)
2496xt "11000,-12400,26000,-11600"
2497st "direction : std_logic"
2498)
2499)
2500*75 (Net
2501uid 1491,0
2502decl (Decl
2503n "RST_IN"
2504t "std_logic"
2505o 22
2506suid 37,0
2507)
2508declText (MLText
2509uid 1492,0
2510va (VaSet
2511font "Courier New,8,0"
2512)
2513xt "11000,-13200,26000,-12400"
2514st "RST_IN : std_logic"
2515)
2516)
2517*76 (PortIoIn
2518uid 1499,0
2519shape (CompositeShape
2520uid 1500,0
2521va (VaSet
2522vasetType 1
2523fg "0,0,32768"
2524)
2525optionalChildren [
2526(Pentagon
2527uid 1501,0
2528sl 0
2529ro 270
2530xt "33000,41625,34500,42375"
2531)
2532(Line
2533uid 1502,0
2534sl 0
2535ro 270
2536xt "34500,42000,35000,42000"
2537pts [
2538"34500,42000"
2539"35000,42000"
2540]
2541)
2542]
2543)
2544stc 0
2545sf 1
2546tg (WTG
2547uid 1503,0
2548ps "PortIoTextPlaceStrategy"
2549stg "STSignalDisplayStrategy"
2550f (Text
2551uid 1504,0
2552va (VaSet
2553font "arial,8,0"
2554)
2555xt "28800,41500,32000,42500"
2556st "RST_IN"
2557ju 2
2558blo "32000,42300"
2559tm "WireNameMgr"
2560)
2561)
2562)
2563*77 (Net
2564uid 1607,0
2565decl (Decl
2566n "offset"
2567t "std_logic_vector"
2568b "(7 DOWNTO 0)"
2569preAdd 0
2570posAdd 0
2571o 14
2572suid 39,0
2573i "(OTHERS => '0')"
2574)
2575declText (MLText
2576uid 1608,0
2577va (VaSet
2578font "Courier New,8,0"
2579)
2580xt "11000,-7600,45500,-6800"
2581st "offset : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
2582)
2583)
2584*78 (PortIoOut
2585uid 1615,0
2586shape (CompositeShape
2587uid 1616,0
2588va (VaSet
2589vasetType 1
2590fg "0,0,32768"
2591)
2592optionalChildren [
2593(Pentagon
2594uid 1617,0
2595sl 0
2596ro 270
2597xt "73500,31625,75000,32375"
2598)
2599(Line
2600uid 1618,0
2601sl 0
2602ro 270
2603xt "73000,32000,73500,32000"
2604pts [
2605"73000,32000"
2606"73500,32000"
2607]
2608)
2609]
2610)
2611stc 0
2612sf 1
2613tg (WTG
2614uid 1619,0
2615ps "PortIoTextPlaceStrategy"
2616stg "STSignalDisplayStrategy"
2617f (Text
2618uid 1620,0
2619va (VaSet
2620font "arial,8,0"
2621)
2622xt "76000,31500,78200,32500"
2623st "offset"
2624blo "76000,32300"
2625tm "WireNameMgr"
2626)
2627)
2628)
2629*79 (Net
2630uid 1979,0
2631decl (Decl
2632n "rst"
2633t "std_logic"
2634eolc "--asynch in of DCM"
2635posAdd 0
2636o 15
2637suid 40,0
2638i "'0'"
2639)
2640declText (MLText
2641uid 1980,0
2642va (VaSet
2643font "Courier New,8,0"
2644)
2645xt "11000,-200,52500,600"
2646st "SIGNAL rst : std_logic := '0' --asynch in of DCM"
2647)
2648)
2649*80 (Net
2650uid 2029,0
2651decl (Decl
2652n "locked_status_o"
2653t "std_logic"
2654o 16
2655suid 42,0
2656)
2657declText (MLText
2658uid 2030,0
2659va (VaSet
2660font "Courier New,8,0"
2661)
2662xt "11000,-8400,26000,-7600"
2663st "locked_status_o : std_logic"
2664)
2665)
2666*81 (PortIoOut
2667uid 2037,0
2668shape (CompositeShape
2669uid 2038,0
2670va (VaSet
2671vasetType 1
2672fg "0,0,32768"
2673)
2674optionalChildren [
2675(Pentagon
2676uid 2039,0
2677sl 0
2678ro 270
2679xt "73500,32625,75000,33375"
2680)
2681(Line
2682uid 2040,0
2683sl 0
2684ro 270
2685xt "73000,33000,73500,33000"
2686pts [
2687"73000,33000"
2688"73500,33000"
2689]
2690)
2691]
2692)
2693stc 0
2694sf 1
2695tg (WTG
2696uid 2041,0
2697ps "PortIoTextPlaceStrategy"
2698stg "STSignalDisplayStrategy"
2699f (Text
2700uid 2042,0
2701va (VaSet
2702font "arial,8,0"
2703)
2704xt "76000,32500,82100,33500"
2705st "locked_status_o"
2706blo "76000,33300"
2707tm "WireNameMgr"
2708)
2709)
2710)
2711*82 (Net
2712uid 2043,0
2713decl (Decl
2714n "ready_status_o"
2715t "std_logic"
2716o 17
2717suid 43,0
2718)
2719declText (MLText
2720uid 2044,0
2721va (VaSet
2722font "Courier New,8,0"
2723)
2724xt "11000,-6800,26000,-6000"
2725st "ready_status_o : std_logic"
2726)
2727)
2728*83 (PortIoOut
2729uid 2051,0
2730shape (CompositeShape
2731uid 2052,0
2732va (VaSet
2733vasetType 1
2734fg "0,0,32768"
2735)
2736optionalChildren [
2737(Pentagon
2738uid 2053,0
2739sl 0
2740ro 270
2741xt "73500,33625,75000,34375"
2742)
2743(Line
2744uid 2054,0
2745sl 0
2746ro 270
2747xt "73000,34000,73500,34000"
2748pts [
2749"73000,34000"
2750"73500,34000"
2751]
2752)
2753]
2754)
2755stc 0
2756sf 1
2757tg (WTG
2758uid 2055,0
2759ps "PortIoTextPlaceStrategy"
2760stg "STSignalDisplayStrategy"
2761f (Text
2762uid 2056,0
2763va (VaSet
2764font "arial,8,0"
2765)
2766xt "76000,33500,81800,34500"
2767st "ready_status_o"
2768blo "76000,34300"
2769tm "WireNameMgr"
2770)
2771)
2772)
2773*84 (Wire
2774uid 163,0
2775shape (OrthoPolyLine
2776uid 164,0
2777va (VaSet
2778vasetType 3
2779)
2780xt "10000,14000,16250,14000"
2781pts [
2782"10000,14000"
2783"16250,14000"
2784]
2785)
2786start &12
2787end &25
2788sat 32
2789eat 32
2790stc 0
2791st 0
2792sf 1
2793si 0
2794tg (WTG
2795uid 167,0
2796ps "ConnStartEndStrategy"
2797stg "STSignalDisplayStrategy"
2798f (Text
2799uid 168,0
2800va (VaSet
2801isHidden 1
2802font "arial,8,0"
2803)
2804xt "11000,13000,12900,14000"
2805st "CLK"
2806blo "11000,13800"
2807tm "WireNameMgr"
2808)
2809)
2810on &17
2811)
2812*85 (Wire
2813uid 191,0
2814shape (OrthoPolyLine
2815uid 192,0
2816va (VaSet
2817vasetType 3
2818)
2819xt "32750,16000,39000,16000"
2820pts [
2821"32750,16000"
2822"39000,16000"
2823]
2824)
2825start &28
2826end &13
2827sat 32
2828eat 32
2829stc 0
2830st 0
2831sf 1
2832si 0
2833tg (WTG
2834uid 195,0
2835ps "ConnStartEndStrategy"
2836stg "STSignalDisplayStrategy"
2837f (Text
2838uid 196,0
2839va (VaSet
2840isHidden 1
2841font "arial,8,0"
2842)
2843xt "34000,15000,37100,16000"
2844st "CLK_50"
2845blo "34000,15800"
2846tm "WireNameMgr"
2847)
2848)
2849on &15
2850)
2851*86 (Wire
2852uid 209,0
2853optionalChildren [
2854*87 (BdJunction
2855uid 233,0
2856ps "OnConnectorStrategy"
2857shape (Circle
2858uid 234,0
2859va (VaSet
2860vasetType 1
2861)
2862xt "35600,13600,36400,14400"
2863radius 400
2864)
2865)
2866]
2867shape (OrthoPolyLine
2868uid 210,0
2869va (VaSet
2870vasetType 3
2871)
2872xt "32750,14000,39000,14000"
2873pts [
2874"32750,14000"
2875"39000,14000"
2876]
2877)
2878start &26
2879end &14
2880sat 32
2881eat 32
2882stc 0
2883st 0
2884sf 1
2885si 0
2886tg (WTG
2887uid 213,0
2888ps "ConnStartEndStrategy"
2889stg "STSignalDisplayStrategy"
2890f (Text
2891uid 214,0
2892va (VaSet
2893isHidden 1
2894font "arial,8,0"
2895)
2896xt "34000,13000,37100,14000"
2897st "CLK_25"
2898blo "34000,13800"
2899tm "WireNameMgr"
2900)
2901)
2902on &16
2903)
2904*88 (Wire
2905uid 229,0
2906shape (OrthoPolyLine
2907uid 230,0
2908va (VaSet
2909vasetType 3
2910)
2911xt "36000,11000,52250,14000"
2912pts [
2913"36000,14000"
2914"36000,11000"
2915"52250,11000"
2916]
2917)
2918start &87
2919end &19
2920sat 32
2921eat 32
2922stc 0
2923st 0
2924sf 1
2925si 0
2926tg (WTG
2927uid 231,0
2928ps "ConnStartEndStrategy"
2929stg "STSignalDisplayStrategy"
2930f (Text
2931uid 232,0
2932va (VaSet
2933font "arial,8,0"
2934)
2935xt "49000,10000,52100,11000"
2936st "CLK_25"
2937blo "49000,10800"
2938tm "WireNameMgr"
2939)
2940)
2941on &16
2942)
2943*89 (Wire
2944uid 526,0
2945shape (OrthoPolyLine
2946uid 527,0
2947va (VaSet
2948vasetType 3
2949)
2950xt "10000,13000,68000,32000"
2951pts [
2952"64750,13000"
2953"68000,13000"
2954"68000,22000"
2955"10000,22000"
2956"10000,32000"
2957"13250,32000"
2958]
2959)
2960start &20
2961end &33
2962sat 32
2963eat 32
2964st 0
2965sf 1
2966si 0
2967tg (WTG
2968uid 528,0
2969ps "ConnStartEndStrategy"
2970stg "STSignalDisplayStrategy"
2971f (Text
2972uid 529,0
2973va (VaSet
2974font "arial,8,0"
2975)
2976xt "8750,31000,13150,32000"
2977st "CLK0_OUT"
2978blo "8750,31800"
2979tm "WireNameMgr"
2980)
2981)
2982on &44
2983)
2984*90 (Wire
2985uid 532,0
2986shape (OrthoPolyLine
2987uid 533,0
2988va (VaSet
2989vasetType 3
2990)
2991xt "3000,33000,67000,46000"
2992pts [
2993"64750,38000"
2994"67000,38000"
2995"67000,46000"
2996"3000,46000"
2997"3000,33000"
2998"13250,33000"
2999]
3000)
3001start &52
3002end &34
3003sat 32
3004eat 32
3005stc 0
3006st 0
3007sf 1
3008si 0
3009tg (WTG
3010uid 536,0
3011ps "ConnStartEndStrategy"
3012stg "STSignalDisplayStrategy"
3013f (Text
3014uid 537,0
3015va (VaSet
3016isHidden 1
3017font "arial,8,0"
3018)
3019xt "66750,37000,70850,38000"
3020st "PSCLK_IN"
3021blo "66750,37800"
3022tm "WireNameMgr"
3023)
3024)
3025on &45
3026)
3027*91 (Wire
3028uid 546,0
3029shape (OrthoPolyLine
3030uid 547,0
3031va (VaSet
3032vasetType 3
3033)
3034xt "4000,34000,66000,45000"
3035pts [
3036"64750,39000"
3037"66000,39000"
3038"66000,45000"
3039"4000,45000"
3040"4000,34000"
3041"13250,34000"
3042]
3043)
3044start &53
3045end &35
3046sat 32
3047eat 32
3048stc 0
3049st 0
3050sf 1
3051si 0
3052tg (WTG
3053uid 550,0
3054ps "ConnStartEndStrategy"
3055stg "STSignalDisplayStrategy"
3056f (Text
3057uid 551,0
3058va (VaSet
3059isHidden 1
3060font "arial,8,0"
3061)
3062xt "66750,38000,70450,39000"
3063st "PSEN_IN"
3064blo "66750,38800"
3065tm "WireNameMgr"
3066)
3067)
3068on &46
3069)
3070*92 (Wire
3071uid 588,0
3072shape (OrthoPolyLine
3073uid 589,0
3074va (VaSet
3075vasetType 3
3076)
3077xt "29750,34000,37250,34000"
3078pts [
3079"29750,34000"
3080"37250,34000"
3081]
3082)
3083start &39
3084end &55
3085sat 32
3086eat 32
3087stc 0
3088st 0
3089sf 1
3090si 0
3091tg (WTG
3092uid 592,0
3093ps "ConnStartEndStrategy"
3094stg "STSignalDisplayStrategy"
3095f (Text
3096uid 593,0
3097va (VaSet
3098isHidden 1
3099font "arial,8,0"
3100)
3101xt "31750,33000,37950,34000"
3102st "PSDONE_OUT"
3103blo "31750,33800"
3104tm "WireNameMgr"
3105)
3106)
3107on &48
3108)
3109*93 (Wire
3110uid 602,0
3111shape (OrthoPolyLine
3112uid 603,0
3113va (VaSet
3114vasetType 3
3115)
3116xt "29750,33000,37250,33000"
3117pts [
3118"29750,33000"
3119"37250,33000"
3120]
3121)
3122start &38
3123end &56
3124sat 32
3125eat 32
3126stc 0
3127st 0
3128sf 1
3129si 0
3130tg (WTG
3131uid 606,0
3132ps "ConnStartEndStrategy"
3133stg "STSignalDisplayStrategy"
3134f (Text
3135uid 607,0
3136va (VaSet
3137isHidden 1
3138font "arial,8,0"
3139)
3140xt "31750,32000,37850,33000"
3141st "LOCKED_OUT"
3142blo "31750,32800"
3143tm "WireNameMgr"
3144)
3145)
3146on &49
3147)
3148*94 (Wire
3149uid 841,0
3150shape (OrthoPolyLine
3151uid 842,0
3152va (VaSet
3153vasetType 3
3154)
3155xt "5000,35000,64750,44000"
3156pts [
3157"64750,40000"
3158"64750,44000"
3159"5000,44000"
3160"5000,35000"
3161"13250,35000"
3162]
3163)
3164start &54
3165end &36
3166sat 32
3167eat 32
3168stc 0
3169st 0
3170sf 1
3171si 0
3172tg (WTG
3173uid 843,0
3174ps "ConnStartEndStrategy"
3175stg "STSignalDisplayStrategy"
3176f (Text
3177uid 844,0
3178ro 270
3179va (VaSet
3180isHidden 1
3181font "arial,8,0"
3182)
3183xt "27000,39900,28000,46000"
3184st "PSINCDEC_IN"
3185blo "27800,46000"
3186tm "WireNameMgr"
3187)
3188)
3189on &47
3190)
3191*95 (Wire
3192uid 1254,0
3193shape (OrthoPolyLine
3194uid 1255,0
3195va (VaSet
3196vasetType 3
3197)
3198xt "29750,32000,30000,32000"
3199pts [
3200"29750,32000"
3201"30000,32000"
3202]
3203)
3204start &37
3205end &69
3206sat 32
3207eat 32
3208stc 0
3209st 0
3210sf 1
3211si 0
3212tg (WTG
3213uid 1258,0
3214ps "ConnStartEndStrategy"
3215stg "STSignalDisplayStrategy"
3216f (Text
3217uid 1259,0
3218va (VaSet
3219isHidden 1
3220font "arial,8,0"
3221)
3222xt "31750,31000,36250,32000"
3223st "CLK_25_PS"
3224blo "31750,31800"
3225tm "WireNameMgr"
3226)
3227)
3228on &70
3229)
3230*96 (Wire
3231uid 1272,0
3232shape (OrthoPolyLine
3233uid 1273,0
3234va (VaSet
3235vasetType 3
3236)
3237xt "35000,39000,37250,39000"
3238pts [
3239"35000,39000"
3240"37250,39000"
3241]
3242)
3243start &71
3244end &57
3245sat 32
3246eat 32
3247stc 0
3248st 0
3249sf 1
3250si 0
3251tg (WTG
3252uid 1276,0
3253ps "ConnStartEndStrategy"
3254stg "STSignalDisplayStrategy"
3255f (Text
3256uid 1277,0
3257va (VaSet
3258isHidden 1
3259font "arial,8,0"
3260)
3261xt "37000,38000,40000,39000"
3262st "do_shift"
3263blo "37000,38800"
3264tm "WireNameMgr"
3265)
3266)
3267on &72
3268)
3269*97 (Wire
3270uid 1286,0
3271shape (OrthoPolyLine
3272uid 1287,0
3273va (VaSet
3274vasetType 3
3275)
3276xt "35000,40000,37250,40000"
3277pts [
3278"35000,40000"
3279"37250,40000"
3280]
3281)
3282start &73
3283end &58
3284sat 32
3285eat 32
3286stc 0
3287st 0
3288sf 1
3289si 0
3290tg (WTG
3291uid 1290,0
3292ps "ConnStartEndStrategy"
3293stg "STSignalDisplayStrategy"
3294f (Text
3295uid 1291,0
3296va (VaSet
3297isHidden 1
3298font "arial,8,0"
3299)
3300xt "37000,40000,40300,41000"
3301st "direction"
3302blo "37000,40800"
3303tm "WireNameMgr"
3304)
3305)
3306on &74
3307)
3308*98 (Wire
3309uid 1458,0
3310shape (OrthoPolyLine
3311uid 1459,0
3312va (VaSet
3313vasetType 3
3314)
3315xt "31000,29000,37250,29000"
3316pts [
3317"31000,29000"
3318"37250,29000"
3319]
3320)
3321end &51
3322sat 16
3323eat 32
3324stc 0
3325st 0
3326sf 1
3327si 0
3328tg (WTG
3329uid 1460,0
3330ps "ConnStartEndStrategy"
3331stg "STSignalDisplayStrategy"
3332f (Text
3333uid 1461,0
3334va (VaSet
3335font "arial,8,0"
3336)
3337xt "32250,28000,36650,29000"
3338st "CLK0_OUT"
3339blo "32250,28800"
3340tm "WireNameMgr"
3341)
3342)
3343on &44
3344)
3345*99 (Wire
3346uid 1493,0
3347shape (OrthoPolyLine
3348uid 1494,0
3349va (VaSet
3350vasetType 3
3351)
3352xt "35000,42000,37250,42000"
3353pts [
3354"35000,42000"
3355"37250,42000"
3356]
3357)
3358start &76
3359end &63
3360es 0
3361sat 32
3362eat 32
3363stc 0
3364st 0
3365sf 1
3366si 0
3367tg (WTG
3368uid 1497,0
3369ps "ConnStartEndStrategy"
3370stg "STSignalDisplayStrategy"
3371f (Text
3372uid 1498,0
3373va (VaSet
3374isHidden 1
3375font "arial,8,0"
3376)
3377xt "36000,41000,39200,42000"
3378st "RST_IN"
3379blo "36000,41800"
3380tm "WireNameMgr"
3381)
3382)
3383on &75
3384)
3385*100 (Wire
3386uid 1609,0
3387shape (OrthoPolyLine
3388uid 1610,0
3389va (VaSet
3390vasetType 3
3391lineWidth 2
3392)
3393xt "64750,32000,73000,32000"
3394pts [
3395"64750,32000"
3396"73000,32000"
3397]
3398)
3399start &61
3400end &78
3401sat 32
3402eat 32
3403sty 1
3404stc 0
3405st 0
3406sf 1
3407si 0
3408tg (WTG
3409uid 1613,0
3410ps "ConnStartEndStrategy"
3411stg "STSignalDisplayStrategy"
3412f (Text
3413uid 1614,0
3414va (VaSet
3415isHidden 1
3416font "arial,8,0"
3417)
3418xt "66000,31000,68200,32000"
3419st "offset"
3420blo "66000,31800"
3421tm "WireNameMgr"
3422)
3423)
3424on &77
3425)
3426*101 (Wire
3427uid 1981,0
3428shape (OrthoPolyLine
3429uid 1982,0
3430va (VaSet
3431vasetType 3
3432)
3433xt "8000,36000,37250,41000"
3434pts [
3435"37250,41000"
3436"8000,41000"
3437"8000,36000"
3438"13250,36000"
3439]
3440)
3441start &62
3442end &40
3443sat 32
3444eat 32
3445st 0
3446sf 1
3447si 0
3448tg (WTG
3449uid 1983,0
3450ps "ConnStartEndStrategy"
3451stg "STSignalDisplayStrategy"
3452f (Text
3453uid 1984,0
3454va (VaSet
3455font "arial,8,0"
3456)
3457xt "35250,40000,36550,41000"
3458st "rst"
3459blo "35250,40800"
3460tm "WireNameMgr"
3461)
3462)
3463on &79
3464)
3465*102 (Wire
3466uid 2031,0
3467shape (OrthoPolyLine
3468uid 2032,0
3469va (VaSet
3470vasetType 3
3471)
3472xt "64750,33000,73000,33000"
3473pts [
3474"64750,33000"
3475"73000,33000"
3476]
3477)
3478start &64
3479end &81
3480sat 32
3481eat 32
3482stc 0
3483st 0
3484sf 1
3485si 0
3486tg (WTG
3487uid 2035,0
3488ps "ConnStartEndStrategy"
3489stg "STSignalDisplayStrategy"
3490f (Text
3491uid 2036,0
3492va (VaSet
3493isHidden 1
3494font "arial,8,0"
3495)
3496xt "66000,32000,72100,33000"
3497st "locked_status_o"
3498blo "66000,32800"
3499tm "WireNameMgr"
3500)
3501)
3502on &80
3503)
3504*103 (Wire
3505uid 2045,0
3506shape (OrthoPolyLine
3507uid 2046,0
3508va (VaSet
3509vasetType 3
3510)
3511xt "64750,34000,73000,34000"
3512pts [
3513"64750,34000"
3514"73000,34000"
3515]
3516)
3517start &65
3518end &83
3519sat 32
3520eat 32
3521stc 0
3522st 0
3523sf 1
3524si 0
3525tg (WTG
3526uid 2049,0
3527ps "ConnStartEndStrategy"
3528stg "STSignalDisplayStrategy"
3529f (Text
3530uid 2050,0
3531va (VaSet
3532isHidden 1
3533font "arial,8,0"
3534)
3535xt "66000,33000,71800,34000"
3536st "ready_status_o"
3537blo "66000,33800"
3538tm "WireNameMgr"
3539)
3540)
3541on &82
3542)
3543]
3544bg "65535,65535,65535"
3545grid (Grid
3546origin "0,0"
3547isVisible 1
3548isActive 1
3549xSpacing 1000
3550xySpacing 1000
3551xShown 1
3552yShown 1
3553color "26368,26368,26368"
3554)
3555packageList *104 (PackageList
3556uid 41,0
3557stg "VerticalLayoutStrategy"
3558textVec [
3559*105 (Text
3560uid 42,0
3561va (VaSet
3562font "arial,8,1"
3563)
3564xt "0,0,5400,1000"
3565st "Package List"
3566blo "0,800"
3567)
3568*106 (MLText
3569uid 43,0
3570va (VaSet
3571font "arial,8,0"
3572)
3573xt "0,1000,14500,9000"
3574st "LIBRARY ieee;
3575USE ieee.std_logic_1164.all;
3576USE ieee.std_logic_arith.all;
3577USE ieee.numeric_std.all;
3578LIBRARY UNISIM;
3579--USE UNISIM.Vcomponents.all;
3580LIBRARY FACT_FAD_lib;
3581USE FACT_FAD_lib.fad_definitions.all;"
3582tm "PackageList"
3583)
3584]
3585)
3586compDirBlock (MlTextGroup
3587uid 44,0
3588stg "VerticalLayoutStrategy"
3589textVec [
3590*107 (Text
3591uid 45,0
3592va (VaSet
3593isHidden 1
3594font "arial,8,1"
3595)
3596xt "20000,0,28100,1000"
3597st "Compiler Directives"
3598blo "20000,800"
3599)
3600*108 (Text
3601uid 46,0
3602va (VaSet
3603isHidden 1
3604font "arial,8,1"
3605)
3606xt "20000,1000,29600,2000"
3607st "Pre-module directives:"
3608blo "20000,1800"
3609)
3610*109 (MLText
3611uid 47,0
3612va (VaSet
3613isHidden 1
3614font "arial,8,0"
3615)
3616xt "20000,2000,27500,4000"
3617st "`resetall
3618`timescale 1ns/10ps"
3619tm "BdCompilerDirectivesTextMgr"
3620)
3621*110 (Text
3622uid 48,0
3623va (VaSet
3624isHidden 1
3625font "arial,8,1"
3626)
3627xt "20000,4000,30100,5000"
3628st "Post-module directives:"
3629blo "20000,4800"
3630)
3631*111 (MLText
3632uid 49,0
3633va (VaSet
3634isHidden 1
3635font "arial,8,0"
3636)
3637xt "20000,0,20000,0"
3638tm "BdCompilerDirectivesTextMgr"
3639)
3640*112 (Text
3641uid 50,0
3642va (VaSet
3643isHidden 1
3644font "arial,8,1"
3645)
3646xt "20000,5000,29900,6000"
3647st "End-module directives:"
3648blo "20000,5800"
3649)
3650*113 (MLText
3651uid 51,0
3652va (VaSet
3653isHidden 1
3654font "arial,8,0"
3655)
3656xt "20000,6000,20000,6000"
3657tm "BdCompilerDirectivesTextMgr"
3658)
3659]
3660associable 1
3661)
3662windowSize "84,26,1367,1050"
3663viewArea "2644,-10500,83508,56684"
3664cachedDiagramExtent "0,-16000,82100,46000"
3665pageSetupInfo (PageSetupInfo
3666ptrCmd ""
3667toPrinter 1
3668exportedDirectories [
3669"$HDS_PROJECT_DIR/HTMLExport"
3670]
3671exportStdIncludeRefs 1
3672exportStdPackageRefs 1
3673)
3674hasePageBreakOrigin 1
3675pageBreakOrigin "0,-49000"
3676lastUid 2085,0
3677defaultCommentText (CommentText
3678shape (Rectangle
3679layer 0
3680va (VaSet
3681vasetType 1
3682fg "65280,65280,46080"
3683lineColor "0,0,32768"
3684)
3685xt "0,0,15000,5000"
3686)
3687text (MLText
3688va (VaSet
3689fg "0,0,32768"
3690font "arial,8,0"
3691)
3692xt "200,200,2000,1200"
3693st "
3694Text
3695"
3696tm "CommentText"
3697wrapOption 3
3698visibleHeight 4600
3699visibleWidth 14600
3700)
3701)
3702defaultPanel (Panel
3703shape (RectFrame
3704va (VaSet
3705vasetType 1
3706fg "65535,65535,65535"
3707lineColor "32768,0,0"
3708lineWidth 3
3709)
3710xt "0,0,20000,20000"
3711)
3712title (TextAssociate
3713ps "TopLeftStrategy"
3714text (Text
3715va (VaSet
3716font "arial,8,1"
3717)
3718xt "1000,1000,3800,2000"
3719st "Panel0"
3720blo "1000,1800"
3721tm "PanelText"
3722)
3723)
3724)
3725defaultBlk (Blk
3726shape (Rectangle
3727va (VaSet
3728vasetType 1
3729fg "39936,56832,65280"
3730lineColor "0,0,32768"
3731lineWidth 2
3732)
3733xt "0,0,8000,10000"
3734)
3735ttg (MlTextGroup
3736ps "CenterOffsetStrategy"
3737stg "VerticalLayoutStrategy"
3738textVec [
3739*114 (Text
3740va (VaSet
3741font "arial,8,1"
3742)
3743xt "2200,3500,5800,4500"
3744st "<library>"
3745blo "2200,4300"
3746tm "BdLibraryNameMgr"
3747)
3748*115 (Text
3749va (VaSet
3750font "arial,8,1"
3751)
3752xt "2200,4500,5600,5500"
3753st "<block>"
3754blo "2200,5300"
3755tm "BlkNameMgr"
3756)
3757*116 (Text
3758va (VaSet
3759font "arial,8,1"
3760)
3761xt "2200,5500,4000,6500"
3762st "U_0"
3763blo "2200,6300"
3764tm "InstanceNameMgr"
3765)
3766]
3767)
3768ga (GenericAssociation
3769ps "EdgeToEdgeStrategy"
3770matrix (Matrix
3771text (MLText
3772va (VaSet
3773font "Courier New,8,0"
3774)
3775xt "2200,13500,2200,13500"
3776)
3777header ""
3778)
3779elements [
3780]
3781)
3782viewicon (ZoomableIcon
3783sl 0
3784va (VaSet
3785vasetType 1
3786fg "49152,49152,49152"
3787)
3788xt "0,0,1500,1500"
3789iconName "UnknownFile.png"
3790iconMaskName "UnknownFile.msk"
3791)
3792viewiconposition 0
3793)
3794defaultMWComponent (MWC
3795shape (Rectangle
3796va (VaSet
3797vasetType 1
3798fg "0,65535,0"
3799lineColor "0,32896,0"
3800lineWidth 2
3801)
3802xt "0,0,8000,10000"
3803)
3804ttg (MlTextGroup
3805ps "CenterOffsetStrategy"
3806stg "VerticalLayoutStrategy"
3807textVec [
3808*117 (Text
3809va (VaSet
3810font "arial,8,1"
3811)
3812xt "550,3500,3450,4500"
3813st "Library"
3814blo "550,4300"
3815)
3816*118 (Text
3817va (VaSet
3818font "arial,8,1"
3819)
3820xt "550,4500,7450,5500"
3821st "MWComponent"
3822blo "550,5300"
3823)
3824*119 (Text
3825va (VaSet
3826font "arial,8,1"
3827)
3828xt "550,5500,2350,6500"
3829st "U_0"
3830blo "550,6300"
3831tm "InstanceNameMgr"
3832)
3833]
3834)
3835ga (GenericAssociation
3836ps "EdgeToEdgeStrategy"
3837matrix (Matrix
3838text (MLText
3839va (VaSet
3840font "Courier New,8,0"
3841)
3842xt "-6450,1500,-6450,1500"
3843)
3844header ""
3845)
3846elements [
3847]
3848)
3849portVis (PortSigDisplay
3850)
3851prms (Property
3852pclass "params"
3853pname "params"
3854ptn "String"
3855)
3856visOptions (mwParamsVisibilityOptions
3857)
3858)
3859defaultSaComponent (SaComponent
3860shape (Rectangle
3861va (VaSet
3862vasetType 1
3863fg "0,65535,0"
3864lineColor "0,32896,0"
3865lineWidth 2
3866)
3867xt "0,0,8000,10000"
3868)
3869ttg (MlTextGroup
3870ps "CenterOffsetStrategy"
3871stg "VerticalLayoutStrategy"
3872textVec [
3873*120 (Text
3874va (VaSet
3875font "arial,8,1"
3876)
3877xt "900,3500,3800,4500"
3878st "Library"
3879blo "900,4300"
3880tm "BdLibraryNameMgr"
3881)
3882*121 (Text
3883va (VaSet
3884font "arial,8,1"
3885)
3886xt "900,4500,7100,5500"
3887st "SaComponent"
3888blo "900,5300"
3889tm "CptNameMgr"
3890)
3891*122 (Text
3892va (VaSet
3893font "arial,8,1"
3894)
3895xt "900,5500,2700,6500"
3896st "U_0"
3897blo "900,6300"
3898tm "InstanceNameMgr"
3899)
3900]
3901)
3902ga (GenericAssociation
3903ps "EdgeToEdgeStrategy"
3904matrix (Matrix
3905text (MLText
3906va (VaSet
3907font "Courier New,8,0"
3908)
3909xt "-6100,1500,-6100,1500"
3910)
3911header ""
3912)
3913elements [
3914]
3915)
3916viewicon (ZoomableIcon
3917sl 0
3918va (VaSet
3919vasetType 1
3920fg "49152,49152,49152"
3921)
3922xt "0,0,1500,1500"
3923iconName "UnknownFile.png"
3924iconMaskName "UnknownFile.msk"
3925)
3926viewiconposition 0
3927portVis (PortSigDisplay
3928)
3929archFileType "UNKNOWN"
3930)
3931defaultVhdlComponent (VhdlComponent
3932shape (Rectangle
3933va (VaSet
3934vasetType 1
3935fg "0,65535,0"
3936lineColor "0,32896,0"
3937lineWidth 2
3938)
3939xt "0,0,8000,10000"
3940)
3941ttg (MlTextGroup
3942ps "CenterOffsetStrategy"
3943stg "VerticalLayoutStrategy"
3944textVec [
3945*123 (Text
3946va (VaSet
3947font "arial,8,1"
3948)
3949xt "500,3500,3400,4500"
3950st "Library"
3951blo "500,4300"
3952)
3953*124 (Text
3954va (VaSet
3955font "arial,8,1"
3956)
3957xt "500,4500,7500,5500"
3958st "VhdlComponent"
3959blo "500,5300"
3960)
3961*125 (Text
3962va (VaSet
3963font "arial,8,1"
3964)
3965xt "500,5500,2300,6500"
3966st "U_0"
3967blo "500,6300"
3968tm "InstanceNameMgr"
3969)
3970]
3971)
3972ga (GenericAssociation
3973ps "EdgeToEdgeStrategy"
3974matrix (Matrix
3975text (MLText
3976va (VaSet
3977font "Courier New,8,0"
3978)
3979xt "-6500,1500,-6500,1500"
3980)
3981header ""
3982)
3983elements [
3984]
3985)
3986portVis (PortSigDisplay
3987)
3988entityPath ""
3989archName ""
3990archPath ""
3991)
3992defaultVerilogComponent (VerilogComponent
3993shape (Rectangle
3994va (VaSet
3995vasetType 1
3996fg "0,65535,0"
3997lineColor "0,32896,0"
3998lineWidth 2
3999)
4000xt "-450,0,8450,10000"
4001)
4002ttg (MlTextGroup
4003ps "CenterOffsetStrategy"
4004stg "VerticalLayoutStrategy"
4005textVec [
4006*126 (Text
4007va (VaSet
4008font "arial,8,1"
4009)
4010xt "50,3500,2950,4500"
4011st "Library"
4012blo "50,4300"
4013)
4014*127 (Text
4015va (VaSet
4016font "arial,8,1"
4017)
4018xt "50,4500,7950,5500"
4019st "VerilogComponent"
4020blo "50,5300"
4021)
4022*128 (Text
4023va (VaSet
4024font "arial,8,1"
4025)
4026xt "50,5500,1850,6500"
4027st "U_0"
4028blo "50,6300"
4029tm "InstanceNameMgr"
4030)
4031]
4032)
4033ga (GenericAssociation
4034ps "EdgeToEdgeStrategy"
4035matrix (Matrix
4036text (MLText
4037va (VaSet
4038font "Courier New,8,0"
4039)
4040xt "-6950,1500,-6950,1500"
4041)
4042header ""
4043)
4044elements [
4045]
4046)
4047entityPath ""
4048)
4049defaultHdlText (HdlText
4050shape (Rectangle
4051va (VaSet
4052vasetType 1
4053fg "65535,65535,37120"
4054lineColor "0,0,32768"
4055lineWidth 2
4056)
4057xt "0,0,8000,10000"
4058)
4059ttg (MlTextGroup
4060ps "CenterOffsetStrategy"
4061stg "VerticalLayoutStrategy"
4062textVec [
4063*129 (Text
4064va (VaSet
4065font "arial,8,1"
4066)
4067xt "3150,4000,4850,5000"
4068st "eb1"
4069blo "3150,4800"
4070tm "HdlTextNameMgr"
4071)
4072*130 (Text
4073va (VaSet
4074font "arial,8,1"
4075)
4076xt "3150,5000,3950,6000"
4077st "1"
4078blo "3150,5800"
4079tm "HdlTextNumberMgr"
4080)
4081]
4082)
4083viewicon (ZoomableIcon
4084sl 0
4085va (VaSet
4086vasetType 1
4087fg "49152,49152,49152"
4088)
4089xt "0,0,1500,1500"
4090iconName "UnknownFile.png"
4091iconMaskName "UnknownFile.msk"
4092)
4093viewiconposition 0
4094)
4095defaultEmbeddedText (EmbeddedText
4096commentText (CommentText
4097ps "CenterOffsetStrategy"
4098shape (Rectangle
4099va (VaSet
4100vasetType 1
4101fg "65535,65535,65535"
4102lineColor "0,0,32768"
4103lineWidth 2
4104)
4105xt "0,0,18000,5000"
4106)
4107text (MLText
4108va (VaSet
4109font "arial,8,0"
4110)
4111xt "200,200,2000,1200"
4112st "
4113Text
4114"
4115tm "HdlTextMgr"
4116wrapOption 3
4117visibleHeight 4600
4118visibleWidth 17600
4119)
4120)
4121)
4122defaultGlobalConnector (GlobalConnector
4123shape (Circle
4124va (VaSet
4125vasetType 1
4126fg "65535,65535,0"
4127)
4128xt "-1000,-1000,1000,1000"
4129radius 1000
4130)
4131name (Text
4132va (VaSet
4133font "arial,8,1"
4134)
4135xt "-500,-500,500,500"
4136st "G"
4137blo "-500,300"
4138)
4139)
4140defaultRipper (Ripper
4141ps "OnConnectorStrategy"
4142shape (Line2D
4143pts [
4144"0,0"
4145"1000,1000"
4146]
4147va (VaSet
4148vasetType 1
4149)
4150xt "0,0,1000,1000"
4151)
4152)
4153defaultBdJunction (BdJunction
4154ps "OnConnectorStrategy"
4155shape (Circle
4156va (VaSet
4157vasetType 1
4158)
4159xt "-400,-400,400,400"
4160radius 400
4161)
4162)
4163defaultPortIoIn (PortIoIn
4164shape (CompositeShape
4165va (VaSet
4166vasetType 1
4167fg "0,0,32768"
4168)
4169optionalChildren [
4170(Pentagon
4171sl 0
4172ro 270
4173xt "-2000,-375,-500,375"
4174)
4175(Line
4176sl 0
4177ro 270
4178xt "-500,0,0,0"
4179pts [
4180"-500,0"
4181"0,0"
4182]
4183)
4184]
4185)
4186stc 0
4187sf 1
4188tg (WTG
4189ps "PortIoTextPlaceStrategy"
4190stg "STSignalDisplayStrategy"
4191f (Text
4192va (VaSet
4193font "arial,8,0"
4194)
4195xt "-1375,-1000,-1375,-1000"
4196ju 2
4197blo "-1375,-1000"
4198tm "WireNameMgr"
4199)
4200)
4201)
4202defaultPortIoOut (PortIoOut
4203shape (CompositeShape
4204va (VaSet
4205vasetType 1
4206fg "0,0,32768"
4207)
4208optionalChildren [
4209(Pentagon
4210sl 0
4211ro 270
4212xt "500,-375,2000,375"
4213)
4214(Line
4215sl 0
4216ro 270
4217xt "0,0,500,0"
4218pts [
4219"0,0"
4220"500,0"
4221]
4222)
4223]
4224)
4225stc 0
4226sf 1
4227tg (WTG
4228ps "PortIoTextPlaceStrategy"
4229stg "STSignalDisplayStrategy"
4230f (Text
4231va (VaSet
4232font "arial,8,0"
4233)
4234xt "625,-1000,625,-1000"
4235blo "625,-1000"
4236tm "WireNameMgr"
4237)
4238)
4239)
4240defaultPortIoInOut (PortIoInOut
4241shape (CompositeShape
4242va (VaSet
4243vasetType 1
4244fg "0,0,32768"
4245)
4246optionalChildren [
4247(Hexagon
4248sl 0
4249xt "500,-375,2000,375"
4250)
4251(Line
4252sl 0
4253xt "0,0,500,0"
4254pts [
4255"0,0"
4256"500,0"
4257]
4258)
4259]
4260)
4261stc 0
4262sf 1
4263tg (WTG
4264ps "PortIoTextPlaceStrategy"
4265stg "STSignalDisplayStrategy"
4266f (Text
4267va (VaSet
4268font "arial,8,0"
4269)
4270xt "0,-375,0,-375"
4271blo "0,-375"
4272tm "WireNameMgr"
4273)
4274)
4275)
4276defaultPortIoBuffer (PortIoBuffer
4277shape (CompositeShape
4278va (VaSet
4279vasetType 1
4280fg "65535,65535,65535"
4281lineColor "0,0,32768"
4282)
4283optionalChildren [
4284(Hexagon
4285sl 0
4286xt "500,-375,2000,375"
4287)
4288(Line
4289sl 0
4290xt "0,0,500,0"
4291pts [
4292"0,0"
4293"500,0"
4294]
4295)
4296]
4297)
4298stc 0
4299sf 1
4300tg (WTG
4301ps "PortIoTextPlaceStrategy"
4302stg "STSignalDisplayStrategy"
4303f (Text
4304va (VaSet
4305font "arial,8,0"
4306)
4307xt "0,-375,0,-375"
4308blo "0,-375"
4309tm "WireNameMgr"
4310)
4311)
4312)
4313defaultSignal (Wire
4314shape (OrthoPolyLine
4315va (VaSet
4316vasetType 3
4317)
4318pts [
4319"0,0"
4320"0,0"
4321]
4322)
4323ss 0
4324es 0
4325sat 32
4326eat 32
4327st 0
4328sf 1
4329si 0
4330tg (WTG
4331ps "ConnStartEndStrategy"
4332stg "STSignalDisplayStrategy"
4333f (Text
4334va (VaSet
4335font "arial,8,0"
4336)
4337xt "0,0,1900,1000"
4338st "sig0"
4339blo "0,800"
4340tm "WireNameMgr"
4341)
4342)
4343)
4344defaultBus (Wire
4345shape (OrthoPolyLine
4346va (VaSet
4347vasetType 3
4348lineWidth 2
4349)
4350pts [
4351"0,0"
4352"0,0"
4353]
4354)
4355ss 0
4356es 0
4357sat 32
4358eat 32
4359sty 1
4360st 0
4361sf 1
4362si 0
4363tg (WTG
4364ps "ConnStartEndStrategy"
4365stg "STSignalDisplayStrategy"
4366f (Text
4367va (VaSet
4368font "arial,8,0"
4369)
4370xt "0,0,2400,1000"
4371st "dbus0"
4372blo "0,800"
4373tm "WireNameMgr"
4374)
4375)
4376)
4377defaultBundle (Bundle
4378shape (OrthoPolyLine
4379va (VaSet
4380vasetType 3
4381lineColor "32768,0,0"
4382lineWidth 2
4383)
4384pts [
4385"0,0"
4386"0,0"
4387]
4388)
4389ss 0
4390es 0
4391sat 32
4392eat 32
4393textGroup (BiTextGroup
4394ps "ConnStartEndStrategy"
4395stg "VerticalLayoutStrategy"
4396first (Text
4397va (VaSet
4398font "arial,8,0"
4399)
4400xt "0,0,3000,1000"
4401st "bundle0"
4402blo "0,800"
4403tm "BundleNameMgr"
4404)
4405second (MLText
4406va (VaSet
4407font "arial,8,0"
4408)
4409xt "0,1000,1000,2000"
4410st "()"
4411tm "BundleContentsMgr"
4412)
4413)
4414bundleNet &0
4415)
4416defaultPortMapFrame (PortMapFrame
4417ps "PortMapFrameStrategy"
4418shape (RectFrame
4419va (VaSet
4420vasetType 1
4421fg "65535,65535,65535"
4422lineColor "0,0,32768"
4423lineWidth 2
4424)
4425xt "0,0,10000,12000"
4426)
4427portMapText (BiTextGroup
4428ps "BottomRightOffsetStrategy"
4429stg "VerticalLayoutStrategy"
4430first (MLText
4431va (VaSet
4432font "arial,8,0"
4433)
4434)
4435second (MLText
4436va (VaSet
4437font "arial,8,0"
4438)
4439tm "PortMapTextMgr"
4440)
4441)
4442)
4443defaultGenFrame (Frame
4444shape (RectFrame
4445va (VaSet
4446vasetType 1
4447fg "65535,65535,65535"
4448lineColor "26368,26368,26368"
4449lineStyle 2
4450lineWidth 3
4451)
4452xt "0,0,20000,20000"
4453)
4454title (TextAssociate
4455ps "TopLeftStrategy"
4456text (MLText
4457va (VaSet
4458font "arial,8,0"
4459)
4460xt "0,-1100,12600,-100"
4461st "g0: FOR i IN 0 TO n GENERATE"
4462tm "FrameTitleTextMgr"
4463)
4464)
4465seqNum (FrameSequenceNumber
4466ps "TopLeftStrategy"
4467shape (Rectangle
4468va (VaSet
4469vasetType 1
4470fg "65535,65535,65535"
4471)
4472xt "50,50,1250,1450"
4473)
4474num (Text
4475va (VaSet
4476font "arial,8,0"
4477)
4478xt "250,250,1050,1250"
4479st "1"
4480blo "250,1050"
4481tm "FrameSeqNumMgr"
4482)
4483)
4484decls (MlTextGroup
4485ps "BottomRightOffsetStrategy"
4486stg "VerticalLayoutStrategy"
4487textVec [
4488*131 (Text
4489va (VaSet
4490font "arial,8,1"
4491)
4492xt "14100,20000,22000,21000"
4493st "Frame Declarations"
4494blo "14100,20800"
4495)
4496*132 (MLText
4497va (VaSet
4498font "arial,8,0"
4499)
4500xt "14100,21000,14100,21000"
4501tm "BdFrameDeclTextMgr"
4502)
4503]
4504)
4505)
4506defaultBlockFrame (Frame
4507shape (RectFrame
4508va (VaSet
4509vasetType 1
4510fg "65535,65535,65535"
4511lineColor "26368,26368,26368"
4512lineStyle 1
4513lineWidth 3
4514)
4515xt "0,0,20000,20000"
4516)
4517title (TextAssociate
4518ps "TopLeftStrategy"
4519text (MLText
4520va (VaSet
4521font "arial,8,0"
4522)
4523xt "0,-1100,7400,-100"
4524st "b0: BLOCK (guard)"
4525tm "FrameTitleTextMgr"
4526)
4527)
4528seqNum (FrameSequenceNumber
4529ps "TopLeftStrategy"
4530shape (Rectangle
4531va (VaSet
4532vasetType 1
4533fg "65535,65535,65535"
4534)
4535xt "50,50,1250,1450"
4536)
4537num (Text
4538va (VaSet
4539font "arial,8,0"
4540)
4541xt "250,250,1050,1250"
4542st "1"
4543blo "250,1050"
4544tm "FrameSeqNumMgr"
4545)
4546)
4547decls (MlTextGroup
4548ps "BottomRightOffsetStrategy"
4549stg "VerticalLayoutStrategy"
4550textVec [
4551*133 (Text
4552va (VaSet
4553font "arial,8,1"
4554)
4555xt "14100,20000,22000,21000"
4556st "Frame Declarations"
4557blo "14100,20800"
4558)
4559*134 (MLText
4560va (VaSet
4561font "arial,8,0"
4562)
4563xt "14100,21000,14100,21000"
4564tm "BdFrameDeclTextMgr"
4565)
4566]
4567)
4568style 3
4569)
4570defaultSaCptPort (CptPort
4571ps "OnEdgeStrategy"
4572shape (Triangle
4573ro 90
4574va (VaSet
4575vasetType 1
4576fg "0,65535,0"
4577)
4578xt "0,0,750,750"
4579)
4580tg (CPTG
4581ps "CptPortTextPlaceStrategy"
4582stg "VerticalLayoutStrategy"
4583f (Text
4584va (VaSet
4585font "arial,8,0"
4586)
4587xt "0,750,1800,1750"
4588st "Port"
4589blo "0,1550"
4590)
4591)
4592thePort (LogicalPort
4593decl (Decl
4594n "Port"
4595t ""
4596o 0
4597)
4598)
4599)
4600defaultSaCptPortBuffer (CptPort
4601ps "OnEdgeStrategy"
4602shape (Diamond
4603va (VaSet
4604vasetType 1
4605fg "65535,65535,65535"
4606)
4607xt "0,0,750,750"
4608)
4609tg (CPTG
4610ps "CptPortTextPlaceStrategy"
4611stg "VerticalLayoutStrategy"
4612f (Text
4613va (VaSet
4614font "arial,8,0"
4615)
4616xt "0,750,1800,1750"
4617st "Port"
4618blo "0,1550"
4619)
4620)
4621thePort (LogicalPort
4622m 3
4623decl (Decl
4624n "Port"
4625t ""
4626o 0
4627)
4628)
4629)
4630defaultDeclText (MLText
4631va (VaSet
4632font "Courier New,8,0"
4633)
4634)
4635archDeclarativeBlock (BdArchDeclBlock
4636uid 1,0
4637stg "BdArchDeclBlockLS"
4638declLabel (Text
4639uid 2,0
4640va (VaSet
4641font "arial,8,1"
4642)
4643xt "9000,-16000,14400,-15000"
4644st "Declarations"
4645blo "9000,-15200"
4646)
4647portLabel (Text
4648uid 3,0
4649va (VaSet
4650font "arial,8,1"
4651)
4652xt "9000,-15000,11700,-14000"
4653st "Ports:"
4654blo "9000,-14200"
4655)
4656preUserLabel (Text
4657uid 4,0
4658va (VaSet
4659isHidden 1
4660font "arial,8,1"
4661)
4662xt "9000,-16000,12800,-15000"
4663st "Pre User:"
4664blo "9000,-15200"
4665)
4666preUserText (MLText
4667uid 5,0
4668va (VaSet
4669isHidden 1
4670font "Courier New,8,0"
4671)
4672xt "9000,-16000,9000,-16000"
4673tm "BdDeclarativeTextMgr"
4674)
4675diagSignalLabel (Text
4676uid 6,0
4677va (VaSet
4678font "arial,8,1"
4679)
4680xt "9000,-6000,16100,-5000"
4681st "Diagram Signals:"
4682blo "9000,-5200"
4683)
4684postUserLabel (Text
4685uid 7,0
4686va (VaSet
4687isHidden 1
4688font "arial,8,1"
4689)
4690xt "9000,-16000,13700,-15000"
4691st "Post User:"
4692blo "9000,-15200"
4693)
4694postUserText (MLText
4695uid 8,0
4696va (VaSet
4697isHidden 1
4698font "Courier New,8,0"
4699)
4700xt "9000,-16000,9000,-16000"
4701tm "BdDeclarativeTextMgr"
4702)
4703)
4704commonDM (CommonDM
4705ldm (LogicalDM
4706suid 43,0
4707usingSuid 1
4708emptyRow *135 (LEmptyRow
4709)
4710uid 54,0
4711optionalChildren [
4712*136 (RefLabelRowHdr
4713)
4714*137 (TitleRowHdr
4715)
4716*138 (FilterRowHdr
4717)
4718*139 (RefLabelColHdr
4719tm "RefLabelColHdrMgr"
4720)
4721*140 (RowExpandColHdr
4722tm "RowExpandColHdrMgr"
4723)
4724*141 (GroupColHdr
4725tm "GroupColHdrMgr"
4726)
4727*142 (NameColHdr
4728tm "BlockDiagramNameColHdrMgr"
4729)
4730*143 (ModeColHdr
4731tm "BlockDiagramModeColHdrMgr"
4732)
4733*144 (TypeColHdr
4734tm "BlockDiagramTypeColHdrMgr"
4735)
4736*145 (BoundsColHdr
4737tm "BlockDiagramBoundsColHdrMgr"
4738)
4739*146 (InitColHdr
4740tm "BlockDiagramInitColHdrMgr"
4741)
4742*147 (EolColHdr
4743tm "BlockDiagramEolColHdrMgr"
4744)
4745*148 (LeafLogPort
4746port (LogicalPort
4747m 1
4748decl (Decl
4749n "CLK_50"
4750t "std_logic"
4751o 3
4752suid 9,0
4753)
4754)
4755uid 237,0
4756)
4757*149 (LeafLogPort
4758port (LogicalPort
4759m 1
4760decl (Decl
4761n "CLK_25"
4762t "std_logic"
4763o 2
4764suid 10,0
4765)
4766)
4767uid 239,0
4768)
4769*150 (LeafLogPort
4770port (LogicalPort
4771decl (Decl
4772n "CLK"
4773t "std_logic"
4774o 1
4775suid 13,0
4776)
4777)
4778uid 295,0
4779)
4780*151 (LeafLogPort
4781port (LogicalPort
4782m 4
4783decl (Decl
4784n "CLK0_OUT"
4785t "std_logic"
4786o 14
4787suid 14,0
4788)
4789)
4790uid 614,0
4791)
4792*152 (LeafLogPort
4793port (LogicalPort
4794m 4
4795decl (Decl
4796n "PSCLK_IN"
4797t "std_logic"
4798o 16
4799suid 15,0
4800)
4801)
4802uid 616,0
4803)
4804*153 (LeafLogPort
4805port (LogicalPort
4806m 4
4807decl (Decl
4808n "PSEN_IN"
4809t "std_logic"
4810o 18
4811suid 16,0
4812)
4813)
4814uid 618,0
4815)
4816*154 (LeafLogPort
4817port (LogicalPort
4818m 4
4819decl (Decl
4820n "PSINCDEC_IN"
4821t "std_logic"
4822o 19
4823suid 17,0
4824)
4825)
4826uid 620,0
4827)
4828*155 (LeafLogPort
4829port (LogicalPort
4830m 4
4831decl (Decl
4832n "PSDONE_OUT"
4833t "std_logic"
4834o 17
4835suid 19,0
4836)
4837)
4838uid 624,0
4839)
4840*156 (LeafLogPort
4841port (LogicalPort
4842m 4
4843decl (Decl
4844n "LOCKED_OUT"
4845t "std_logic"
4846o 15
4847suid 20,0
4848)
4849)
4850uid 626,0
4851)
4852*157 (LeafLogPort
4853port (LogicalPort
4854m 1
4855decl (Decl
4856n "CLK_25_PS"
4857t "std_logic"
4858o 22
4859suid 34,0
4860)
4861)
4862uid 1246,0
4863scheme 0
4864)
4865*158 (LeafLogPort
4866port (LogicalPort
4867decl (Decl
4868n "do_shift"
4869t "std_logic"
4870o 23
4871suid 35,0
4872)
4873)
4874uid 1262,0
4875scheme 0
4876)
4877*159 (LeafLogPort
4878port (LogicalPort
4879decl (Decl
4880n "direction"
4881t "std_logic"
4882o 24
4883suid 36,0
4884)
4885)
4886uid 1264,0
4887scheme 0
4888)
4889*160 (LeafLogPort
4890port (LogicalPort
4891decl (Decl
4892n "RST_IN"
4893t "std_logic"
4894o 22
4895suid 37,0
4896)
4897)
4898uid 1505,0
4899)
4900*161 (LeafLogPort
4901port (LogicalPort
4902m 1
4903decl (Decl
4904n "offset"
4905t "std_logic_vector"
4906b "(7 DOWNTO 0)"
4907preAdd 0
4908posAdd 0
4909o 14
4910suid 39,0
4911i "(OTHERS => '0')"
4912)
4913)
4914uid 1631,0
4915)
4916*162 (LeafLogPort
4917port (LogicalPort
4918m 4
4919decl (Decl
4920n "rst"
4921t "std_logic"
4922eolc "--asynch in of DCM"
4923posAdd 0
4924o 15
4925suid 40,0
4926i "'0'"
4927)
4928)
4929uid 1985,0
4930)
4931*163 (LeafLogPort
4932port (LogicalPort
4933m 1
4934decl (Decl
4935n "locked_status_o"
4936t "std_logic"
4937o 16
4938suid 42,0
4939)
4940)
4941uid 2057,0
4942)
4943*164 (LeafLogPort
4944port (LogicalPort
4945m 1
4946decl (Decl
4947n "ready_status_o"
4948t "std_logic"
4949o 17
4950suid 43,0
4951)
4952)
4953uid 2059,0
4954)
4955]
4956)
4957pdm (PhysicalDM
4958displayShortBounds 1
4959editShortBounds 1
4960uid 67,0
4961optionalChildren [
4962*165 (Sheet
4963sheetRow (SheetRow
4964headerVa (MVa
4965cellColor "49152,49152,49152"
4966fontColor "0,0,0"
4967font "Tahoma,10,0"
4968)
4969cellVa (MVa
4970cellColor "65535,65535,65535"
4971fontColor "0,0,0"
4972font "Tahoma,10,0"
4973)
4974groupVa (MVa
4975cellColor "39936,56832,65280"
4976fontColor "0,0,0"
4977font "Tahoma,10,0"
4978)
4979emptyMRCItem *166 (MRCItem
4980litem &135
4981pos 17
4982dimension 20
4983)
4984uid 69,0
4985optionalChildren [
4986*167 (MRCItem
4987litem &136
4988pos 0
4989dimension 20
4990uid 70,0
4991)
4992*168 (MRCItem
4993litem &137
4994pos 1
4995dimension 23
4996uid 71,0
4997)
4998*169 (MRCItem
4999litem &138
5000pos 2
5001hidden 1
5002dimension 20
5003uid 72,0
5004)
5005*170 (MRCItem
5006litem &148
5007pos 0
5008dimension 20
5009uid 238,0
5010)
5011*171 (MRCItem
5012litem &149
5013pos 1
5014dimension 20
5015uid 240,0
5016)
5017*172 (MRCItem
5018litem &150
5019pos 2
5020dimension 20
5021uid 296,0
5022)
5023*173 (MRCItem
5024litem &151
5025pos 8
5026dimension 20
5027uid 615,0
5028)
5029*174 (MRCItem
5030litem &152
5031pos 3
5032dimension 20
5033uid 617,0
5034)
5035*175 (MRCItem
5036litem &153
5037pos 4
5038dimension 20
5039uid 619,0
5040)
5041*176 (MRCItem
5042litem &154
5043pos 5
5044dimension 20
5045uid 621,0
5046)
5047*177 (MRCItem
5048litem &155
5049pos 6
5050dimension 20
5051uid 625,0
5052)
5053*178 (MRCItem
5054litem &156
5055pos 7
5056dimension 20
5057uid 627,0
5058)
5059*179 (MRCItem
5060litem &157
5061pos 9
5062dimension 20
5063uid 1247,0
5064)
5065*180 (MRCItem
5066litem &158
5067pos 10
5068dimension 20
5069uid 1263,0
5070)
5071*181 (MRCItem
5072litem &159
5073pos 11
5074dimension 20
5075uid 1265,0
5076)
5077*182 (MRCItem
5078litem &160
5079pos 12
5080dimension 20
5081uid 1506,0
5082)
5083*183 (MRCItem
5084litem &161
5085pos 13
5086dimension 20
5087uid 1632,0
5088)
5089*184 (MRCItem
5090litem &162
5091pos 14
5092dimension 20
5093uid 1986,0
5094)
5095*185 (MRCItem
5096litem &163
5097pos 15
5098dimension 20
5099uid 2058,0
5100)
5101*186 (MRCItem
5102litem &164
5103pos 16
5104dimension 20
5105uid 2060,0
5106)
5107]
5108)
5109sheetCol (SheetCol
5110propVa (MVa
5111cellColor "0,49152,49152"
5112fontColor "0,0,0"
5113font "Tahoma,10,0"
5114textAngle 90
5115)
5116uid 73,0
5117optionalChildren [
5118*187 (MRCItem
5119litem &139
5120pos 0
5121dimension 20
5122uid 74,0
5123)
5124*188 (MRCItem
5125litem &141
5126pos 1
5127dimension 50
5128uid 75,0
5129)
5130*189 (MRCItem
5131litem &142
5132pos 2
5133dimension 100
5134uid 76,0
5135)
5136*190 (MRCItem
5137litem &143
5138pos 3
5139dimension 50
5140uid 77,0
5141)
5142*191 (MRCItem
5143litem &144
5144pos 4
5145dimension 100
5146uid 78,0
5147)
5148*192 (MRCItem
5149litem &145
5150pos 5
5151dimension 100
5152uid 79,0
5153)
5154*193 (MRCItem
5155litem &146
5156pos 6
5157dimension 50
5158uid 80,0
5159)
5160*194 (MRCItem
5161litem &147
5162pos 7
5163dimension 80
5164uid 81,0
5165)
5166]
5167)
5168fixedCol 4
5169fixedRow 2
5170name "Ports"
5171uid 68,0
5172vaOverrides [
5173]
5174)
5175]
5176)
5177uid 53,0
5178)
5179genericsCommonDM (CommonDM
5180ldm (LogicalDM
5181emptyRow *195 (LEmptyRow
5182)
5183uid 83,0
5184optionalChildren [
5185*196 (RefLabelRowHdr
5186)
5187*197 (TitleRowHdr
5188)
5189*198 (FilterRowHdr
5190)
5191*199 (RefLabelColHdr
5192tm "RefLabelColHdrMgr"
5193)
5194*200 (RowExpandColHdr
5195tm "RowExpandColHdrMgr"
5196)
5197*201 (GroupColHdr
5198tm "GroupColHdrMgr"
5199)
5200*202 (NameColHdr
5201tm "GenericNameColHdrMgr"
5202)
5203*203 (TypeColHdr
5204tm "GenericTypeColHdrMgr"
5205)
5206*204 (InitColHdr
5207tm "GenericValueColHdrMgr"
5208)
5209*205 (PragmaColHdr
5210tm "GenericPragmaColHdrMgr"
5211)
5212*206 (EolColHdr
5213tm "GenericEolColHdrMgr"
5214)
5215]
5216)
5217pdm (PhysicalDM
5218displayShortBounds 1
5219editShortBounds 1
5220uid 95,0
5221optionalChildren [
5222*207 (Sheet
5223sheetRow (SheetRow
5224headerVa (MVa
5225cellColor "49152,49152,49152"
5226fontColor "0,0,0"
5227font "Tahoma,10,0"
5228)
5229cellVa (MVa
5230cellColor "65535,65535,65535"
5231fontColor "0,0,0"
5232font "Tahoma,10,0"
5233)
5234groupVa (MVa
5235cellColor "39936,56832,65280"
5236fontColor "0,0,0"
5237font "Tahoma,10,0"
5238)
5239emptyMRCItem *208 (MRCItem
5240litem &195
5241pos 0
5242dimension 20
5243)
5244uid 97,0
5245optionalChildren [
5246*209 (MRCItem
5247litem &196
5248pos 0
5249dimension 20
5250uid 98,0
5251)
5252*210 (MRCItem
5253litem &197
5254pos 1
5255dimension 23
5256uid 99,0
5257)
5258*211 (MRCItem
5259litem &198
5260pos 2
5261hidden 1
5262dimension 20
5263uid 100,0
5264)
5265]
5266)
5267sheetCol (SheetCol
5268propVa (MVa
5269cellColor "0,49152,49152"
5270fontColor "0,0,0"
5271font "Tahoma,10,0"
5272textAngle 90
5273)
5274uid 101,0
5275optionalChildren [
5276*212 (MRCItem
5277litem &199
5278pos 0
5279dimension 20
5280uid 102,0
5281)
5282*213 (MRCItem
5283litem &201
5284pos 1
5285dimension 50
5286uid 103,0
5287)
5288*214 (MRCItem
5289litem &202
5290pos 2
5291dimension 100
5292uid 104,0
5293)
5294*215 (MRCItem
5295litem &203
5296pos 3
5297dimension 100
5298uid 105,0
5299)
5300*216 (MRCItem
5301litem &204
5302pos 4
5303dimension 50
5304uid 106,0
5305)
5306*217 (MRCItem
5307litem &205
5308pos 5
5309dimension 50
5310uid 107,0
5311)
5312*218 (MRCItem
5313litem &206
5314pos 6
5315dimension 80
5316uid 108,0
5317)
5318]
5319)
5320fixedCol 3
5321fixedRow 2
5322name "Ports"
5323uid 96,0
5324vaOverrides [
5325]
5326)
5327]
5328)
5329uid 82,0
5330type 1
5331)
5332activeModelName "BlockDiag"
5333)
Note: See TracBrowser for help on using the repository browser.