source: firmware/FAD/FACT_FAD_lib/hds/data_generator/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 71.0 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "fact_fad_lib"
22unitName "fad_definitions"
23)
24]
25libraryRefs [
26"IEEE"
27"fact_fad_lib"
28]
29)
30version "24.1"
31appVersion "2009.1 (Build 12)"
32model (Symbol
33commonDM (CommonDM
34ldm (LogicalDM
35ordering 1
36suid 105,0
37usingSuid 1
38emptyRow *1 (LEmptyRow
39)
40uid 136,0
41optionalChildren [
42*2 (RefLabelRowHdr
43)
44*3 (TitleRowHdr
45)
46*4 (FilterRowHdr
47)
48*5 (RefLabelColHdr
49tm "RefLabelColHdrMgr"
50)
51*6 (RowExpandColHdr
52tm "RowExpandColHdrMgr"
53)
54*7 (GroupColHdr
55tm "GroupColHdrMgr"
56)
57*8 (NameColHdr
58tm "NameColHdrMgr"
59)
60*9 (ModeColHdr
61tm "ModeColHdrMgr"
62)
63*10 (TypeColHdr
64tm "TypeColHdrMgr"
65)
66*11 (BoundsColHdr
67tm "BoundsColHdrMgr"
68)
69*12 (InitColHdr
70tm "InitColHdrMgr"
71)
72*13 (EolColHdr
73tm "EolColHdrMgr"
74)
75*14 (LogPort
76port (LogicalPort
77decl (Decl
78n "clk"
79t "std_logic"
80eolc "-- CLK_25."
81preAdd 0
82posAdd 0
83o 3
84suid 1,0
85)
86)
87uid 109,0
88)
89*15 (LogPort
90port (LogicalPort
91m 1
92decl (Decl
93n "data_out"
94t "std_logic_vector"
95b "(63 downto 0)"
96preAdd 0
97posAdd 0
98o 4
99suid 2,0
100)
101)
102uid 111,0
103)
104*16 (LogPort
105port (LogicalPort
106m 1
107decl (Decl
108n "addr_out"
109t "std_logic_vector"
110b "(RAM_ADDR_WIDTH-1 downto 0)"
111preAdd 0
112posAdd 0
113o 5
114suid 3,0
115)
116)
117uid 113,0
118)
119*17 (LogPort
120port (LogicalPort
121decl (Decl
122n "ram_start_addr"
123t "std_logic_vector"
124b "(RAM_ADDR_WIDTH-1 downto 0)"
125preAdd 0
126posAdd 0
127o 7
128suid 7,0
129)
130)
131uid 121,0
132)
133*18 (LogPort
134port (LogicalPort
135decl (Decl
136n "board_id"
137t "std_logic_vector"
138b "(3 downto 0)"
139prec "-- EVT HEADER - part 4"
140preAdd 0
141posAdd 0
142o 33
143suid 9,0
144)
145)
146uid 125,0
147)
148*19 (LogPort
149port (LogicalPort
150decl (Decl
151n "crate_id"
152t "std_logic_vector"
153b "(1 downto 0)"
154posAdd 0
155o 34
156suid 12,0
157)
158)
159uid 290,0
160)
161*20 (LogPort
162port (LogicalPort
163decl (Decl
164n "ram_write_ea"
165t "std_logic"
166o 8
167suid 16,0
168)
169)
170uid 421,0
171)
172*21 (LogPort
173port (LogicalPort
174m 1
175decl (Decl
176n "ram_write_ready"
177t "std_logic"
178posAdd 0
179o 9
180suid 17,0
181i "'0'"
182)
183)
184uid 423,0
185)
186*22 (LogPort
187port (LogicalPort
188decl (Decl
189n "roi_max"
190t "roi_max_type"
191o 11
192suid 18,0
193)
194)
195uid 425,0
196)
197*23 (LogPort
198port (LogicalPort
199decl (Decl
200n "roi_array"
201t "roi_array_type"
202o 10
203suid 19,0
204)
205)
206uid 478,0
207)
208*24 (LogPort
209port (LogicalPort
210decl (Decl
211n "package_length"
212t "std_logic_vector"
213b "(15 downto 0)"
214prec "-- EVT HEADER - part 1"
215preAdd 0
216o 17
217suid 20,0
218)
219)
220uid 531,0
221)
222*25 (LogPort
223port (LogicalPort
224m 1
225decl (Decl
226n "drs_channel_id"
227t "std_logic_vector"
228b "(3 downto 0)"
229posAdd 0
230o 49
231suid 25,0
232i "(others => '0')"
233)
234)
235uid 701,0
236)
237*26 (LogPort
238port (LogicalPort
239m 1
240decl (Decl
241n "drs_clk_en"
242t "std_logic"
243preAdd 0
244posAdd 0
245o 52
246suid 26,0
247i "'0'"
248)
249)
250uid 703,0
251)
252*27 (LogPort
253port (LogicalPort
254decl (Decl
255n "drs_read_s_cell_ready"
256t "std_logic"
257o 58
258suid 34,0
259)
260)
261uid 818,0
262)
263*28 (LogPort
264port (LogicalPort
265decl (Decl
266n "drs_s_cell_array"
267t "drs_s_cell_array_type"
268o 59
269suid 35,0
270)
271)
272uid 820,0
273)
274*29 (LogPort
275port (LogicalPort
276decl (Decl
277n "adc_data_array"
278t "adc_data_array_type"
279o 45
280suid 37,0
281)
282)
283uid 903,0
284)
285*30 (LogPort
286port (LogicalPort
287decl (Decl
288n "sensor_array"
289t "sensor_array_type"
290o 12
291suid 44,0
292)
293)
294uid 1095,0
295)
296*31 (LogPort
297port (LogicalPort
298decl (Decl
299n "sensor_ready"
300t "std_logic"
301o 13
302suid 45,0
303)
304)
305uid 1097,0
306)
307*32 (LogPort
308port (LogicalPort
309decl (Decl
310n "dac_array"
311t "dac_array_type"
312posAdd 0
313o 14
314suid 53,0
315)
316)
317uid 1245,0
318)
319*33 (LogPort
320port (LogicalPort
321m 1
322decl (Decl
323n "adc_clk_en"
324t "std_logic"
325o 47
326suid 54,0
327i "'0'"
328)
329)
330uid 1400,0
331)
332*34 (LogPort
333port (LogicalPort
334decl (Decl
335n "adc_otr"
336t "std_logic_vector"
337b "(3 downto 0)"
338o 48
339suid 55,0
340)
341)
342uid 1432,0
343)
344*35 (LogPort
345port (LogicalPort
346m 1
347decl (Decl
348n "drs_srin_data"
349t "std_logic_vector"
350b "(7 downto 0)"
351o 56
352suid 56,0
353i "(others => '0')"
354)
355)
356uid 1484,0
357)
358*36 (LogPort
359port (LogicalPort
360m 1
361decl (Decl
362n "drs_srin_write_8b"
363t "std_logic"
364o 54
365suid 57,0
366i "'0'"
367)
368)
369uid 1486,0
370)
371*37 (LogPort
372port (LogicalPort
373decl (Decl
374n "drs_srin_write_ack"
375t "std_logic"
376o 55
377suid 58,0
378)
379)
380uid 1488,0
381)
382*38 (LogPort
383port (LogicalPort
384decl (Decl
385n "drs_srin_write_ready"
386t "std_logic"
387o 57
388suid 59,0
389)
390)
391uid 1490,0
392)
393*39 (LogPort
394port (LogicalPort
395m 1
396decl (Decl
397n "drs_readout_started"
398t "std_logic"
399o 60
400suid 61,0
401i "'0'"
402)
403)
404uid 1524,0
405)
406*40 (LogPort
407port (LogicalPort
408m 1
409decl (Decl
410n "drs_readout_ready"
411t "std_logic"
412prec "--drs_dwrite : out std_logic := '1';"
413preAdd 0
414posAdd 0
415o 50
416suid 62,0
417i "'0'"
418)
419)
420uid 1556,0
421)
422*41 (LogPort
423port (LogicalPort
424decl (Decl
425n "drs_readout_ready_ack"
426t "std_logic"
427posAdd 0
428o 51
429suid 63,0
430)
431)
432uid 1588,0
433)
434*42 (LogPort
435port (LogicalPort
436decl (Decl
437n "pll_lock"
438t "std_logic_vector"
439b "( 3 downto 0)"
440posAdd 0
441o 18
442suid 64,0
443)
444)
445uid 1620,0
446)
447*43 (LogPort
448port (LogicalPort
449decl (Decl
450n "fad_event_counter"
451t "std_logic_vector"
452b "(31 downto 0)"
453prec "-- EVT HEADER - part 3"
454preAdd 0
455o 29
456suid 65,0
457)
458)
459uid 1652,0
460)
461*44 (LogPort
462port (LogicalPort
463decl (Decl
464n "refclk_counter"
465t "std_logic_vector"
466b "(11 downto 0)"
467o 30
468suid 66,0
469)
470)
471uid 1694,0
472)
473*45 (LogPort
474port (LogicalPort
475decl (Decl
476n "refclk_too_high"
477t "std_logic"
478o 31
479suid 67,0
480)
481)
482uid 1696,0
483)
484*46 (LogPort
485port (LogicalPort
486decl (Decl
487n "refclk_too_low"
488t "std_logic"
489posAdd 0
490o 32
491suid 68,0
492)
493)
494uid 1698,0
495)
496*47 (LogPort
497port (LogicalPort
498decl (Decl
499n "FTM_RS485_ready"
500t "std_logic"
501prec "-- EVT HEADER - part 2 --> FTM trigger informaton, comes in late ...
502-- during EVT header wrinting, this field is left out ... and only written into event header,
503-- when the DRS chip were read out already."
504preAdd 0
505o 26
506suid 69,0
507)
508)
509uid 1735,0
510)
511*48 (LogPort
512port (LogicalPort
513decl (Decl
514n "FTM_trigger_info"
515t "std_logic_vector"
516b "(55 downto 0)"
517eolc "--7 byte"
518posAdd 0
519o 27
520suid 70,0
521)
522)
523uid 1737,0
524)
525*49 (LogPort
526port (LogicalPort
527decl (Decl
528n "DCM_PS_status"
529t "std_logic_vector"
530b "(7 downto 0)"
531o 35
532suid 71,0
533)
534)
535uid 1779,0
536)
537*50 (LogPort
538port (LogicalPort
539decl (Decl
540n "TRG_GEN_div"
541t "std_logic_vector"
542b "(15 downto 0)"
543posAdd 0
544o 39
545suid 72,0
546)
547)
548uid 1781,0
549)
550*51 (LogPort
551port (LogicalPort
552decl (Decl
553n "dna"
554t "std_logic_vector"
555b "(63 downto 0)"
556prec "-- EVT HEADER - part 5"
557preAdd 0
558posAdd 0
559o 40
560suid 74,0
561)
562)
563uid 1815,0
564)
565*52 (LogPort
566port (LogicalPort
567decl (Decl
568n "timer_value"
569t "std_logic_vector"
570b "(31 downto 0)"
571eolc "-- time in units of 100us"
572preAdd 0
573posAdd 0
574o 42
575suid 75,0
576)
577)
578uid 1847,0
579)
580*53 (LogPort
581port (LogicalPort
582m 1
583decl (Decl
584n "adc_output_enable_inverted"
585t "std_logic"
586o 46
587suid 76,0
588i "'1'"
589)
590)
591uid 1947,0
592)
593*54 (LogPort
594port (LogicalPort
595m 1
596decl (Decl
597n "dataRAM_write_ea_o"
598t "std_logic_vector"
599b "(0 downto 0)"
600o 6
601suid 78,0
602i "\"0\""
603)
604)
605uid 1951,0
606)
607*55 (LogPort
608port (LogicalPort
609m 1
610decl (Decl
611n "start_read_drs_stop_cell"
612t "std_logic"
613o 53
614suid 80,0
615i "'0'"
616)
617)
618uid 1955,0
619)
620*56 (LogPort
621port (LogicalPort
622m 1
623decl (Decl
624n "config_done"
625t "std_logic"
626o 16
627suid 83,0
628i "'0'"
629)
630)
631uid 2056,0
632)
633*57 (LogPort
634port (LogicalPort
635decl (Decl
636n "config_start"
637t "std_logic"
638o 15
639suid 84,0
640)
641)
642uid 2058,0
643)
644*58 (LogPort
645port (LogicalPort
646decl (Decl
647n "DCM_locked_status"
648t "std_logic"
649o 36
650suid 85,0
651)
652)
653uid 2105,0
654)
655*59 (LogPort
656port (LogicalPort
657decl (Decl
658n "DCM_ready_status"
659t "std_logic"
660o 37
661suid 86,0
662)
663)
664uid 2107,0
665)
666*60 (LogPort
667port (LogicalPort
668decl (Decl
669n "denable_enable_in"
670t "std_logic"
671o 20
672suid 87,0
673)
674)
675uid 2109,0
676)
677*61 (LogPort
678port (LogicalPort
679decl (Decl
680n "dwrite_enable_in"
681t "std_logic"
682o 19
683suid 88,0
684)
685)
686uid 2111,0
687)
688*62 (LogPort
689port (LogicalPort
690decl (Decl
691n "SPI_SCLK_enable_status"
692t "std_logic"
693o 38
694suid 89,0
695)
696)
697uid 2143,0
698)
699*63 (LogPort
700port (LogicalPort
701m 1
702decl (Decl
703n "trigger_veto"
704t "std_logic"
705o 61
706suid 90,0
707i "'1'"
708)
709)
710uid 2175,0
711)
712*64 (LogPort
713port (LogicalPort
714decl (Decl
715n "FTM_receiver_status"
716t "std_logic"
717o 28
718suid 91,0
719)
720)
721uid 2207,0
722)
723*65 (LogPort
724port (LogicalPort
725decl (Decl
726n "runnumber"
727t "std_logic_vector"
728b "(31 downto 0)"
729prec "-- EVT HEADER - part 6"
730preAdd 0
731posAdd 0
732o 41
733suid 92,0
734)
735)
736uid 2239,0
737)
738*66 (LogPort
739port (LogicalPort
740decl (Decl
741n "hardware_trigger_in"
742t "std_logic"
743o 43
744suid 96,0
745)
746)
747uid 2347,0
748)
749*67 (LogPort
750port (LogicalPort
751decl (Decl
752n "software_trigger_in"
753t "std_logic"
754o 44
755suid 97,0
756)
757)
758uid 2349,0
759)
760*68 (LogPort
761port (LogicalPort
762m 1
763decl (Decl
764n "state"
765t "std_logic_vector"
766b "(7 downto 0)"
767prec "-- for debugging"
768preAdd 0
769o 1
770suid 99,0
771)
772)
773uid 2388,0
774)
775*69 (LogPort
776port (LogicalPort
777m 1
778decl (Decl
779n "is_idle"
780t "std_logic"
781o 2
782suid 100,0
783)
784)
785uid 2420,0
786)
787*70 (LogPort
788port (LogicalPort
789decl (Decl
790n "busy_enable_in"
791t "std_logic"
792o 21
793suid 101,0
794)
795)
796uid 2492,0
797)
798*71 (LogPort
799port (LogicalPort
800decl (Decl
801n "cont_trigger_en_in"
802t "std_logic"
803o 23
804suid 102,0
805)
806)
807uid 2494,0
808)
809*72 (LogPort
810port (LogicalPort
811decl (Decl
812n "socket_send_mode_in"
813t "std_logic"
814o 24
815suid 103,0
816)
817)
818uid 2496,0
819)
820*73 (LogPort
821port (LogicalPort
822decl (Decl
823n "trigger_enable_in"
824t "std_logic"
825o 22
826suid 104,0
827)
828)
829uid 2498,0
830)
831*74 (LogPort
832port (LogicalPort
833decl (Decl
834n "busy_manual_in"
835t "std_logic"
836o 25
837suid 105,0
838)
839)
840uid 2530,0
841)
842]
843)
844pdm (PhysicalDM
845displayShortBounds 1
846editShortBounds 1
847uid 149,0
848optionalChildren [
849*75 (Sheet
850sheetRow (SheetRow
851headerVa (MVa
852cellColor "49152,49152,49152"
853fontColor "0,0,0"
854font "Tahoma,10,0"
855)
856cellVa (MVa
857cellColor "65535,65535,65535"
858fontColor "0,0,0"
859font "Tahoma,10,0"
860)
861groupVa (MVa
862cellColor "39936,56832,65280"
863fontColor "0,0,0"
864font "Tahoma,10,0"
865)
866emptyMRCItem *76 (MRCItem
867litem &1
868pos 3
869dimension 20
870)
871uid 151,0
872optionalChildren [
873*77 (MRCItem
874litem &2
875pos 0
876dimension 20
877uid 152,0
878)
879*78 (MRCItem
880litem &3
881pos 1
882dimension 23
883uid 153,0
884)
885*79 (MRCItem
886litem &4
887pos 2
888hidden 1
889dimension 20
890uid 154,0
891)
892*80 (MRCItem
893litem &14
894pos 0
895dimension 20
896uid 110,0
897)
898*81 (MRCItem
899litem &15
900pos 1
901dimension 20
902uid 112,0
903)
904*82 (MRCItem
905litem &16
906pos 2
907dimension 20
908uid 114,0
909)
910*83 (MRCItem
911litem &17
912pos 3
913dimension 20
914uid 122,0
915)
916*84 (MRCItem
917litem &18
918pos 4
919dimension 20
920uid 126,0
921)
922*85 (MRCItem
923litem &19
924pos 5
925dimension 20
926uid 291,0
927)
928*86 (MRCItem
929litem &20
930pos 6
931dimension 20
932uid 422,0
933)
934*87 (MRCItem
935litem &21
936pos 7
937dimension 20
938uid 424,0
939)
940*88 (MRCItem
941litem &22
942pos 8
943dimension 20
944uid 426,0
945)
946*89 (MRCItem
947litem &23
948pos 9
949dimension 20
950uid 479,0
951)
952*90 (MRCItem
953litem &24
954pos 10
955dimension 20
956uid 532,0
957)
958*91 (MRCItem
959litem &25
960pos 11
961dimension 20
962uid 702,0
963)
964*92 (MRCItem
965litem &26
966pos 12
967dimension 20
968uid 704,0
969)
970*93 (MRCItem
971litem &27
972pos 13
973dimension 20
974uid 819,0
975)
976*94 (MRCItem
977litem &28
978pos 14
979dimension 20
980uid 821,0
981)
982*95 (MRCItem
983litem &29
984pos 15
985dimension 20
986uid 904,0
987)
988*96 (MRCItem
989litem &30
990pos 16
991dimension 20
992uid 1096,0
993)
994*97 (MRCItem
995litem &31
996pos 17
997dimension 20
998uid 1098,0
999)
1000*98 (MRCItem
1001litem &32
1002pos 18
1003dimension 20
1004uid 1246,0
1005)
1006*99 (MRCItem
1007litem &33
1008pos 19
1009dimension 20
1010uid 1401,0
1011)
1012*100 (MRCItem
1013litem &34
1014pos 20
1015dimension 20
1016uid 1433,0
1017)
1018*101 (MRCItem
1019litem &35
1020pos 21
1021dimension 20
1022uid 1485,0
1023)
1024*102 (MRCItem
1025litem &36
1026pos 22
1027dimension 20
1028uid 1487,0
1029)
1030*103 (MRCItem
1031litem &37
1032pos 23
1033dimension 20
1034uid 1489,0
1035)
1036*104 (MRCItem
1037litem &38
1038pos 24
1039dimension 20
1040uid 1491,0
1041)
1042*105 (MRCItem
1043litem &39
1044pos 25
1045dimension 20
1046uid 1525,0
1047)
1048*106 (MRCItem
1049litem &40
1050pos 26
1051dimension 20
1052uid 1557,0
1053)
1054*107 (MRCItem
1055litem &41
1056pos 27
1057dimension 20
1058uid 1589,0
1059)
1060*108 (MRCItem
1061litem &42
1062pos 28
1063dimension 20
1064uid 1621,0
1065)
1066*109 (MRCItem
1067litem &43
1068pos 29
1069dimension 20
1070uid 1653,0
1071)
1072*110 (MRCItem
1073litem &44
1074pos 30
1075dimension 20
1076uid 1695,0
1077)
1078*111 (MRCItem
1079litem &45
1080pos 31
1081dimension 20
1082uid 1697,0
1083)
1084*112 (MRCItem
1085litem &46
1086pos 32
1087dimension 20
1088uid 1699,0
1089)
1090*113 (MRCItem
1091litem &47
1092pos 33
1093dimension 20
1094uid 1736,0
1095)
1096*114 (MRCItem
1097litem &48
1098pos 34
1099dimension 20
1100uid 1738,0
1101)
1102*115 (MRCItem
1103litem &49
1104pos 35
1105dimension 20
1106uid 1780,0
1107)
1108*116 (MRCItem
1109litem &50
1110pos 36
1111dimension 20
1112uid 1782,0
1113)
1114*117 (MRCItem
1115litem &51
1116pos 37
1117dimension 20
1118uid 1816,0
1119)
1120*118 (MRCItem
1121litem &52
1122pos 38
1123dimension 20
1124uid 1848,0
1125)
1126*119 (MRCItem
1127litem &53
1128pos 39
1129dimension 20
1130uid 1948,0
1131)
1132*120 (MRCItem
1133litem &54
1134pos 40
1135dimension 20
1136uid 1952,0
1137)
1138*121 (MRCItem
1139litem &55
1140pos 41
1141dimension 20
1142uid 1956,0
1143)
1144*122 (MRCItem
1145litem &56
1146pos 42
1147dimension 20
1148uid 2057,0
1149)
1150*123 (MRCItem
1151litem &57
1152pos 43
1153dimension 20
1154uid 2059,0
1155)
1156*124 (MRCItem
1157litem &58
1158pos 44
1159dimension 20
1160uid 2106,0
1161)
1162*125 (MRCItem
1163litem &59
1164pos 45
1165dimension 20
1166uid 2108,0
1167)
1168*126 (MRCItem
1169litem &60
1170pos 46
1171dimension 20
1172uid 2110,0
1173)
1174*127 (MRCItem
1175litem &61
1176pos 47
1177dimension 20
1178uid 2112,0
1179)
1180*128 (MRCItem
1181litem &62
1182pos 48
1183dimension 20
1184uid 2144,0
1185)
1186*129 (MRCItem
1187litem &63
1188pos 49
1189dimension 20
1190uid 2176,0
1191)
1192*130 (MRCItem
1193litem &64
1194pos 50
1195dimension 20
1196uid 2208,0
1197)
1198*131 (MRCItem
1199litem &65
1200pos 51
1201dimension 20
1202uid 2240,0
1203)
1204*132 (MRCItem
1205litem &66
1206pos 52
1207dimension 20
1208uid 2348,0
1209)
1210*133 (MRCItem
1211litem &67
1212pos 53
1213dimension 20
1214uid 2350,0
1215)
1216*134 (MRCItem
1217litem &68
1218pos 54
1219dimension 20
1220uid 2389,0
1221)
1222*135 (MRCItem
1223litem &69
1224pos 55
1225dimension 20
1226uid 2421,0
1227)
1228*136 (MRCItem
1229litem &70
1230pos 56
1231dimension 20
1232uid 2493,0
1233)
1234*137 (MRCItem
1235litem &71
1236pos 57
1237dimension 20
1238uid 2495,0
1239)
1240*138 (MRCItem
1241litem &72
1242pos 58
1243dimension 20
1244uid 2497,0
1245)
1246*139 (MRCItem
1247litem &73
1248pos 59
1249dimension 20
1250uid 2499,0
1251)
1252*140 (MRCItem
1253litem &74
1254pos 60
1255dimension 20
1256uid 2531,0
1257)
1258]
1259)
1260sheetCol (SheetCol
1261propVa (MVa
1262cellColor "0,49152,49152"
1263fontColor "0,0,0"
1264font "Tahoma,10,0"
1265textAngle 90
1266)
1267uid 155,0
1268optionalChildren [
1269*141 (MRCItem
1270litem &5
1271pos 0
1272dimension 20
1273uid 156,0
1274)
1275*142 (MRCItem
1276litem &7
1277pos 1
1278dimension 50
1279uid 157,0
1280)
1281*143 (MRCItem
1282litem &8
1283pos 2
1284dimension 100
1285uid 158,0
1286)
1287*144 (MRCItem
1288litem &9
1289pos 3
1290dimension 50
1291uid 159,0
1292)
1293*145 (MRCItem
1294litem &10
1295pos 4
1296dimension 100
1297uid 160,0
1298)
1299*146 (MRCItem
1300litem &11
1301pos 5
1302dimension 100
1303uid 161,0
1304)
1305*147 (MRCItem
1306litem &12
1307pos 6
1308dimension 50
1309uid 162,0
1310)
1311*148 (MRCItem
1312litem &13
1313pos 7
1314dimension 80
1315uid 163,0
1316)
1317]
1318)
1319fixedCol 4
1320fixedRow 2
1321name "Ports"
1322uid 150,0
1323vaOverrides [
1324]
1325)
1326]
1327)
1328uid 135,0
1329)
1330genericsCommonDM (CommonDM
1331ldm (LogicalDM
1332emptyRow *149 (LEmptyRow
1333)
1334uid 165,0
1335optionalChildren [
1336*150 (RefLabelRowHdr
1337)
1338*151 (TitleRowHdr
1339)
1340*152 (FilterRowHdr
1341)
1342*153 (RefLabelColHdr
1343tm "RefLabelColHdrMgr"
1344)
1345*154 (RowExpandColHdr
1346tm "RowExpandColHdrMgr"
1347)
1348*155 (GroupColHdr
1349tm "GroupColHdrMgr"
1350)
1351*156 (NameColHdr
1352tm "GenericNameColHdrMgr"
1353)
1354*157 (TypeColHdr
1355tm "GenericTypeColHdrMgr"
1356)
1357*158 (InitColHdr
1358tm "GenericValueColHdrMgr"
1359)
1360*159 (PragmaColHdr
1361tm "GenericPragmaColHdrMgr"
1362)
1363*160 (EolColHdr
1364tm "GenericEolColHdrMgr"
1365)
1366*161 (LogGeneric
1367generic (GiElement
1368name "RAM_ADDR_WIDTH"
1369type "integer"
1370value "12"
1371)
1372uid 2532,0
1373)
1374]
1375)
1376pdm (PhysicalDM
1377displayShortBounds 1
1378editShortBounds 1
1379uid 177,0
1380optionalChildren [
1381*162 (Sheet
1382sheetRow (SheetRow
1383headerVa (MVa
1384cellColor "49152,49152,49152"
1385fontColor "0,0,0"
1386font "Tahoma,10,0"
1387)
1388cellVa (MVa
1389cellColor "65535,65535,65535"
1390fontColor "0,0,0"
1391font "Tahoma,10,0"
1392)
1393groupVa (MVa
1394cellColor "39936,56832,65280"
1395fontColor "0,0,0"
1396font "Tahoma,10,0"
1397)
1398emptyMRCItem *163 (MRCItem
1399litem &149
1400pos 3
1401dimension 20
1402)
1403uid 179,0
1404optionalChildren [
1405*164 (MRCItem
1406litem &150
1407pos 0
1408dimension 20
1409uid 180,0
1410)
1411*165 (MRCItem
1412litem &151
1413pos 1
1414dimension 23
1415uid 181,0
1416)
1417*166 (MRCItem
1418litem &152
1419pos 2
1420hidden 1
1421dimension 20
1422uid 182,0
1423)
1424*167 (MRCItem
1425litem &161
1426pos 0
1427dimension 20
1428uid 2533,0
1429)
1430]
1431)
1432sheetCol (SheetCol
1433propVa (MVa
1434cellColor "0,49152,49152"
1435fontColor "0,0,0"
1436font "Tahoma,10,0"
1437textAngle 90
1438)
1439uid 183,0
1440optionalChildren [
1441*168 (MRCItem
1442litem &153
1443pos 0
1444dimension 20
1445uid 184,0
1446)
1447*169 (MRCItem
1448litem &155
1449pos 1
1450dimension 50
1451uid 185,0
1452)
1453*170 (MRCItem
1454litem &156
1455pos 2
1456dimension 100
1457uid 186,0
1458)
1459*171 (MRCItem
1460litem &157
1461pos 3
1462dimension 100
1463uid 187,0
1464)
1465*172 (MRCItem
1466litem &158
1467pos 4
1468dimension 50
1469uid 188,0
1470)
1471*173 (MRCItem
1472litem &159
1473pos 5
1474dimension 50
1475uid 189,0
1476)
1477*174 (MRCItem
1478litem &160
1479pos 6
1480dimension 80
1481uid 190,0
1482)
1483]
1484)
1485fixedCol 3
1486fixedRow 2
1487name "Ports"
1488uid 178,0
1489vaOverrides [
1490]
1491)
1492]
1493)
1494uid 164,0
1495type 1
1496)
1497VExpander (VariableExpander
1498vvMap [
1499(vvPair
1500variable "HDLDir"
1501value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
1502)
1503(vvPair
1504variable "HDSDir"
1505value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1506)
1507(vvPair
1508variable "SideDataDesignDir"
1509value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.info"
1510)
1511(vvPair
1512variable "SideDataUserDir"
1513value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.user"
1514)
1515(vvPair
1516variable "SourceDir"
1517value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1518)
1519(vvPair
1520variable "appl"
1521value "HDL Designer"
1522)
1523(vvPair
1524variable "arch_name"
1525value "symbol"
1526)
1527(vvPair
1528variable "config"
1529value "%(unit)_%(view)_config"
1530)
1531(vvPair
1532variable "d"
1533value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
1534)
1535(vvPair
1536variable "d_logical"
1537value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
1538)
1539(vvPair
1540variable "date"
1541value "26.07.2011"
1542)
1543(vvPair
1544variable "day"
1545value "Di"
1546)
1547(vvPair
1548variable "day_long"
1549value "Dienstag"
1550)
1551(vvPair
1552variable "dd"
1553value "26"
1554)
1555(vvPair
1556variable "entity_name"
1557value "data_generator"
1558)
1559(vvPair
1560variable "ext"
1561value "<TBD>"
1562)
1563(vvPair
1564variable "f"
1565value "symbol.sb"
1566)
1567(vvPair
1568variable "f_logical"
1569value "symbol.sb"
1570)
1571(vvPair
1572variable "f_noext"
1573value "symbol"
1574)
1575(vvPair
1576variable "group"
1577value "UNKNOWN"
1578)
1579(vvPair
1580variable "host"
1581value "IHP110"
1582)
1583(vvPair
1584variable "language"
1585value "VHDL"
1586)
1587(vvPair
1588variable "library"
1589value "FACT_FAD_lib"
1590)
1591(vvPair
1592variable "library_downstream_HdsLintPlugin"
1593value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
1594)
1595(vvPair
1596variable "library_downstream_ISEPARInvoke"
1597value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1598)
1599(vvPair
1600variable "library_downstream_ImpactInvoke"
1601value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1602)
1603(vvPair
1604variable "library_downstream_ModelSimCompiler"
1605value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
1606)
1607(vvPair
1608variable "library_downstream_PrecisionSynthesisDataPrep"
1609value "$HDS_PROJECT_DIR/FACT_FAD_lib/ps"
1610)
1611(vvPair
1612variable "library_downstream_XSTDataPrep"
1613value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1614)
1615(vvPair
1616variable "mm"
1617value "07"
1618)
1619(vvPair
1620variable "module_name"
1621value "data_generator"
1622)
1623(vvPair
1624variable "month"
1625value "Jul"
1626)
1627(vvPair
1628variable "month_long"
1629value "Juli"
1630)
1631(vvPair
1632variable "p"
1633value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
1634)
1635(vvPair
1636variable "p_logical"
1637value "D:\\juli25\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
1638)
1639(vvPair
1640variable "package_name"
1641value "<Undefined Variable>"
1642)
1643(vvPair
1644variable "project_name"
1645value "FACT_FAD"
1646)
1647(vvPair
1648variable "series"
1649value "HDL Designer Series"
1650)
1651(vvPair
1652variable "task_DesignCompilerPath"
1653value "<TBD>"
1654)
1655(vvPair
1656variable "task_LeonardoPath"
1657value "<TBD>"
1658)
1659(vvPair
1660variable "task_ModelSimPath"
1661value "D:\\modeltech_6.5e\\win32"
1662)
1663(vvPair
1664variable "task_NC-SimPath"
1665value "<TBD>"
1666)
1667(vvPair
1668variable "task_PrecisionRTLPath"
1669value "<TBD>"
1670)
1671(vvPair
1672variable "task_QuestaSimPath"
1673value "<TBD>"
1674)
1675(vvPair
1676variable "task_VCSPath"
1677value "<TBD>"
1678)
1679(vvPair
1680variable "this_ext"
1681value "sb"
1682)
1683(vvPair
1684variable "this_file"
1685value "symbol"
1686)
1687(vvPair
1688variable "this_file_logical"
1689value "symbol"
1690)
1691(vvPair
1692variable "time"
1693value "11:33:39"
1694)
1695(vvPair
1696variable "unit"
1697value "data_generator"
1698)
1699(vvPair
1700variable "user"
1701value "daqct3"
1702)
1703(vvPair
1704variable "version"
1705value "2009.1 (Build 12)"
1706)
1707(vvPair
1708variable "view"
1709value "symbol"
1710)
1711(vvPair
1712variable "year"
1713value "2011"
1714)
1715(vvPair
1716variable "yy"
1717value "11"
1718)
1719]
1720)
1721LanguageMgr "VhdlLangMgr"
1722uid 134,0
1723optionalChildren [
1724*175 (SymbolBody
1725uid 8,0
1726optionalChildren [
1727*176 (CptPort
1728uid 48,0
1729ps "OnEdgeStrategy"
1730shape (Triangle
1731uid 49,0
1732ro 90
1733va (VaSet
1734vasetType 1
1735fg "0,65535,0"
1736)
1737xt "36250,1625,37000,2375"
1738)
1739tg (CPTG
1740uid 50,0
1741ps "CptPortTextPlaceStrategy"
1742stg "VerticalLayoutStrategy"
1743f (Text
1744uid 51,0
1745va (VaSet
1746)
1747xt "38000,1500,39500,2500"
1748st "clk"
1749blo "38000,2300"
1750tm "CptPortNameMgr"
1751)
1752)
1753dt (MLText
1754uid 52,0
1755va (VaSet
1756font "Courier New,8,0"
1757)
1758xt "2000,14400,33500,15200"
1759st "clk : IN std_logic ; -- CLK_25.
1760"
1761)
1762thePort (LogicalPort
1763decl (Decl
1764n "clk"
1765t "std_logic"
1766eolc "-- CLK_25."
1767preAdd 0
1768posAdd 0
1769o 3
1770suid 1,0
1771)
1772)
1773)
1774*177 (CptPort
1775uid 53,0
1776ps "OnEdgeStrategy"
1777shape (Triangle
1778uid 54,0
1779ro 90
1780va (VaSet
1781vasetType 1
1782fg "0,65535,0"
1783)
1784xt "67000,3625,67750,4375"
1785)
1786tg (CPTG
1787uid 55,0
1788ps "CptPortTextPlaceStrategy"
1789stg "RightVerticalLayoutStrategy"
1790f (Text
1791uid 56,0
1792va (VaSet
1793)
1794xt "58800,3500,66000,4500"
1795st "data_out : (63:0)"
1796ju 2
1797blo "66000,4300"
1798tm "CptPortNameMgr"
1799)
1800)
1801dt (MLText
1802uid 57,0
1803va (VaSet
1804font "Courier New,8,0"
1805)
1806xt "2000,15200,38000,16000"
1807st "data_out : OUT std_logic_vector (63 downto 0) ;
1808"
1809)
1810thePort (LogicalPort
1811m 1
1812decl (Decl
1813n "data_out"
1814t "std_logic_vector"
1815b "(63 downto 0)"
1816preAdd 0
1817posAdd 0
1818o 4
1819suid 2,0
1820)
1821)
1822)
1823*178 (CptPort
1824uid 58,0
1825ps "OnEdgeStrategy"
1826shape (Triangle
1827uid 59,0
1828ro 90
1829va (VaSet
1830vasetType 1
1831fg "0,65535,0"
1832)
1833xt "67000,2625,67750,3375"
1834)
1835tg (CPTG
1836uid 60,0
1837ps "CptPortTextPlaceStrategy"
1838stg "RightVerticalLayoutStrategy"
1839f (Text
1840uid 61,0
1841va (VaSet
1842)
1843xt "50300,2500,66000,3500"
1844st "addr_out : (RAM_ADDR_WIDTH-1:0)"
1845ju 2
1846blo "66000,3300"
1847tm "CptPortNameMgr"
1848)
1849)
1850dt (MLText
1851uid 62,0
1852va (VaSet
1853font "Courier New,8,0"
1854)
1855xt "2000,16000,45000,16800"
1856st "addr_out : OUT std_logic_vector (RAM_ADDR_WIDTH-1 downto 0) ;
1857"
1858)
1859thePort (LogicalPort
1860m 1
1861decl (Decl
1862n "addr_out"
1863t "std_logic_vector"
1864b "(RAM_ADDR_WIDTH-1 downto 0)"
1865preAdd 0
1866posAdd 0
1867o 5
1868suid 3,0
1869)
1870)
1871)
1872*179 (CptPort
1873uid 78,0
1874ps "OnEdgeStrategy"
1875shape (Triangle
1876uid 391,0
1877ro 270
1878va (VaSet
1879vasetType 1
1880fg "0,65535,0"
1881)
1882xt "67000,18625,67750,19375"
1883)
1884tg (CPTG
1885uid 80,0
1886ps "CptPortTextPlaceStrategy"
1887stg "RightVerticalLayoutStrategy"
1888f (Text
1889uid 81,0
1890va (VaSet
1891)
1892xt "47600,18500,66000,19500"
1893st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
1894ju 2
1895blo "66000,19300"
1896tm "CptPortNameMgr"
1897)
1898)
1899dt (MLText
1900uid 82,0
1901va (VaSet
1902font "Courier New,8,0"
1903)
1904xt "2000,17600,45000,18400"
1905st "ram_start_addr : IN std_logic_vector (RAM_ADDR_WIDTH-1 downto 0) ;
1906"
1907)
1908thePort (LogicalPort
1909decl (Decl
1910n "ram_start_addr"
1911t "std_logic_vector"
1912b "(RAM_ADDR_WIDTH-1 downto 0)"
1913preAdd 0
1914posAdd 0
1915o 7
1916suid 7,0
1917)
1918)
1919)
1920*180 (CptPort
1921uid 88,0
1922ps "OnEdgeStrategy"
1923shape (Triangle
1924uid 89,0
1925ro 90
1926va (VaSet
1927vasetType 1
1928fg "0,65535,0"
1929)
1930xt "36250,10625,37000,11375"
1931)
1932tg (CPTG
1933uid 90,0
1934ps "CptPortTextPlaceStrategy"
1935stg "VerticalLayoutStrategy"
1936f (Text
1937uid 91,0
1938va (VaSet
1939)
1940xt "38000,10500,44700,11500"
1941st "board_id : (3:0)"
1942blo "38000,11300"
1943tm "CptPortNameMgr"
1944)
1945)
1946dt (MLText
1947uid 92,0
1948va (VaSet
1949font "Courier New,8,0"
1950)
1951xt "2000,42400,37500,44000"
1952st "-- EVT HEADER - part 4
1953board_id : IN std_logic_vector (3 downto 0) ;
1954"
1955)
1956thePort (LogicalPort
1957decl (Decl
1958n "board_id"
1959t "std_logic_vector"
1960b "(3 downto 0)"
1961prec "-- EVT HEADER - part 4"
1962preAdd 0
1963posAdd 0
1964o 33
1965suid 9,0
1966)
1967)
1968)
1969*181 (CommentText
1970uid 106,0
1971ps "EdgeToEdgeStrategy"
1972shape (Rectangle
1973uid 107,0
1974layer 0
1975va (VaSet
1976vasetType 1
1977fg "65280,65280,46080"
1978lineColor "0,0,32768"
1979)
1980xt "37000,2000,52000,6400"
1981)
1982oxt "37000,2000,52000,6000"
1983text (MLText
1984uid 108,0
1985va (VaSet
1986fg "0,0,32768"
1987)
1988xt "37200,2200,51000,6200"
1989st "
1990-- -- Uncomment the following library declaration if instantiating
1991-- -- any Xilinx primitives in this code.
1992-- library UNISIM;
1993-- use UNISIM.VComponents.all;
1994"
1995tm "CommentText"
1996wrapOption 3
1997visibleHeight 4400
1998visibleWidth 15000
1999)
2000included 1
2001excludeCommentLeader 1
2002)
2003*182 (CptPort
2004uid 285,0
2005ps "OnEdgeStrategy"
2006shape (Triangle
2007uid 286,0
2008ro 90
2009va (VaSet
2010vasetType 1
2011fg "0,65535,0"
2012)
2013xt "36250,12625,37000,13375"
2014)
2015tg (CPTG
2016uid 287,0
2017ps "CptPortTextPlaceStrategy"
2018stg "VerticalLayoutStrategy"
2019f (Text
2020uid 288,0
2021va (VaSet
2022)
2023xt "38000,12500,44400,13500"
2024st "crate_id : (1:0)"
2025blo "38000,13300"
2026tm "CptPortNameMgr"
2027)
2028)
2029dt (MLText
2030uid 289,0
2031va (VaSet
2032font "Courier New,8,0"
2033)
2034xt "2000,44000,37500,44800"
2035st "crate_id : IN std_logic_vector (1 downto 0) ;
2036"
2037)
2038thePort (LogicalPort
2039decl (Decl
2040n "crate_id"
2041t "std_logic_vector"
2042b "(1 downto 0)"
2043posAdd 0
2044o 34
2045suid 12,0
2046)
2047)
2048)
2049*183 (CptPort
2050uid 402,0
2051ps "OnEdgeStrategy"
2052shape (Triangle
2053uid 403,0
2054ro 90
2055va (VaSet
2056vasetType 1
2057fg "0,65535,0"
2058)
2059xt "36250,14625,37000,15375"
2060)
2061tg (CPTG
2062uid 404,0
2063ps "CptPortTextPlaceStrategy"
2064stg "VerticalLayoutStrategy"
2065f (Text
2066uid 405,0
2067va (VaSet
2068)
2069xt "38000,14500,44100,15500"
2070st "ram_write_ea"
2071blo "38000,15300"
2072tm "CptPortNameMgr"
2073)
2074)
2075dt (MLText
2076uid 406,0
2077va (VaSet
2078font "Courier New,8,0"
2079)
2080xt "2000,18400,28000,19200"
2081st "ram_write_ea : IN std_logic ;
2082"
2083)
2084thePort (LogicalPort
2085decl (Decl
2086n "ram_write_ea"
2087t "std_logic"
2088o 8
2089suid 16,0
2090)
2091)
2092)
2093*184 (CptPort
2094uid 407,0
2095ps "OnEdgeStrategy"
2096shape (Triangle
2097uid 408,0
2098ro 90
2099va (VaSet
2100vasetType 1
2101fg "0,65535,0"
2102)
2103xt "67000,20625,67750,21375"
2104)
2105tg (CPTG
2106uid 409,0
2107ps "CptPortTextPlaceStrategy"
2108stg "RightVerticalLayoutStrategy"
2109f (Text
2110uid 410,0
2111va (VaSet
2112)
2113xt "58600,20500,66000,21500"
2114st "ram_write_ready"
2115ju 2
2116blo "66000,21300"
2117tm "CptPortNameMgr"
2118)
2119)
2120dt (MLText
2121uid 411,0
2122va (VaSet
2123font "Courier New,8,0"
2124)
2125xt "2000,19200,41000,20000"
2126st "ram_write_ready : OUT std_logic := '0' ;
2127"
2128)
2129thePort (LogicalPort
2130m 1
2131decl (Decl
2132n "ram_write_ready"
2133t "std_logic"
2134posAdd 0
2135o 9
2136suid 17,0
2137i "'0'"
2138)
2139)
2140)
2141*185 (CptPort
2142uid 412,0
2143ps "OnEdgeStrategy"
2144shape (Triangle
2145uid 413,0
2146ro 90
2147va (VaSet
2148vasetType 1
2149fg "0,65535,0"
2150)
2151xt "36250,15625,37000,16375"
2152)
2153tg (CPTG
2154uid 414,0
2155ps "CptPortTextPlaceStrategy"
2156stg "VerticalLayoutStrategy"
2157f (Text
2158uid 415,0
2159va (VaSet
2160)
2161xt "38000,15500,41400,16500"
2162st "roi_max"
2163blo "38000,16300"
2164tm "CptPortNameMgr"
2165)
2166)
2167dt (MLText
2168uid 416,0
2169va (VaSet
2170font "Courier New,8,0"
2171)
2172xt "2000,20800,29500,21600"
2173st "roi_max : IN roi_max_type ;
2174"
2175)
2176thePort (LogicalPort
2177decl (Decl
2178n "roi_max"
2179t "roi_max_type"
2180o 11
2181suid 18,0
2182)
2183)
2184)
2185*186 (CptPort
2186uid 473,0
2187ps "OnEdgeStrategy"
2188shape (Triangle
2189uid 474,0
2190ro 90
2191va (VaSet
2192vasetType 1
2193fg "0,65535,0"
2194)
2195xt "36250,16625,37000,17375"
2196)
2197tg (CPTG
2198uid 475,0
2199ps "CptPortTextPlaceStrategy"
2200stg "VerticalLayoutStrategy"
2201f (Text
2202uid 476,0
2203va (VaSet
2204)
2205xt "38000,16500,41900,17500"
2206st "roi_array"
2207blo "38000,17300"
2208tm "CptPortNameMgr"
2209)
2210)
2211dt (MLText
2212uid 477,0
2213va (VaSet
2214font "Courier New,8,0"
2215)
2216xt "2000,20000,30500,20800"
2217st "roi_array : IN roi_array_type ;
2218"
2219)
2220thePort (LogicalPort
2221decl (Decl
2222n "roi_array"
2223t "roi_array_type"
2224o 10
2225suid 19,0
2226)
2227)
2228)
2229*187 (CptPort
2230uid 526,0
2231ps "OnEdgeStrategy"
2232shape (Triangle
2233uid 527,0
2234ro 90
2235va (VaSet
2236vasetType 1
2237fg "0,65535,0"
2238)
2239xt "36250,17625,37000,18375"
2240)
2241tg (CPTG
2242uid 528,0
2243ps "CptPortTextPlaceStrategy"
2244stg "VerticalLayoutStrategy"
2245f (Text
2246uid 529,0
2247va (VaSet
2248)
2249xt "38000,17500,47900,18500"
2250st "package_length : (15:0)"
2251blo "38000,18300"
2252tm "CptPortNameMgr"
2253)
2254)
2255dt (MLText
2256uid 530,0
2257va (VaSet
2258font "Courier New,8,0"
2259)
2260xt "2000,25600,38000,27200"
2261st "-- EVT HEADER - part 1
2262package_length : IN std_logic_vector (15 downto 0) ;
2263"
2264)
2265thePort (LogicalPort
2266decl (Decl
2267n "package_length"
2268t "std_logic_vector"
2269b "(15 downto 0)"
2270prec "-- EVT HEADER - part 1"
2271preAdd 0
2272o 17
2273suid 20,0
2274)
2275)
2276)
2277*188 (CptPort
2278uid 676,0
2279ps "OnEdgeStrategy"
2280shape (Triangle
2281uid 677,0
2282ro 90
2283va (VaSet
2284vasetType 1
2285fg "0,65535,0"
2286)
2287xt "67000,22625,67750,23375"
2288)
2289tg (CPTG
2290uid 678,0
2291ps "CptPortTextPlaceStrategy"
2292stg "RightVerticalLayoutStrategy"
2293f (Text
2294uid 679,0
2295va (VaSet
2296)
2297xt "56500,22500,66000,23500"
2298st "drs_channel_id : (3:0)"
2299ju 2
2300blo "66000,23300"
2301tm "CptPortNameMgr"
2302)
2303)
2304dt (MLText
2305uid 680,0
2306va (VaSet
2307font "Courier New,8,0"
2308)
2309xt "2000,57600,47000,58400"
2310st "drs_channel_id : OUT std_logic_vector (3 downto 0) := (others => '0') ;
2311"
2312)
2313thePort (LogicalPort
2314m 1
2315decl (Decl
2316n "drs_channel_id"
2317t "std_logic_vector"
2318b "(3 downto 0)"
2319posAdd 0
2320o 49
2321suid 25,0
2322i "(others => '0')"
2323)
2324)
2325)
2326*189 (CptPort
2327uid 681,0
2328ps "OnEdgeStrategy"
2329shape (Triangle
2330uid 682,0
2331ro 90
2332va (VaSet
2333vasetType 1
2334fg "0,65535,0"
2335)
2336xt "67000,23625,67750,24375"
2337)
2338tg (CPTG
2339uid 683,0
2340ps "CptPortTextPlaceStrategy"
2341stg "RightVerticalLayoutStrategy"
2342f (Text
2343uid 684,0
2344va (VaSet
2345)
2346xt "60900,23500,66000,24500"
2347st "drs_clk_en"
2348ju 2
2349blo "66000,24300"
2350tm "CptPortNameMgr"
2351)
2352)
2353dt (MLText
2354uid 685,0
2355va (VaSet
2356font "Courier New,8,0"
2357)
2358xt "2000,60800,41000,61600"
2359st "drs_clk_en : OUT std_logic := '0' ;
2360"
2361)
2362thePort (LogicalPort
2363m 1
2364decl (Decl
2365n "drs_clk_en"
2366t "std_logic"
2367preAdd 0
2368posAdd 0
2369o 52
2370suid 26,0
2371i "'0'"
2372)
2373)
2374)
2375*190 (CptPort
2376uid 806,0
2377ps "OnEdgeStrategy"
2378shape (Triangle
2379uid 807,0
2380ro 90
2381va (VaSet
2382vasetType 1
2383fg "0,65535,0"
2384)
2385xt "36250,20625,37000,21375"
2386)
2387tg (CPTG
2388uid 808,0
2389ps "CptPortTextPlaceStrategy"
2390stg "VerticalLayoutStrategy"
2391f (Text
2392uid 809,0
2393va (VaSet
2394)
2395xt "38000,20500,48100,21500"
2396st "drs_read_s_cell_ready"
2397blo "38000,21300"
2398tm "CptPortNameMgr"
2399)
2400)
2401dt (MLText
2402uid 810,0
2403va (VaSet
2404font "Courier New,8,0"
2405)
2406xt "2000,65600,28000,66400"
2407st "drs_read_s_cell_ready : IN std_logic ;
2408"
2409)
2410thePort (LogicalPort
2411decl (Decl
2412n "drs_read_s_cell_ready"
2413t "std_logic"
2414o 58
2415suid 34,0
2416)
2417)
2418)
2419*191 (CptPort
2420uid 811,0
2421ps "OnEdgeStrategy"
2422shape (Triangle
2423uid 812,0
2424ro 90
2425va (VaSet
2426vasetType 1
2427fg "0,65535,0"
2428)
2429xt "36250,21625,37000,22375"
2430)
2431tg (CPTG
2432uid 813,0
2433ps "CptPortTextPlaceStrategy"
2434stg "VerticalLayoutStrategy"
2435f (Text
2436uid 814,0
2437va (VaSet
2438)
2439xt "38000,21500,45300,22500"
2440st "drs_s_cell_array"
2441blo "38000,22300"
2442tm "CptPortNameMgr"
2443)
2444)
2445dt (MLText
2446uid 815,0
2447va (VaSet
2448font "Courier New,8,0"
2449)
2450xt "2000,66400,34000,67200"
2451st "drs_s_cell_array : IN drs_s_cell_array_type ;
2452"
2453)
2454thePort (LogicalPort
2455decl (Decl
2456n "drs_s_cell_array"
2457t "drs_s_cell_array_type"
2458o 59
2459suid 35,0
2460)
2461)
2462)
2463*192 (CptPort
2464uid 898,0
2465ps "OnEdgeStrategy"
2466shape (Triangle
2467uid 899,0
2468ro 90
2469va (VaSet
2470vasetType 1
2471fg "0,65535,0"
2472)
2473xt "36250,22625,37000,23375"
2474)
2475tg (CPTG
2476uid 900,0
2477ps "CptPortTextPlaceStrategy"
2478stg "VerticalLayoutStrategy"
2479f (Text
2480uid 901,0
2481va (VaSet
2482)
2483xt "38000,22500,44900,23500"
2484st "adc_data_array"
2485blo "38000,23300"
2486tm "CptPortNameMgr"
2487)
2488)
2489dt (MLText
2490uid 902,0
2491va (VaSet
2492font "Courier New,8,0"
2493)
2494xt "2000,54400,33000,55200"
2495st "adc_data_array : IN adc_data_array_type ;
2496"
2497)
2498thePort (LogicalPort
2499decl (Decl
2500n "adc_data_array"
2501t "adc_data_array_type"
2502o 45
2503suid 37,0
2504)
2505)
2506)
2507*193 (CptPort
2508uid 1085,0
2509ps "OnEdgeStrategy"
2510shape (Triangle
2511uid 1086,0
2512ro 90
2513va (VaSet
2514vasetType 1
2515fg "0,65535,0"
2516)
2517xt "36250,27625,37000,28375"
2518)
2519tg (CPTG
2520uid 1087,0
2521ps "CptPortTextPlaceStrategy"
2522stg "VerticalLayoutStrategy"
2523f (Text
2524uid 1088,0
2525va (VaSet
2526)
2527xt "38000,27500,43800,28500"
2528st "sensor_array"
2529blo "38000,28300"
2530tm "CptPortNameMgr"
2531)
2532)
2533dt (MLText
2534uid 1089,0
2535va (VaSet
2536font "Courier New,8,0"
2537)
2538xt "2000,21600,32000,22400"
2539st "sensor_array : IN sensor_array_type ;
2540"
2541)
2542thePort (LogicalPort
2543decl (Decl
2544n "sensor_array"
2545t "sensor_array_type"
2546o 12
2547suid 44,0
2548)
2549)
2550)
2551*194 (CptPort
2552uid 1090,0
2553ps "OnEdgeStrategy"
2554shape (Triangle
2555uid 1091,0
2556ro 90
2557va (VaSet
2558vasetType 1
2559fg "0,65535,0"
2560)
2561xt "36250,28625,37000,29375"
2562)
2563tg (CPTG
2564uid 1092,0
2565ps "CptPortTextPlaceStrategy"
2566stg "VerticalLayoutStrategy"
2567f (Text
2568uid 1093,0
2569va (VaSet
2570)
2571xt "38000,28500,43900,29500"
2572st "sensor_ready"
2573blo "38000,29300"
2574tm "CptPortNameMgr"
2575)
2576)
2577dt (MLText
2578uid 1094,0
2579va (VaSet
2580font "Courier New,8,0"
2581)
2582xt "2000,22400,28000,23200"
2583st "sensor_ready : IN std_logic ;
2584"
2585)
2586thePort (LogicalPort
2587decl (Decl
2588n "sensor_ready"
2589t "std_logic"
2590o 13
2591suid 45,0
2592)
2593)
2594)
2595*195 (CptPort
2596uid 1240,0
2597ps "OnEdgeStrategy"
2598shape (Triangle
2599uid 1241,0
2600ro 90
2601va (VaSet
2602vasetType 1
2603fg "0,65535,0"
2604)
2605xt "36250,33625,37000,34375"
2606)
2607tg (CPTG
2608uid 1242,0
2609ps "CptPortTextPlaceStrategy"
2610stg "VerticalLayoutStrategy"
2611f (Text
2612uid 1243,0
2613va (VaSet
2614)
2615xt "38000,33500,42200,34500"
2616st "dac_array"
2617blo "38000,34300"
2618tm "CptPortNameMgr"
2619)
2620)
2621dt (MLText
2622uid 1244,0
2623va (VaSet
2624font "Courier New,8,0"
2625)
2626xt "2000,23200,30500,24000"
2627st "dac_array : IN dac_array_type ;
2628"
2629)
2630thePort (LogicalPort
2631decl (Decl
2632n "dac_array"
2633t "dac_array_type"
2634posAdd 0
2635o 14
2636suid 53,0
2637)
2638)
2639)
2640*196 (CptPort
2641uid 1395,0
2642ps "OnEdgeStrategy"
2643shape (Triangle
2644uid 1396,0
2645ro 90
2646va (VaSet
2647vasetType 1
2648fg "0,65535,0"
2649)
2650xt "67000,31625,67750,32375"
2651)
2652tg (CPTG
2653uid 1397,0
2654ps "CptPortTextPlaceStrategy"
2655stg "RightVerticalLayoutStrategy"
2656f (Text
2657uid 1398,0
2658va (VaSet
2659)
2660xt "60900,31500,66000,32500"
2661st "adc_clk_en"
2662ju 2
2663blo "66000,32300"
2664tm "CptPortNameMgr"
2665)
2666)
2667dt (MLText
2668uid 1399,0
2669va (VaSet
2670font "Courier New,8,0"
2671)
2672xt "2000,56000,41000,56800"
2673st "adc_clk_en : OUT std_logic := '0' ;
2674"
2675)
2676thePort (LogicalPort
2677m 1
2678decl (Decl
2679n "adc_clk_en"
2680t "std_logic"
2681o 47
2682suid 54,0
2683i "'0'"
2684)
2685)
2686)
2687*197 (CptPort
2688uid 1427,0
2689ps "OnEdgeStrategy"
2690shape (Triangle
2691uid 1428,0
2692ro 90
2693va (VaSet
2694vasetType 1
2695fg "0,65535,0"
2696)
2697xt "36250,34625,37000,35375"
2698)
2699tg (CPTG
2700uid 1429,0
2701ps "CptPortTextPlaceStrategy"
2702stg "VerticalLayoutStrategy"
2703f (Text
2704uid 1430,0
2705va (VaSet
2706)
2707xt "38000,34500,44300,35500"
2708st "adc_otr : (3:0)"
2709blo "38000,35300"
2710tm "CptPortNameMgr"
2711)
2712)
2713dt (MLText
2714uid 1431,0
2715va (VaSet
2716font "Courier New,8,0"
2717)
2718xt "2000,56800,37500,57600"
2719st "adc_otr : IN std_logic_vector (3 downto 0) ;
2720"
2721)
2722thePort (LogicalPort
2723decl (Decl
2724n "adc_otr"
2725t "std_logic_vector"
2726b "(3 downto 0)"
2727o 48
2728suid 55,0
2729)
2730)
2731)
2732*198 (CptPort
2733uid 1459,0
2734ps "OnEdgeStrategy"
2735shape (Triangle
2736uid 1460,0
2737ro 90
2738va (VaSet
2739vasetType 1
2740fg "0,65535,0"
2741)
2742xt "67000,32625,67750,33375"
2743)
2744tg (CPTG
2745uid 1461,0
2746ps "CptPortTextPlaceStrategy"
2747stg "RightVerticalLayoutStrategy"
2748f (Text
2749uid 1462,0
2750va (VaSet
2751)
2752xt "56800,32500,66000,33500"
2753st "drs_srin_data : (7:0)"
2754ju 2
2755blo "66000,33300"
2756tm "CptPortNameMgr"
2757)
2758)
2759dt (MLText
2760uid 1463,0
2761va (VaSet
2762font "Courier New,8,0"
2763)
2764xt "2000,64000,47000,64800"
2765st "drs_srin_data : OUT std_logic_vector (7 downto 0) := (others => '0') ;
2766"
2767)
2768thePort (LogicalPort
2769m 1
2770decl (Decl
2771n "drs_srin_data"
2772t "std_logic_vector"
2773b "(7 downto 0)"
2774o 56
2775suid 56,0
2776i "(others => '0')"
2777)
2778)
2779)
2780*199 (CptPort
2781uid 1464,0
2782ps "OnEdgeStrategy"
2783shape (Triangle
2784uid 1465,0
2785ro 90
2786va (VaSet
2787vasetType 1
2788fg "0,65535,0"
2789)
2790xt "67000,33625,67750,34375"
2791)
2792tg (CPTG
2793uid 1466,0
2794ps "CptPortTextPlaceStrategy"
2795stg "RightVerticalLayoutStrategy"
2796f (Text
2797uid 1467,0
2798va (VaSet
2799)
2800xt "57900,33500,66000,34500"
2801st "drs_srin_write_8b"
2802ju 2
2803blo "66000,34300"
2804tm "CptPortNameMgr"
2805)
2806)
2807dt (MLText
2808uid 1468,0
2809va (VaSet
2810font "Courier New,8,0"
2811)
2812xt "2000,62400,41000,63200"
2813st "drs_srin_write_8b : OUT std_logic := '0' ;
2814"
2815)
2816thePort (LogicalPort
2817m 1
2818decl (Decl
2819n "drs_srin_write_8b"
2820t "std_logic"
2821o 54
2822suid 57,0
2823i "'0'"
2824)
2825)
2826)
2827*200 (CptPort
2828uid 1469,0
2829ps "OnEdgeStrategy"
2830shape (Triangle
2831uid 1470,0
2832ro 90
2833va (VaSet
2834vasetType 1
2835fg "0,65535,0"
2836)
2837xt "36250,35625,37000,36375"
2838)
2839tg (CPTG
2840uid 1471,0
2841ps "CptPortTextPlaceStrategy"
2842stg "VerticalLayoutStrategy"
2843f (Text
2844uid 1472,0
2845va (VaSet
2846)
2847xt "38000,35500,46400,36500"
2848st "drs_srin_write_ack"
2849blo "38000,36300"
2850tm "CptPortNameMgr"
2851)
2852)
2853dt (MLText
2854uid 1473,0
2855va (VaSet
2856font "Courier New,8,0"
2857)
2858xt "2000,63200,28000,64000"
2859st "drs_srin_write_ack : IN std_logic ;
2860"
2861)
2862thePort (LogicalPort
2863decl (Decl
2864n "drs_srin_write_ack"
2865t "std_logic"
2866o 55
2867suid 58,0
2868)
2869)
2870)
2871*201 (CptPort
2872uid 1474,0
2873ps "OnEdgeStrategy"
2874shape (Triangle
2875uid 1475,0
2876ro 90
2877va (VaSet
2878vasetType 1
2879fg "0,65535,0"
2880)
2881xt "36250,36625,37000,37375"
2882)
2883tg (CPTG
2884uid 1476,0
2885ps "CptPortTextPlaceStrategy"
2886stg "VerticalLayoutStrategy"
2887f (Text
2888uid 1477,0
2889va (VaSet
2890)
2891xt "38000,36500,47700,37500"
2892st "drs_srin_write_ready"
2893blo "38000,37300"
2894tm "CptPortNameMgr"
2895)
2896)
2897dt (MLText
2898uid 1478,0
2899va (VaSet
2900font "Courier New,8,0"
2901)
2902xt "2000,64800,28000,65600"
2903st "drs_srin_write_ready : IN std_logic ;
2904"
2905)
2906thePort (LogicalPort
2907decl (Decl
2908n "drs_srin_write_ready"
2909t "std_logic"
2910o 57
2911suid 59,0
2912)
2913)
2914)
2915*202 (CptPort
2916uid 1519,0
2917ps "OnEdgeStrategy"
2918shape (Triangle
2919uid 1520,0
2920ro 90
2921va (VaSet
2922vasetType 1
2923fg "0,65535,0"
2924)
2925xt "67000,34625,67750,35375"
2926)
2927tg (CPTG
2928uid 1521,0
2929ps "CptPortTextPlaceStrategy"
2930stg "RightVerticalLayoutStrategy"
2931f (Text
2932uid 1522,0
2933va (VaSet
2934)
2935xt "57000,34500,66000,35500"
2936st "drs_readout_started"
2937ju 2
2938blo "66000,35300"
2939tm "CptPortNameMgr"
2940)
2941)
2942dt (MLText
2943uid 1523,0
2944va (VaSet
2945font "Courier New,8,0"
2946)
2947xt "2000,67200,41000,68000"
2948st "drs_readout_started : OUT std_logic := '0' ;
2949"
2950)
2951thePort (LogicalPort
2952m 1
2953decl (Decl
2954n "drs_readout_started"
2955t "std_logic"
2956o 60
2957suid 61,0
2958i "'0'"
2959)
2960)
2961)
2962*203 (CptPort
2963uid 1551,0
2964ps "OnEdgeStrategy"
2965shape (Triangle
2966uid 1552,0
2967ro 90
2968va (VaSet
2969vasetType 1
2970fg "0,65535,0"
2971)
2972xt "67000,35625,67750,36375"
2973)
2974tg (CPTG
2975uid 1553,0
2976ps "CptPortTextPlaceStrategy"
2977stg "RightVerticalLayoutStrategy"
2978f (Text
2979uid 1554,0
2980va (VaSet
2981)
2982xt "57800,35500,66000,36500"
2983st "drs_readout_ready"
2984ju 2
2985blo "66000,36300"
2986tm "CptPortNameMgr"
2987)
2988)
2989dt (MLText
2990uid 1555,0
2991va (VaSet
2992font "Courier New,8,0"
2993)
2994xt "2000,58400,41000,60000"
2995st "--drs_dwrite : out std_logic := '1';
2996drs_readout_ready : OUT std_logic := '0' ;
2997"
2998)
2999thePort (LogicalPort
3000m 1
3001decl (Decl
3002n "drs_readout_ready"
3003t "std_logic"
3004prec "--drs_dwrite : out std_logic := '1';"
3005preAdd 0
3006posAdd 0
3007o 50
3008suid 62,0
3009i "'0'"
3010)
3011)
3012)
3013*204 (CptPort
3014uid 1583,0
3015ps "OnEdgeStrategy"
3016shape (Triangle
3017uid 1584,0
3018ro 90
3019va (VaSet
3020vasetType 1
3021fg "0,65535,0"
3022)
3023xt "36250,38625,37000,39375"
3024)
3025tg (CPTG
3026uid 1585,0
3027ps "CptPortTextPlaceStrategy"
3028stg "VerticalLayoutStrategy"
3029f (Text
3030uid 1586,0
3031va (VaSet
3032)
3033xt "38000,38500,48500,39500"
3034st "drs_readout_ready_ack"
3035blo "38000,39300"
3036tm "CptPortNameMgr"
3037)
3038)
3039dt (MLText
3040uid 1587,0
3041va (VaSet
3042font "Courier New,8,0"
3043)
3044xt "2000,60000,28000,60800"
3045st "drs_readout_ready_ack : IN std_logic ;
3046"
3047)
3048thePort (LogicalPort
3049decl (Decl
3050n "drs_readout_ready_ack"
3051t "std_logic"
3052posAdd 0
3053o 51
3054suid 63,0
3055)
3056)
3057)
3058*205 (CptPort
3059uid 1615,0
3060ps "OnEdgeStrategy"
3061shape (Triangle
3062uid 1616,0
3063ro 90
3064va (VaSet
3065vasetType 1
3066fg "0,65535,0"
3067)
3068xt "36250,39625,37000,40375"
3069)
3070tg (CPTG
3071uid 1617,0
3072ps "CptPortTextPlaceStrategy"
3073stg "VerticalLayoutStrategy"
3074f (Text
3075uid 1618,0
3076va (VaSet
3077)
3078xt "38000,39500,44100,40500"
3079st "pll_lock : (3:0)"
3080blo "38000,40300"
3081tm "CptPortNameMgr"
3082)
3083)
3084dt (MLText
3085uid 1619,0
3086va (VaSet
3087font "Courier New,8,0"
3088)
3089xt "2000,27200,38000,28000"
3090st "pll_lock : IN std_logic_vector ( 3 downto 0) ;
3091"
3092)
3093thePort (LogicalPort
3094decl (Decl
3095n "pll_lock"
3096t "std_logic_vector"
3097b "( 3 downto 0)"
3098posAdd 0
3099o 18
3100suid 64,0
3101)
3102)
3103)
3104*206 (CptPort
3105uid 1647,0
3106ps "OnEdgeStrategy"
3107shape (Triangle
3108uid 1648,0
3109ro 90
3110va (VaSet
3111vasetType 1
3112fg "0,65535,0"
3113)
3114xt "36250,40625,37000,41375"
3115)
3116tg (CPTG
3117uid 1649,0
3118ps "CptPortTextPlaceStrategy"
3119stg "VerticalLayoutStrategy"
3120f (Text
3121uid 1650,0
3122va (VaSet
3123)
3124xt "38000,40500,49300,41500"
3125st "fad_event_counter : (31:0)"
3126blo "38000,41300"
3127tm "CptPortNameMgr"
3128)
3129)
3130dt (MLText
3131uid 1651,0
3132va (VaSet
3133font "Courier New,8,0"
3134)
3135xt "2000,38400,38000,40000"
3136st "-- EVT HEADER - part 3
3137fad_event_counter : IN std_logic_vector (31 downto 0) ;
3138"
3139)
3140thePort (LogicalPort
3141decl (Decl
3142n "fad_event_counter"
3143t "std_logic_vector"
3144b "(31 downto 0)"
3145prec "-- EVT HEADER - part 3"
3146preAdd 0
3147o 29
3148suid 65,0
3149)
3150)
3151)
3152*207 (CptPort
3153uid 1679,0
3154ps "OnEdgeStrategy"
3155shape (Triangle
3156uid 1680,0
3157ro 90
3158va (VaSet
3159vasetType 1
3160fg "0,65535,0"
3161)
3162xt "36250,41625,37000,42375"
3163)
3164tg (CPTG
3165uid 1681,0
3166ps "CptPortTextPlaceStrategy"
3167stg "VerticalLayoutStrategy"
3168f (Text
3169uid 1682,0
3170va (VaSet
3171)
3172xt "38000,41500,47700,42500"
3173st "refclk_counter : (11:0)"
3174blo "38000,42300"
3175tm "CptPortNameMgr"
3176)
3177)
3178dt (MLText
3179uid 1683,0
3180va (VaSet
3181font "Courier New,8,0"
3182)
3183xt "2000,40000,38000,40800"
3184st "refclk_counter : IN std_logic_vector (11 downto 0) ;
3185"
3186)
3187thePort (LogicalPort
3188decl (Decl
3189n "refclk_counter"
3190t "std_logic_vector"
3191b "(11 downto 0)"
3192o 30
3193suid 66,0
3194)
3195)
3196)
3197*208 (CptPort
3198uid 1684,0
3199ps "OnEdgeStrategy"
3200shape (Triangle
3201uid 1685,0
3202ro 90
3203va (VaSet
3204vasetType 1
3205fg "0,65535,0"
3206)
3207xt "36250,42625,37000,43375"
3208)
3209tg (CPTG
3210uid 1686,0
3211ps "CptPortTextPlaceStrategy"
3212stg "VerticalLayoutStrategy"
3213f (Text
3214uid 1687,0
3215va (VaSet
3216)
3217xt "38000,42500,45000,43500"
3218st "refclk_too_high"
3219blo "38000,43300"
3220tm "CptPortNameMgr"
3221)
3222)
3223dt (MLText
3224uid 1688,0
3225va (VaSet
3226font "Courier New,8,0"
3227)
3228xt "2000,40800,28000,41600"
3229st "refclk_too_high : IN std_logic ;
3230"
3231)
3232thePort (LogicalPort
3233decl (Decl
3234n "refclk_too_high"
3235t "std_logic"
3236o 31
3237suid 67,0
3238)
3239)
3240)
3241*209 (CptPort
3242uid 1689,0
3243ps "OnEdgeStrategy"
3244shape (Triangle
3245uid 1690,0
3246ro 90
3247va (VaSet
3248vasetType 1
3249fg "0,65535,0"
3250)
3251xt "36250,43625,37000,44375"
3252)
3253tg (CPTG
3254uid 1691,0
3255ps "CptPortTextPlaceStrategy"
3256stg "VerticalLayoutStrategy"
3257f (Text
3258uid 1692,0
3259va (VaSet
3260)
3261xt "38000,43500,44600,44500"
3262st "refclk_too_low"
3263blo "38000,44300"
3264tm "CptPortNameMgr"
3265)
3266)
3267dt (MLText
3268uid 1693,0
3269va (VaSet
3270font "Courier New,8,0"
3271)
3272xt "2000,41600,28000,42400"
3273st "refclk_too_low : IN std_logic ;
3274"
3275)
3276thePort (LogicalPort
3277decl (Decl
3278n "refclk_too_low"
3279t "std_logic"
3280posAdd 0
3281o 32
3282suid 68,0
3283)
3284)
3285)
3286*210 (CptPort
3287uid 1725,0
3288ps "OnEdgeStrategy"
3289shape (Triangle
3290uid 1726,0
3291ro 90
3292va (VaSet
3293vasetType 1
3294fg "0,65535,0"
3295)
3296xt "36250,44625,37000,45375"
3297)
3298tg (CPTG
3299uid 1727,0
3300ps "CptPortTextPlaceStrategy"
3301stg "VerticalLayoutStrategy"
3302f (Text
3303uid 1728,0
3304va (VaSet
3305)
3306xt "38000,44500,46300,45500"
3307st "FTM_RS485_ready"
3308blo "38000,45300"
3309tm "CptPortNameMgr"
3310)
3311)
3312dt (MLText
3313uid 1729,0
3314va (VaSet
3315font "Courier New,8,0"
3316)
3317xt "2000,33600,50500,36800"
3318st "-- EVT HEADER - part 2 --> FTM trigger informaton, comes in late ...
3319-- during EVT header wrinting, this field is left out ... and only written into event header,
3320-- when the DRS chip were read out already.
3321FTM_RS485_ready : IN std_logic ;
3322"
3323)
3324thePort (LogicalPort
3325decl (Decl
3326n "FTM_RS485_ready"
3327t "std_logic"
3328prec "-- EVT HEADER - part 2 --> FTM trigger informaton, comes in late ...
3329-- during EVT header wrinting, this field is left out ... and only written into event header,
3330-- when the DRS chip were read out already."
3331preAdd 0
3332o 26
3333suid 69,0
3334)
3335)
3336)
3337*211 (CptPort
3338uid 1730,0
3339ps "OnEdgeStrategy"
3340shape (Triangle
3341uid 1731,0
3342ro 90
3343va (VaSet
3344vasetType 1
3345fg "0,65535,0"
3346)
3347xt "36250,45625,37000,46375"
3348)
3349tg (CPTG
3350uid 1732,0
3351ps "CptPortTextPlaceStrategy"
3352stg "VerticalLayoutStrategy"
3353f (Text
3354uid 1733,0
3355va (VaSet
3356)
3357xt "38000,45500,49000,46500"
3358st "FTM_trigger_info : (55:0)"
3359blo "38000,46300"
3360tm "CptPortNameMgr"
3361)
3362)
3363dt (MLText
3364uid 1734,0
3365va (VaSet
3366font "Courier New,8,0"
3367)
3368xt "2000,36800,42500,37600"
3369st "FTM_trigger_info : IN std_logic_vector (55 downto 0) ; --7 byte
3370"
3371)
3372thePort (LogicalPort
3373decl (Decl
3374n "FTM_trigger_info"
3375t "std_logic_vector"
3376b "(55 downto 0)"
3377eolc "--7 byte"
3378posAdd 0
3379o 27
3380suid 70,0
3381)
3382)
3383)
3384*212 (CptPort
3385uid 1764,0
3386ps "OnEdgeStrategy"
3387shape (Triangle
3388uid 1765,0
3389ro 90
3390va (VaSet
3391vasetType 1
3392fg "0,65535,0"
3393)
3394xt "36250,46625,37000,47375"
3395)
3396tg (CPTG
3397uid 1766,0
3398ps "CptPortTextPlaceStrategy"
3399stg "VerticalLayoutStrategy"
3400f (Text
3401uid 1767,0
3402va (VaSet
3403)
3404xt "38000,46500,48000,47500"
3405st "DCM_PS_status : (7:0)"
3406blo "38000,47300"
3407tm "CptPortNameMgr"
3408)
3409)
3410dt (MLText
3411uid 1768,0
3412va (VaSet
3413font "Courier New,8,0"
3414)
3415xt "2000,44800,37500,45600"
3416st "DCM_PS_status : IN std_logic_vector (7 downto 0) ;
3417"
3418)
3419thePort (LogicalPort
3420decl (Decl
3421n "DCM_PS_status"
3422t "std_logic_vector"
3423b "(7 downto 0)"
3424o 35
3425suid 71,0
3426)
3427)
3428)
3429*213 (CptPort
3430uid 1769,0
3431ps "OnEdgeStrategy"
3432shape (Triangle
3433uid 1770,0
3434ro 90
3435va (VaSet
3436vasetType 1
3437fg "0,65535,0"
3438)
3439xt "36250,47625,37000,48375"
3440)
3441tg (CPTG
3442uid 1771,0
3443ps "CptPortTextPlaceStrategy"
3444stg "VerticalLayoutStrategy"
3445f (Text
3446uid 1772,0
3447va (VaSet
3448)
3449xt "38000,47500,47400,48500"
3450st "TRG_GEN_div : (15:0)"
3451blo "38000,48300"
3452tm "CptPortNameMgr"
3453)
3454)
3455dt (MLText
3456uid 1773,0
3457va (VaSet
3458font "Courier New,8,0"
3459)
3460xt "2000,48000,38000,48800"
3461st "TRG_GEN_div : IN std_logic_vector (15 downto 0) ;
3462"
3463)
3464thePort (LogicalPort
3465decl (Decl
3466n "TRG_GEN_div"
3467t "std_logic_vector"
3468b "(15 downto 0)"
3469posAdd 0
3470o 39
3471suid 72,0
3472)
3473)
3474)
3475*214 (CptPort
3476uid 1810,0
3477ps "OnEdgeStrategy"
3478shape (Triangle
3479uid 1811,0
3480ro 90
3481va (VaSet
3482vasetType 1
3483fg "0,65535,0"
3484)
3485xt "36250,49625,37000,50375"
3486)
3487tg (CPTG
3488uid 1812,0
3489ps "CptPortTextPlaceStrategy"
3490stg "VerticalLayoutStrategy"
3491f (Text
3492uid 1813,0
3493va (VaSet
3494)
3495xt "38000,49500,43100,50500"
3496st "dna : (63:0)"
3497blo "38000,50300"
3498tm "CptPortNameMgr"
3499)
3500)
3501dt (MLText
3502uid 1814,0
3503va (VaSet
3504font "Courier New,8,0"
3505)
3506xt "2000,48800,38000,50400"
3507st "-- EVT HEADER - part 5
3508dna : IN std_logic_vector (63 downto 0) ;
3509"
3510)
3511thePort (LogicalPort
3512decl (Decl
3513n "dna"
3514t "std_logic_vector"
3515b "(63 downto 0)"
3516prec "-- EVT HEADER - part 5"
3517preAdd 0
3518posAdd 0
3519o 40
3520suid 74,0
3521)
3522)
3523)
3524*215 (CptPort
3525uid 1842,0
3526ps "OnEdgeStrategy"
3527shape (Triangle
3528uid 1843,0
3529ro 90
3530va (VaSet
3531vasetType 1
3532fg "0,65535,0"
3533)
3534xt "36250,50625,37000,51375"
3535)
3536tg (CPTG
3537uid 1844,0
3538ps "CptPortTextPlaceStrategy"
3539stg "VerticalLayoutStrategy"
3540f (Text
3541uid 1845,0
3542va (VaSet
3543)
3544xt "38000,50500,46500,51500"
3545st "timer_value : (31:0)"
3546blo "38000,51300"
3547tm "CptPortNameMgr"
3548)
3549)
3550dt (MLText
3551uid 1846,0
3552va (VaSet
3553font "Courier New,8,0"
3554)
3555xt "2000,52000,51000,52800"
3556st "timer_value : IN std_logic_vector (31 downto 0) ; -- time in units of 100us
3557"
3558)
3559thePort (LogicalPort
3560decl (Decl
3561n "timer_value"
3562t "std_logic_vector"
3563b "(31 downto 0)"
3564eolc "-- time in units of 100us"
3565preAdd 0
3566posAdd 0
3567o 42
3568suid 75,0
3569)
3570)
3571)
3572*216 (CptPort
3573uid 1922,0
3574ps "OnEdgeStrategy"
3575shape (Triangle
3576uid 1923,0
3577ro 90
3578va (VaSet
3579vasetType 1
3580fg "0,65535,0"
3581)
3582xt "67000,36625,67750,37375"
3583)
3584tg (CPTG
3585uid 1924,0
3586ps "CptPortTextPlaceStrategy"
3587stg "RightVerticalLayoutStrategy"
3588f (Text
3589uid 1925,0
3590va (VaSet
3591)
3592xt "54000,36500,66000,37500"
3593st "adc_output_enable_inverted"
3594ju 2
3595blo "66000,37300"
3596tm "CptPortNameMgr"
3597)
3598)
3599dt (MLText
3600uid 1926,0
3601va (VaSet
3602font "Courier New,8,0"
3603)
3604xt "2000,55200,41000,56000"
3605st "adc_output_enable_inverted : OUT std_logic := '1' ;
3606"
3607)
3608thePort (LogicalPort
3609m 1
3610decl (Decl
3611n "adc_output_enable_inverted"
3612t "std_logic"
3613o 46
3614suid 76,0
3615i "'1'"
3616)
3617)
3618)
3619*217 (CptPort
3620uid 1932,0
3621ps "OnEdgeStrategy"
3622shape (Triangle
3623uid 1933,0
3624ro 90
3625va (VaSet
3626vasetType 1
3627fg "0,65535,0"
3628)
3629xt "67000,38625,67750,39375"
3630)
3631tg (CPTG
3632uid 1934,0
3633ps "CptPortTextPlaceStrategy"
3634stg "RightVerticalLayoutStrategy"
3635f (Text
3636uid 1935,0
3637va (VaSet
3638)
3639xt "54000,38500,66000,39500"
3640st "dataRAM_write_ea_o : (0:0)"
3641ju 2
3642blo "66000,39300"
3643tm "CptPortNameMgr"
3644)
3645)
3646dt (MLText
3647uid 1936,0
3648va (VaSet
3649font "Courier New,8,0"
3650)
3651xt "2000,16800,41000,17600"
3652st "dataRAM_write_ea_o : OUT std_logic_vector (0 downto 0) := \"0\" ;
3653"
3654)
3655thePort (LogicalPort
3656m 1
3657decl (Decl
3658n "dataRAM_write_ea_o"
3659t "std_logic_vector"
3660b "(0 downto 0)"
3661o 6
3662suid 78,0
3663i "\"0\""
3664)
3665)
3666)
3667*218 (CptPort
3668uid 1942,0
3669ps "OnEdgeStrategy"
3670shape (Triangle
3671uid 1943,0
3672ro 90
3673va (VaSet
3674vasetType 1
3675fg "0,65535,0"
3676)
3677xt "67000,39625,67750,40375"
3678)
3679tg (CPTG
3680uid 1944,0
3681ps "CptPortTextPlaceStrategy"
3682stg "RightVerticalLayoutStrategy"
3683f (Text
3684uid 1945,0
3685va (VaSet
3686)
3687xt "54800,39500,66000,40500"
3688st "start_read_drs_stop_cell"
3689ju 2
3690blo "66000,40300"
3691tm "CptPortNameMgr"
3692)
3693)
3694dt (MLText
3695uid 1946,0
3696va (VaSet
3697font "Courier New,8,0"
3698)
3699xt "2000,61600,41000,62400"
3700st "start_read_drs_stop_cell : OUT std_logic := '0' ;
3701"
3702)
3703thePort (LogicalPort
3704m 1
3705decl (Decl
3706n "start_read_drs_stop_cell"
3707t "std_logic"
3708o 53
3709suid 80,0
3710i "'0'"
3711)
3712)
3713)
3714*219 (CptPort
3715uid 2046,0
3716ps "OnEdgeStrategy"
3717shape (Triangle
3718uid 2047,0
3719ro 90
3720va (VaSet
3721vasetType 1
3722fg "0,65535,0"
3723)
3724xt "67000,40625,67750,41375"
3725)
3726tg (CPTG
3727uid 2048,0
3728ps "CptPortTextPlaceStrategy"
3729stg "RightVerticalLayoutStrategy"
3730f (Text
3731uid 2049,0
3732va (VaSet
3733)
3734xt "60700,40500,66000,41500"
3735st "config_done"
3736ju 2
3737blo "66000,41300"
3738tm "CptPortNameMgr"
3739)
3740)
3741dt (MLText
3742uid 2050,0
3743va (VaSet
3744font "Courier New,8,0"
3745)
3746xt "2000,24800,41000,25600"
3747st "config_done : OUT std_logic := '0' ;
3748"
3749)
3750thePort (LogicalPort
3751m 1
3752decl (Decl
3753n "config_done"
3754t "std_logic"
3755o 16
3756suid 83,0
3757i "'0'"
3758)
3759)
3760)
3761*220 (CptPort
3762uid 2051,0
3763ps "OnEdgeStrategy"
3764shape (Triangle
3765uid 2052,0
3766ro 90
3767va (VaSet
3768vasetType 1
3769fg "0,65535,0"
3770)
3771xt "36250,51625,37000,52375"
3772)
3773tg (CPTG
3774uid 2053,0
3775ps "CptPortTextPlaceStrategy"
3776stg "VerticalLayoutStrategy"
3777f (Text
3778uid 2054,0
3779va (VaSet
3780)
3781xt "38000,51500,43600,52500"
3782st "config_start"
3783blo "38000,52300"
3784tm "CptPortNameMgr"
3785)
3786)
3787dt (MLText
3788uid 2055,0
3789va (VaSet
3790font "Courier New,8,0"
3791)
3792xt "2000,24000,28000,24800"
3793st "config_start : IN std_logic ;
3794"
3795)
3796thePort (LogicalPort
3797decl (Decl
3798n "config_start"
3799t "std_logic"
3800o 15
3801suid 84,0
3802)
3803)
3804)
3805*221 (CptPort
3806uid 2085,0
3807ps "OnEdgeStrategy"
3808shape (Triangle
3809uid 2086,0
3810ro 90
3811va (VaSet
3812vasetType 1
3813fg "0,65535,0"
3814)
3815xt "36250,52625,37000,53375"
3816)
3817tg (CPTG
3818uid 2087,0
3819ps "CptPortTextPlaceStrategy"
3820stg "VerticalLayoutStrategy"
3821f (Text
3822uid 2088,0
3823va (VaSet
3824)
3825xt "38000,52500,46500,53500"
3826st "DCM_locked_status"
3827blo "38000,53300"
3828tm "CptPortNameMgr"
3829)
3830)
3831dt (MLText
3832uid 2089,0
3833va (VaSet
3834font "Courier New,8,0"
3835)
3836xt "2000,45600,28000,46400"
3837st "DCM_locked_status : IN std_logic ;
3838"
3839)
3840thePort (LogicalPort
3841decl (Decl
3842n "DCM_locked_status"
3843t "std_logic"
3844o 36
3845suid 85,0
3846)
3847)
3848)
3849*222 (CptPort
3850uid 2090,0
3851ps "OnEdgeStrategy"
3852shape (Triangle
3853uid 2091,0
3854ro 90
3855va (VaSet
3856vasetType 1
3857fg "0,65535,0"
3858)
3859xt "36250,53625,37000,54375"
3860)
3861tg (CPTG
3862uid 2092,0
3863ps "CptPortTextPlaceStrategy"
3864stg "VerticalLayoutStrategy"
3865f (Text
3866uid 2093,0
3867va (VaSet
3868)
3869xt "38000,53500,46200,54500"
3870st "DCM_ready_status"
3871blo "38000,54300"
3872tm "CptPortNameMgr"
3873)
3874)
3875dt (MLText
3876uid 2094,0
3877va (VaSet
3878font "Courier New,8,0"
3879)
3880xt "2000,46400,28000,47200"
3881st "DCM_ready_status : IN std_logic ;
3882"
3883)
3884thePort (LogicalPort
3885decl (Decl
3886n "DCM_ready_status"
3887t "std_logic"
3888o 37
3889suid 86,0
3890)
3891)
3892)
3893*223 (CptPort
3894uid 2095,0
3895ps "OnEdgeStrategy"
3896shape (Triangle
3897uid 2096,0
3898ro 90
3899va (VaSet
3900vasetType 1
3901fg "0,65535,0"
3902)
3903xt "36250,54625,37000,55375"
3904)
3905tg (CPTG
3906uid 2097,0
3907ps "CptPortTextPlaceStrategy"
3908stg "VerticalLayoutStrategy"
3909f (Text
3910uid 2098,0
3911va (VaSet
3912)
3913xt "38000,54500,45600,55500"
3914st "denable_enable_in"
3915blo "38000,55300"
3916tm "CptPortNameMgr"
3917)
3918)
3919dt (MLText
3920uid 2099,0
3921va (VaSet
3922font "Courier New,8,0"
3923)
3924xt "2000,28800,28000,29600"
3925st "denable_enable_in : IN std_logic ;
3926"
3927)
3928thePort (LogicalPort
3929decl (Decl
3930n "denable_enable_in"
3931t "std_logic"
3932o 20
3933suid 87,0
3934)
3935)
3936)
3937*224 (CptPort
3938uid 2100,0
3939ps "OnEdgeStrategy"
3940shape (Triangle
3941uid 2101,0
3942ro 90
3943va (VaSet
3944vasetType 1
3945fg "0,65535,0"
3946)
3947xt "36250,55625,37000,56375"
3948)
3949tg (CPTG
3950uid 2102,0
3951ps "CptPortTextPlaceStrategy"
3952stg "VerticalLayoutStrategy"
3953f (Text
3954uid 2103,0
3955va (VaSet
3956)
3957xt "38000,55500,45300,56500"
3958st "dwrite_enable_in"
3959blo "38000,56300"
3960tm "CptPortNameMgr"
3961)
3962)
3963dt (MLText
3964uid 2104,0
3965va (VaSet
3966font "Courier New,8,0"
3967)
3968xt "2000,28000,28000,28800"
3969st "dwrite_enable_in : IN std_logic ;
3970"
3971)
3972thePort (LogicalPort
3973decl (Decl
3974n "dwrite_enable_in"
3975t "std_logic"
3976o 19
3977suid 88,0
3978)
3979)
3980)
3981*225 (CptPort
3982uid 2138,0
3983ps "OnEdgeStrategy"
3984shape (Triangle
3985uid 2139,0
3986ro 90
3987va (VaSet
3988vasetType 1
3989fg "0,65535,0"
3990)
3991xt "36250,56625,37000,57375"
3992)
3993tg (CPTG
3994uid 2140,0
3995ps "CptPortTextPlaceStrategy"
3996stg "VerticalLayoutStrategy"
3997f (Text
3998uid 2141,0
3999va (VaSet
4000)
4001xt "38000,56500,49000,57500"
4002st "SPI_SCLK_enable_status"
4003blo "38000,57300"
4004tm "CptPortNameMgr"
4005)
4006)
4007dt (MLText
4008uid 2142,0
4009va (VaSet
4010font "Courier New,8,0"
4011)
4012xt "2000,47200,28000,48000"
4013st "SPI_SCLK_enable_status : IN std_logic ;
4014"
4015)
4016thePort (LogicalPort
4017decl (Decl
4018n "SPI_SCLK_enable_status"
4019t "std_logic"
4020o 38
4021suid 89,0
4022)
4023)
4024)
4025*226 (CptPort
4026uid 2170,0
4027ps "OnEdgeStrategy"
4028shape (Triangle
4029uid 2171,0
4030ro 90
4031va (VaSet
4032vasetType 1
4033fg "0,65535,0"
4034)
4035xt "67000,41625,67750,42375"
4036)
4037tg (CPTG
4038uid 2172,0
4039ps "CptPortTextPlaceStrategy"
4040stg "RightVerticalLayoutStrategy"
4041f (Text
4042uid 2173,0
4043va (VaSet
4044)
4045xt "60400,41500,66000,42500"
4046st "trigger_veto"
4047ju 2
4048blo "66000,42300"
4049tm "CptPortNameMgr"
4050)
4051)
4052dt (MLText
4053uid 2174,0
4054va (VaSet
4055font "Courier New,8,0"
4056)
4057xt "2000,68000,40000,68800"
4058st "trigger_veto : OUT std_logic := '1'
4059"
4060)
4061thePort (LogicalPort
4062m 1
4063decl (Decl
4064n "trigger_veto"
4065t "std_logic"
4066o 61
4067suid 90,0
4068i "'1'"
4069)
4070)
4071)
4072*227 (CptPort
4073uid 2202,0
4074ps "OnEdgeStrategy"
4075shape (Triangle
4076uid 2203,0
4077ro 90
4078va (VaSet
4079vasetType 1
4080fg "0,65535,0"
4081)
4082xt "36250,57625,37000,58375"
4083)
4084tg (CPTG
4085uid 2204,0
4086ps "CptPortTextPlaceStrategy"
4087stg "VerticalLayoutStrategy"
4088f (Text
4089uid 2205,0
4090va (VaSet
4091)
4092xt "38000,57500,47000,58500"
4093st "FTM_receiver_status"
4094blo "38000,58300"
4095tm "CptPortNameMgr"
4096)
4097)
4098dt (MLText
4099uid 2206,0
4100va (VaSet
4101font "Courier New,8,0"
4102)
4103xt "2000,37600,28000,38400"
4104st "FTM_receiver_status : IN std_logic ;
4105"
4106)
4107thePort (LogicalPort
4108decl (Decl
4109n "FTM_receiver_status"
4110t "std_logic"
4111o 28
4112suid 91,0
4113)
4114)
4115)
4116*228 (CptPort
4117uid 2234,0
4118ps "OnEdgeStrategy"
4119shape (Triangle
4120uid 2235,0
4121ro 90
4122va (VaSet
4123vasetType 1
4124fg "0,65535,0"
4125)
4126xt "36250,58625,37000,59375"
4127)
4128tg (CPTG
4129uid 2236,0
4130ps "CptPortTextPlaceStrategy"
4131stg "VerticalLayoutStrategy"
4132f (Text
4133uid 2237,0
4134va (VaSet
4135)
4136xt "38000,58500,45600,59500"
4137st "runnumber : (31:0)"
4138blo "38000,59300"
4139tm "CptPortNameMgr"
4140)
4141)
4142dt (MLText
4143uid 2238,0
4144va (VaSet
4145font "Courier New,8,0"
4146)
4147xt "2000,50400,38000,52000"
4148st "-- EVT HEADER - part 6
4149runnumber : IN std_logic_vector (31 downto 0) ;
4150"
4151)
4152thePort (LogicalPort
4153decl (Decl
4154n "runnumber"
4155t "std_logic_vector"
4156b "(31 downto 0)"
4157prec "-- EVT HEADER - part 6"
4158preAdd 0
4159posAdd 0
4160o 41
4161suid 92,0
4162)
4163)
4164)
4165*229 (CptPort
4166uid 2337,0
4167ps "OnEdgeStrategy"
4168shape (Triangle
4169uid 2338,0
4170ro 90
4171va (VaSet
4172vasetType 1
4173fg "0,65535,0"
4174)
4175xt "36250,59625,37000,60375"
4176)
4177tg (CPTG
4178uid 2339,0
4179ps "CptPortTextPlaceStrategy"
4180stg "VerticalLayoutStrategy"
4181f (Text
4182uid 2340,0
4183va (VaSet
4184)
4185xt "38000,59500,46700,60500"
4186st "hardware_trigger_in"
4187blo "38000,60300"
4188tm "CptPortNameMgr"
4189)
4190)
4191dt (MLText
4192uid 2341,0
4193va (VaSet
4194font "Courier New,8,0"
4195)
4196xt "2000,52800,28000,53600"
4197st "hardware_trigger_in : IN std_logic ;
4198"
4199)
4200thePort (LogicalPort
4201decl (Decl
4202n "hardware_trigger_in"
4203t "std_logic"
4204o 43
4205suid 96,0
4206)
4207)
4208)
4209*230 (CptPort
4210uid 2342,0
4211ps "OnEdgeStrategy"
4212shape (Triangle
4213uid 2343,0
4214ro 90
4215va (VaSet
4216vasetType 1
4217fg "0,65535,0"
4218)
4219xt "36250,60625,37000,61375"
4220)
4221tg (CPTG
4222uid 2344,0
4223ps "CptPortTextPlaceStrategy"
4224stg "VerticalLayoutStrategy"
4225f (Text
4226uid 2345,0
4227va (VaSet
4228)
4229xt "38000,60500,46500,61500"
4230st "software_trigger_in"
4231blo "38000,61300"
4232tm "CptPortNameMgr"
4233)
4234)
4235dt (MLText
4236uid 2346,0
4237va (VaSet
4238font "Courier New,8,0"
4239)
4240xt "2000,53600,28000,54400"
4241st "software_trigger_in : IN std_logic ;
4242"
4243)
4244thePort (LogicalPort
4245decl (Decl
4246n "software_trigger_in"
4247t "std_logic"
4248o 44
4249suid 97,0
4250)
4251)
4252)
4253*231 (CptPort
4254uid 2381,0
4255ps "OnEdgeStrategy"
4256shape (Triangle
4257uid 2382,0
4258ro 90
4259va (VaSet
4260vasetType 1
4261fg "0,65535,0"
4262)
4263xt "67000,43625,67750,44375"
4264)
4265tg (CPTG
4266uid 2383,0
4267ps "CptPortTextPlaceStrategy"
4268stg "RightVerticalLayoutStrategy"
4269f (Text
4270uid 2384,0
4271va (VaSet
4272)
4273xt "60700,43500,66000,44500"
4274st "state : (7:0)"
4275ju 2
4276blo "66000,44300"
4277tm "CptPortNameMgr"
4278)
4279)
4280dt (MLText
4281uid 2385,0
4282va (VaSet
4283font "Courier New,8,0"
4284)
4285xt "2000,12000,37500,13600"
4286st "-- for debugging
4287state : OUT std_logic_vector (7 downto 0) ;
4288"
4289)
4290thePort (LogicalPort
4291m 1
4292decl (Decl
4293n "state"
4294t "std_logic_vector"
4295b "(7 downto 0)"
4296prec "-- for debugging"
4297preAdd 0
4298o 1
4299suid 99,0
4300)
4301)
4302)
4303*232 (CptPort
4304uid 2415,0
4305ps "OnEdgeStrategy"
4306shape (Triangle
4307uid 2416,0
4308ro 90
4309va (VaSet
4310vasetType 1
4311fg "0,65535,0"
4312)
4313xt "67000,44625,67750,45375"
4314)
4315tg (CPTG
4316uid 2417,0
4317ps "CptPortTextPlaceStrategy"
4318stg "RightVerticalLayoutStrategy"
4319f (Text
4320uid 2418,0
4321va (VaSet
4322)
4323xt "63200,44500,66000,45500"
4324st "is_idle"
4325ju 2
4326blo "66000,45300"
4327tm "CptPortNameMgr"
4328)
4329)
4330dt (MLText
4331uid 2419,0
4332va (VaSet
4333font "Courier New,8,0"
4334)
4335xt "2000,13600,28000,14400"
4336st "is_idle : OUT std_logic ;
4337"
4338)
4339thePort (LogicalPort
4340m 1
4341decl (Decl
4342n "is_idle"
4343t "std_logic"
4344o 2
4345suid 100,0
4346)
4347)
4348)
4349*233 (CptPort
4350uid 2472,0
4351ps "OnEdgeStrategy"
4352shape (Triangle
4353uid 2473,0
4354ro 90
4355va (VaSet
4356vasetType 1
4357fg "0,65535,0"
4358)
4359xt "36250,61625,37000,62375"
4360)
4361tg (CPTG
4362uid 2474,0
4363ps "CptPortTextPlaceStrategy"
4364stg "VerticalLayoutStrategy"
4365f (Text
4366uid 2475,0
4367va (VaSet
4368)
4369xt "38000,61500,44500,62500"
4370st "busy_enable_in"
4371blo "38000,62300"
4372tm "CptPortNameMgr"
4373)
4374)
4375dt (MLText
4376uid 2476,0
4377va (VaSet
4378font "Courier New,8,0"
4379)
4380xt "2000,29600,28000,30400"
4381st "busy_enable_in : IN std_logic ;
4382"
4383)
4384thePort (LogicalPort
4385decl (Decl
4386n "busy_enable_in"
4387t "std_logic"
4388o 21
4389suid 101,0
4390)
4391)
4392)
4393*234 (CptPort
4394uid 2477,0
4395ps "OnEdgeStrategy"
4396shape (Triangle
4397uid 2478,0
4398ro 90
4399va (VaSet
4400vasetType 1
4401fg "0,65535,0"
4402)
4403xt "36250,62625,37000,63375"
4404)
4405tg (CPTG
4406uid 2479,0
4407ps "CptPortTextPlaceStrategy"
4408stg "VerticalLayoutStrategy"
4409f (Text
4410uid 2480,0
4411va (VaSet
4412)
4413xt "38000,62500,46000,63500"
4414st "cont_trigger_en_in"
4415blo "38000,63300"
4416tm "CptPortNameMgr"
4417)
4418)
4419dt (MLText
4420uid 2481,0
4421va (VaSet
4422font "Courier New,8,0"
4423)
4424xt "2000,31200,28000,32000"
4425st "cont_trigger_en_in : IN std_logic ;
4426"
4427)
4428thePort (LogicalPort
4429decl (Decl
4430n "cont_trigger_en_in"
4431t "std_logic"
4432o 23
4433suid 102,0
4434)
4435)
4436)
4437*235 (CptPort
4438uid 2482,0
4439ps "OnEdgeStrategy"
4440shape (Triangle
4441uid 2483,0
4442ro 90
4443va (VaSet
4444vasetType 1
4445fg "0,65535,0"
4446)
4447xt "36250,63625,37000,64375"
4448)
4449tg (CPTG
4450uid 2484,0
4451ps "CptPortTextPlaceStrategy"
4452stg "VerticalLayoutStrategy"
4453f (Text
4454uid 2485,0
4455va (VaSet
4456)
4457xt "38000,63500,47100,64500"
4458st "socket_send_mode_in"
4459blo "38000,64300"
4460tm "CptPortNameMgr"
4461)
4462)
4463dt (MLText
4464uid 2486,0
4465va (VaSet
4466font "Courier New,8,0"
4467)
4468xt "2000,32000,28000,32800"
4469st "socket_send_mode_in : IN std_logic ;
4470"
4471)
4472thePort (LogicalPort
4473decl (Decl
4474n "socket_send_mode_in"
4475t "std_logic"
4476o 24
4477suid 103,0
4478)
4479)
4480)
4481*236 (CptPort
4482uid 2487,0
4483ps "OnEdgeStrategy"
4484shape (Triangle
4485uid 2488,0
4486ro 90
4487va (VaSet
4488vasetType 1
4489fg "0,65535,0"
4490)
4491xt "36250,64625,37000,65375"
4492)
4493tg (CPTG
4494uid 2489,0
4495ps "CptPortTextPlaceStrategy"
4496stg "VerticalLayoutStrategy"
4497f (Text
4498uid 2490,0
4499va (VaSet
4500)
4501xt "38000,64500,45400,65500"
4502st "trigger_enable_in"
4503blo "38000,65300"
4504tm "CptPortNameMgr"
4505)
4506)
4507dt (MLText
4508uid 2491,0
4509va (VaSet
4510font "Courier New,8,0"
4511)
4512xt "2000,30400,28000,31200"
4513st "trigger_enable_in : IN std_logic ;
4514"
4515)
4516thePort (LogicalPort
4517decl (Decl
4518n "trigger_enable_in"
4519t "std_logic"
4520o 22
4521suid 104,0
4522)
4523)
4524)
4525*237 (CptPort
4526uid 2525,0
4527ps "OnEdgeStrategy"
4528shape (Triangle
4529uid 2526,0
4530ro 90
4531va (VaSet
4532vasetType 1
4533fg "0,65535,0"
4534)
4535xt "36250,65625,37000,66375"
4536)
4537tg (CPTG
4538uid 2527,0
4539ps "CptPortTextPlaceStrategy"
4540stg "VerticalLayoutStrategy"
4541f (Text
4542uid 2528,0
4543va (VaSet
4544)
4545xt "38000,65500,44600,66500"
4546st "busy_manual_in"
4547blo "38000,66300"
4548tm "CptPortNameMgr"
4549)
4550)
4551dt (MLText
4552uid 2529,0
4553va (VaSet
4554font "Courier New,8,0"
4555)
4556xt "2000,32800,28000,33600"
4557st "busy_manual_in : IN std_logic ;
4558"
4559)
4560thePort (LogicalPort
4561decl (Decl
4562n "busy_manual_in"
4563t "std_logic"
4564o 25
4565suid 105,0
4566)
4567)
4568)
4569]
4570shape (Rectangle
4571uid 238,0
4572va (VaSet
4573vasetType 1
4574fg "0,65535,0"
4575lineColor "0,32896,0"
4576lineWidth 2
4577)
4578xt "37000,1000,67000,67000"
4579)
4580oxt "37000,1000,51000,21000"
4581biTextGroup (BiTextGroup
4582uid 10,0
4583ps "CenterOffsetStrategy"
4584stg "VerticalLayoutStrategy"
4585first (Text
4586uid 11,0
4587va (VaSet
4588font "Arial,8,1"
4589)
4590xt "38300,21000,44500,22000"
4591st "FACT_FAD_lib"
4592blo "38300,21800"
4593)
4594second (Text
4595uid 12,0
4596va (VaSet
4597font "Arial,8,1"
4598)
4599xt "38300,22000,44700,23000"
4600st "data_generator"
4601blo "38300,22800"
4602)
4603)
4604gi *238 (GenericInterface
4605uid 13,0
4606ps "CenterOffsetStrategy"
4607matrix (Matrix
4608uid 14,0
4609text (MLText
4610uid 15,0
4611va (VaSet
4612font "Courier New,8,0"
4613)
4614xt "37000,5200,52000,7600"
4615st "Generic Declarations
4616
4617RAM_ADDR_WIDTH integer 12
4618"
4619)
4620header "Generic Declarations"
4621showHdrWhenContentsEmpty 1
4622)
4623elements [
4624(GiElement
4625name "RAM_ADDR_WIDTH"
4626type "integer"
4627value "12"
4628)
4629]
4630)
4631portInstanceVisAsIs 1
4632portInstanceVis (PortSigDisplay
4633)
4634portVis (PortSigDisplay
4635)
4636)
4637*239 (Grouping
4638uid 16,0
4639optionalChildren [
4640*240 (CommentText
4641uid 18,0
4642shape (Rectangle
4643uid 19,0
4644sl 0
4645va (VaSet
4646vasetType 1
4647fg "65280,65280,46080"
4648)
4649xt "41000,29000,58000,30000"
4650)
4651oxt "18000,70000,35000,71000"
4652text (MLText
4653uid 20,0
4654va (VaSet
4655fg "0,0,32768"
4656bg "0,0,32768"
4657)
4658xt "41200,29000,51900,30000"
4659st "
4660by %user on %dd %month %year
4661"
4662tm "CommentText"
4663wrapOption 3
4664visibleHeight 1000
4665visibleWidth 17000
4666)
4667position 1
4668ignorePrefs 1
4669titleBlock 1
4670)
4671*241 (CommentText
4672uid 21,0
4673shape (Rectangle
4674uid 22,0
4675sl 0
4676va (VaSet
4677vasetType 1
4678fg "65280,65280,46080"
4679)
4680xt "58000,25000,62000,26000"
4681)
4682oxt "35000,66000,39000,67000"
4683text (MLText
4684uid 23,0
4685va (VaSet
4686fg "0,0,32768"
4687bg "0,0,32768"
4688)
4689xt "58200,25000,61500,26000"
4690st "
4691Project:
4692"
4693tm "CommentText"
4694wrapOption 3
4695visibleHeight 1000
4696visibleWidth 4000
4697)
4698position 1
4699ignorePrefs 1
4700titleBlock 1
4701)
4702*242 (CommentText
4703uid 24,0
4704shape (Rectangle
4705uid 25,0
4706sl 0
4707va (VaSet
4708vasetType 1
4709fg "65280,65280,46080"
4710)
4711xt "41000,27000,58000,28000"
4712)
4713oxt "18000,68000,35000,69000"
4714text (MLText
4715uid 26,0
4716va (VaSet
4717fg "0,0,32768"
4718bg "0,0,32768"
4719)
4720xt "41200,27000,52100,28000"
4721st "
4722<enter diagram title here>
4723"
4724tm "CommentText"
4725wrapOption 3
4726visibleHeight 1000
4727visibleWidth 17000
4728)
4729position 1
4730ignorePrefs 1
4731titleBlock 1
4732)
4733*243 (CommentText
4734uid 27,0
4735shape (Rectangle
4736uid 28,0
4737sl 0
4738va (VaSet
4739vasetType 1
4740fg "65280,65280,46080"
4741)
4742xt "37000,27000,41000,28000"
4743)
4744oxt "14000,68000,18000,69000"
4745text (MLText
4746uid 29,0
4747va (VaSet
4748fg "0,0,32768"
4749bg "0,0,32768"
4750)
4751xt "37200,27000,39500,28000"
4752st "
4753Title:
4754"
4755tm "CommentText"
4756wrapOption 3
4757visibleHeight 1000
4758visibleWidth 4000
4759)
4760position 1
4761ignorePrefs 1
4762titleBlock 1
4763)
4764*244 (CommentText
4765uid 30,0
4766shape (Rectangle
4767uid 31,0
4768sl 0
4769va (VaSet
4770vasetType 1
4771fg "65280,65280,46080"
4772)
4773xt "58000,26000,78000,30000"
4774)
4775oxt "35000,67000,55000,71000"
4776text (MLText
4777uid 32,0
4778va (VaSet
4779fg "0,0,32768"
4780bg "0,0,32768"
4781)
4782xt "58200,26200,68000,27200"
4783st "
4784<enter comments here>
4785"
4786tm "CommentText"
4787wrapOption 3
4788visibleHeight 4000
4789visibleWidth 20000
4790)
4791ignorePrefs 1
4792titleBlock 1
4793)
4794*245 (CommentText
4795uid 33,0
4796shape (Rectangle
4797uid 34,0
4798sl 0
4799va (VaSet
4800vasetType 1
4801fg "65280,65280,46080"
4802)
4803xt "62000,25000,78000,26000"
4804)
4805oxt "39000,66000,55000,67000"
4806text (MLText
4807uid 35,0
4808va (VaSet
4809fg "0,0,32768"
4810bg "0,0,32768"
4811)
4812xt "62200,25000,66900,26000"
4813st "
4814%project_name
4815"
4816tm "CommentText"
4817wrapOption 3
4818visibleHeight 1000
4819visibleWidth 16000
4820)
4821position 1
4822ignorePrefs 1
4823titleBlock 1
4824)
4825*246 (CommentText
4826uid 36,0
4827shape (Rectangle
4828uid 37,0
4829sl 0
4830va (VaSet
4831vasetType 1
4832fg "65280,65280,46080"
4833)
4834xt "37000,25000,58000,27000"
4835)
4836oxt "14000,66000,35000,68000"
4837text (MLText
4838uid 38,0
4839va (VaSet
4840fg "32768,0,0"
4841)
4842xt "44200,25500,50800,26500"
4843st "
4844<company name>
4845"
4846ju 0
4847tm "CommentText"
4848wrapOption 3
4849visibleHeight 2000
4850visibleWidth 21000
4851)
4852position 1
4853ignorePrefs 1
4854titleBlock 1
4855)
4856*247 (CommentText
4857uid 39,0
4858shape (Rectangle
4859uid 40,0
4860sl 0
4861va (VaSet
4862vasetType 1
4863fg "65280,65280,46080"
4864)
4865xt "37000,28000,41000,29000"
4866)
4867oxt "14000,69000,18000,70000"
4868text (MLText
4869uid 41,0
4870va (VaSet
4871fg "0,0,32768"
4872bg "0,0,32768"
4873)
4874xt "37200,28000,39500,29000"
4875st "
4876Path:
4877"
4878tm "CommentText"
4879wrapOption 3
4880visibleHeight 1000
4881visibleWidth 4000
4882)
4883position 1
4884ignorePrefs 1
4885titleBlock 1
4886)
4887*248 (CommentText
4888uid 42,0
4889shape (Rectangle
4890uid 43,0
4891sl 0
4892va (VaSet
4893vasetType 1
4894fg "65280,65280,46080"
4895)
4896xt "37000,29000,41000,30000"
4897)
4898oxt "14000,70000,18000,71000"
4899text (MLText
4900uid 44,0
4901va (VaSet
4902fg "0,0,32768"
4903bg "0,0,32768"
4904)
4905xt "37200,29000,40300,30000"
4906st "
4907Edited:
4908"
4909tm "CommentText"
4910wrapOption 3
4911visibleHeight 1000
4912visibleWidth 4000
4913)
4914position 1
4915ignorePrefs 1
4916titleBlock 1
4917)
4918*249 (CommentText
4919uid 45,0
4920shape (Rectangle
4921uid 46,0
4922sl 0
4923va (VaSet
4924vasetType 1
4925fg "65280,65280,46080"
4926)
4927xt "41000,28000,58000,29000"
4928)
4929oxt "18000,69000,35000,70000"
4930text (MLText
4931uid 47,0
4932va (VaSet
4933fg "0,0,32768"
4934bg "0,0,32768"
4935)
4936xt "41200,28000,57400,29000"
4937st "
4938%library/%unit/%view
4939"
4940tm "CommentText"
4941wrapOption 3
4942visibleHeight 1000
4943visibleWidth 17000
4944)
4945position 1
4946ignorePrefs 1
4947titleBlock 1
4948)
4949]
4950shape (GroupingShape
4951uid 17,0
4952va (VaSet
4953vasetType 1
4954fg "65535,65535,65535"
4955lineStyle 2
4956lineWidth 2
4957)
4958xt "37000,25000,78000,30000"
4959)
4960oxt "14000,66000,55000,71000"
4961)
4962*250 (CommentText
4963uid 103,0
4964shape (Rectangle
4965uid 104,0
4966layer 0
4967va (VaSet
4968vasetType 1
4969fg "65280,65280,46080"
4970lineColor "0,0,32768"
4971)
4972xt "0,-6000,33000,0"
4973)
4974text (MLText
4975uid 105,0
4976va (VaSet
4977fg "0,0,32768"
4978font "Arial,10,0"
4979)
4980xt "200,-5800,32200,-600"
4981st "
4982Created using Mentor Graphics HDL2Graphics(TM) Technology
4983on - 16:03:02 10.02.2010
4984from - D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hdl\\data_generator.vhd
4985
4986"
4987tm "CommentText"
4988wrapOption 3
4989visibleHeight 5600
4990visibleWidth 32600
4991)
4992)
4993]
4994bg "65535,65535,65535"
4995grid (Grid
4996origin "0,0"
4997isVisible 1
4998isActive 1
4999xSpacing 1000
5000xySpacing 1000
5001xShown 1
5002yShown 1
5003color "26368,26368,26368"
5004)
5005packageList *251 (PackageList
5006uid 131,0
5007stg "VerticalLayoutStrategy"
5008textVec [
5009*252 (Text
5010uid 132,0
5011va (VaSet
5012font "arial,8,1"
5013)
5014xt "0,1000,5400,2000"
5015st "Package List"
5016blo "0,1800"
5017)
5018*253 (MLText
5019uid 133,0
5020va (VaSet
5021)
5022xt "0,2000,16200,8000"
5023st "library IEEE;
5024use IEEE.STD_LOGIC_1164.ALL;
5025use IEEE.STD_LOGIC_ARITH.ALL;
5026use IEEE.STD_LOGIC_UNSIGNED.ALL;
5027library fact_fad_lib;
5028use fact_fad_lib.fad_definitions.all;"
5029tm "PackageList"
5030)
5031]
5032)
5033windowSize "0,0,1015,690"
5034viewArea "0,0,0,0"
5035cachedDiagramExtent "0,0,0,0"
5036pageBreakOrigin "0,0"
5037defaultCommentText (CommentText
5038shape (Rectangle
5039layer 0
5040va (VaSet
5041vasetType 1
5042fg "65280,65280,46080"
5043lineColor "0,0,32768"
5044)
5045xt "0,0,15000,5000"
5046)
5047text (MLText
5048va (VaSet
5049fg "0,0,32768"
5050)
5051xt "200,200,2400,1200"
5052st "
5053Text
5054"
5055tm "CommentText"
5056wrapOption 3
5057visibleHeight 4600
5058visibleWidth 14600
5059)
5060)
5061defaultPanel (Panel
5062shape (RectFrame
5063va (VaSet
5064vasetType 1
5065fg "65535,65535,65535"
5066lineColor "32768,0,0"
5067lineWidth 3
5068)
5069xt "0,0,20000,20000"
5070)
5071title (TextAssociate
5072ps "TopLeftStrategy"
5073text (Text
5074va (VaSet
5075font "Arial,8,1"
5076)
5077xt "1000,1000,3800,2000"
5078st "Panel0"
5079blo "1000,1800"
5080tm "PanelText"
5081)
5082)
5083)
5084parentGraphicsRef (HdmGraphicsRef
5085libraryName ""
5086entityName ""
5087viewName ""
5088)
5089defaultSymbolBody (SymbolBody
5090shape (Rectangle
5091va (VaSet
5092vasetType 1
5093fg "0,65535,0"
5094lineColor "0,32896,0"
5095lineWidth 2
5096)
5097xt "15000,6000,33000,26000"
5098)
5099biTextGroup (BiTextGroup
5100ps "CenterOffsetStrategy"
5101stg "VerticalLayoutStrategy"
5102first (Text
5103va (VaSet
5104font "Arial,8,1"
5105)
5106xt "22200,15000,25800,16000"
5107st "<library>"
5108blo "22200,15800"
5109)
5110second (Text
5111va (VaSet
5112font "Arial,8,1"
5113)
5114xt "22200,16000,24800,17000"
5115st "<cell>"
5116blo "22200,16800"
5117)
5118)
5119gi *254 (GenericInterface
5120ps "CenterOffsetStrategy"
5121matrix (Matrix
5122text (MLText
5123va (VaSet
5124font "Courier New,8,0"
5125)
5126xt "0,12000,11500,12800"
5127st "Generic Declarations"
5128)
5129header "Generic Declarations"
5130showHdrWhenContentsEmpty 1
5131)
5132elements [
5133]
5134)
5135portInstanceVisAsIs 1
5136portInstanceVis (PortSigDisplay
5137sIVOD 1
5138)
5139portVis (PortSigDisplay
5140sIVOD 1
5141)
5142)
5143defaultCptPort (CptPort
5144ps "OnEdgeStrategy"
5145shape (Triangle
5146ro 90
5147va (VaSet
5148vasetType 1
5149fg "0,65535,0"
5150)
5151xt "0,0,750,750"
5152)
5153tg (CPTG
5154ps "CptPortTextPlaceStrategy"
5155stg "VerticalLayoutStrategy"
5156f (Text
5157va (VaSet
5158)
5159xt "0,750,1400,1750"
5160st "In0"
5161blo "0,1550"
5162tm "CptPortNameMgr"
5163)
5164)
5165dt (MLText
5166va (VaSet
5167font "Courier New,8,0"
5168)
5169)
5170thePort (LogicalPort
5171decl (Decl
5172n "In0"
5173t "std_logic_vector"
5174b "(15 DOWNTO 0)"
5175o 0
5176)
5177)
5178)
5179defaultCptPortBuffer (CptPort
5180ps "OnEdgeStrategy"
5181shape (Diamond
5182va (VaSet
5183vasetType 1
5184fg "65535,65535,65535"
5185bg "0,0,0"
5186)
5187xt "0,0,750,750"
5188)
5189tg (CPTG
5190ps "CptPortTextPlaceStrategy"
5191stg "VerticalLayoutStrategy"
5192f (Text
5193va (VaSet
5194)
5195xt "0,750,2800,1750"
5196st "Buffer0"
5197blo "0,1550"
5198tm "CptPortNameMgr"
5199)
5200)
5201dt (MLText
5202va (VaSet
5203font "Courier New,8,0"
5204)
5205)
5206thePort (LogicalPort
5207m 3
5208decl (Decl
5209n "Buffer0"
5210t "std_logic_vector"
5211b "(15 DOWNTO 0)"
5212o 0
5213)
5214)
5215)
5216DeclarativeBlock *255 (SymDeclBlock
5217uid 1,0
5218stg "SymDeclLayoutStrategy"
5219declLabel (Text
5220uid 2,0
5221va (VaSet
5222font "Arial,8,1"
5223)
5224xt "0,10000,5400,11000"
5225st "Declarations"
5226blo "0,10800"
5227)
5228portLabel (Text
5229uid 3,0
5230va (VaSet
5231font "Arial,8,1"
5232)
5233xt "0,11000,2700,12000"
5234st "Ports:"
5235blo "0,11800"
5236)
5237externalLabel (Text
5238uid 4,0
5239va (VaSet
5240font "Arial,8,1"
5241)
5242xt "0,68800,2400,69800"
5243st "User:"
5244blo "0,69600"
5245)
5246internalLabel (Text
5247uid 6,0
5248va (VaSet
5249isHidden 1
5250font "Arial,8,1"
5251)
5252xt "0,10000,5800,11000"
5253st "Internal User:"
5254blo "0,10800"
5255)
5256externalText (MLText
5257uid 5,0
5258va (VaSet
5259font "Courier New,8,0"
5260)
5261xt "2000,69800,2000,69800"
5262tm "SyDeclarativeTextMgr"
5263)
5264internalText (MLText
5265uid 7,0
5266va (VaSet
5267isHidden 1
5268font "Courier New,8,0"
5269)
5270xt "0,10000,0,10000"
5271tm "SyDeclarativeTextMgr"
5272)
5273)
5274lastUid 2533,0
5275activeModelName "Symbol:CDM"
5276)
Note: See TracBrowser for help on using the repository browser.