source: firmware/FAD/FACT_FAD_lib/hds/debouncer/symbol.sb@ 14788

Last change on this file since 14788 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 20.4 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "NUMERIC_STD"
13itemName "ALL"
14)
15]
16libraryRefs [
17"IEEE"
18]
19)
20version "24.1"
21appVersion "2009.2 (Build 10)"
22model (Symbol
23commonDM (CommonDM
24ldm (LogicalDM
25ordering 1
26suid 3,0
27usingSuid 1
28emptyRow *1 (LEmptyRow
29)
30uid 82,0
31optionalChildren [
32*2 (RefLabelRowHdr
33)
34*3 (TitleRowHdr
35)
36*4 (FilterRowHdr
37)
38*5 (RefLabelColHdr
39tm "RefLabelColHdrMgr"
40)
41*6 (RowExpandColHdr
42tm "RowExpandColHdrMgr"
43)
44*7 (GroupColHdr
45tm "GroupColHdrMgr"
46)
47*8 (NameColHdr
48tm "NameColHdrMgr"
49)
50*9 (ModeColHdr
51tm "ModeColHdrMgr"
52)
53*10 (TypeColHdr
54tm "TypeColHdrMgr"
55)
56*11 (BoundsColHdr
57tm "BoundsColHdrMgr"
58)
59*12 (InitColHdr
60tm "InitColHdrMgr"
61)
62*13 (EolColHdr
63tm "EolColHdrMgr"
64)
65*14 (LogPort
66port (LogicalPort
67decl (Decl
68n "clk"
69t "STD_LOGIC"
70preAdd 0
71posAdd 0
72o 1
73suid 1,0
74)
75)
76uid 71,0
77)
78*15 (LogPort
79port (LogicalPort
80decl (Decl
81n "trigger_in"
82t "STD_LOGIC"
83prec "-- rst : in STD_LOGIC;"
84preAdd 0
85posAdd 0
86o 2
87suid 2,0
88)
89)
90uid 73,0
91)
92*16 (LogPort
93port (LogicalPort
94m 1
95decl (Decl
96n "trigger_out"
97t "STD_LOGIC"
98preAdd 0
99posAdd 0
100o 3
101suid 3,0
102i "'0'"
103)
104)
105uid 75,0
106)
107]
108)
109pdm (PhysicalDM
110displayShortBounds 1
111editShortBounds 1
112uid 95,0
113optionalChildren [
114*17 (Sheet
115sheetRow (SheetRow
116headerVa (MVa
117cellColor "49152,49152,49152"
118fontColor "0,0,0"
119font "Tahoma,10,0"
120)
121cellVa (MVa
122cellColor "65535,65535,65535"
123fontColor "0,0,0"
124font "Tahoma,10,0"
125)
126groupVa (MVa
127cellColor "39936,56832,65280"
128fontColor "0,0,0"
129font "Tahoma,10,0"
130)
131emptyMRCItem *18 (MRCItem
132litem &1
133pos 3
134dimension 20
135)
136uid 97,0
137optionalChildren [
138*19 (MRCItem
139litem &2
140pos 0
141dimension 20
142uid 98,0
143)
144*20 (MRCItem
145litem &3
146pos 1
147dimension 23
148uid 99,0
149)
150*21 (MRCItem
151litem &4
152pos 2
153hidden 1
154dimension 20
155uid 100,0
156)
157*22 (MRCItem
158litem &14
159pos 0
160dimension 20
161uid 72,0
162)
163*23 (MRCItem
164litem &15
165pos 1
166dimension 20
167uid 74,0
168)
169*24 (MRCItem
170litem &16
171pos 2
172dimension 20
173uid 76,0
174)
175]
176)
177sheetCol (SheetCol
178propVa (MVa
179cellColor "0,49152,49152"
180fontColor "0,0,0"
181font "Tahoma,10,0"
182textAngle 90
183)
184uid 101,0
185optionalChildren [
186*25 (MRCItem
187litem &5
188pos 0
189dimension 20
190uid 102,0
191)
192*26 (MRCItem
193litem &7
194pos 1
195dimension 50
196uid 103,0
197)
198*27 (MRCItem
199litem &8
200pos 2
201dimension 100
202uid 104,0
203)
204*28 (MRCItem
205litem &9
206pos 3
207dimension 50
208uid 105,0
209)
210*29 (MRCItem
211litem &10
212pos 4
213dimension 100
214uid 106,0
215)
216*30 (MRCItem
217litem &11
218pos 5
219dimension 100
220uid 107,0
221)
222*31 (MRCItem
223litem &12
224pos 6
225dimension 50
226uid 108,0
227)
228*32 (MRCItem
229litem &13
230pos 7
231dimension 80
232uid 109,0
233)
234]
235)
236fixedCol 4
237fixedRow 2
238name "Ports"
239uid 96,0
240vaOverrides [
241]
242)
243]
244)
245uid 81,0
246)
247genericsCommonDM (CommonDM
248ldm (LogicalDM
249emptyRow *33 (LEmptyRow
250)
251uid 111,0
252optionalChildren [
253*34 (RefLabelRowHdr
254)
255*35 (TitleRowHdr
256)
257*36 (FilterRowHdr
258)
259*37 (RefLabelColHdr
260tm "RefLabelColHdrMgr"
261)
262*38 (RowExpandColHdr
263tm "RowExpandColHdrMgr"
264)
265*39 (GroupColHdr
266tm "GroupColHdrMgr"
267)
268*40 (NameColHdr
269tm "GenericNameColHdrMgr"
270)
271*41 (TypeColHdr
272tm "GenericTypeColHdrMgr"
273)
274*42 (InitColHdr
275tm "GenericValueColHdrMgr"
276)
277*43 (PragmaColHdr
278tm "GenericPragmaColHdrMgr"
279)
280*44 (EolColHdr
281tm "GenericEolColHdrMgr"
282)
283*45 (LogGeneric
284generic (GiElement
285name "WIDTH"
286type "INTEGER"
287value "17"
288)
289uid 161,0
290)
291]
292)
293pdm (PhysicalDM
294displayShortBounds 1
295editShortBounds 1
296uid 123,0
297optionalChildren [
298*46 (Sheet
299sheetRow (SheetRow
300headerVa (MVa
301cellColor "49152,49152,49152"
302fontColor "0,0,0"
303font "Tahoma,10,0"
304)
305cellVa (MVa
306cellColor "65535,65535,65535"
307fontColor "0,0,0"
308font "Tahoma,10,0"
309)
310groupVa (MVa
311cellColor "39936,56832,65280"
312fontColor "0,0,0"
313font "Tahoma,10,0"
314)
315emptyMRCItem *47 (MRCItem
316litem &33
317pos 3
318dimension 20
319)
320uid 125,0
321optionalChildren [
322*48 (MRCItem
323litem &34
324pos 0
325dimension 20
326uid 126,0
327)
328*49 (MRCItem
329litem &35
330pos 1
331dimension 23
332uid 127,0
333)
334*50 (MRCItem
335litem &36
336pos 2
337hidden 1
338dimension 20
339uid 128,0
340)
341*51 (MRCItem
342litem &45
343pos 0
344dimension 20
345uid 162,0
346)
347]
348)
349sheetCol (SheetCol
350propVa (MVa
351cellColor "0,49152,49152"
352fontColor "0,0,0"
353font "Tahoma,10,0"
354textAngle 90
355)
356uid 129,0
357optionalChildren [
358*52 (MRCItem
359litem &37
360pos 0
361dimension 20
362uid 130,0
363)
364*53 (MRCItem
365litem &39
366pos 1
367dimension 50
368uid 131,0
369)
370*54 (MRCItem
371litem &40
372pos 2
373dimension 100
374uid 132,0
375)
376*55 (MRCItem
377litem &41
378pos 3
379dimension 100
380uid 133,0
381)
382*56 (MRCItem
383litem &42
384pos 4
385dimension 50
386uid 134,0
387)
388*57 (MRCItem
389litem &43
390pos 5
391dimension 50
392uid 135,0
393)
394*58 (MRCItem
395litem &44
396pos 6
397dimension 80
398uid 136,0
399)
400]
401)
402fixedCol 3
403fixedRow 2
404name "Ports"
405uid 124,0
406vaOverrides [
407]
408)
409]
410)
411uid 110,0
412type 1
413)
414VExpander (VariableExpander
415vvMap [
416(vvPair
417variable "HDLDir"
418value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hdl"
419)
420(vvPair
421variable "HDSDir"
422value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds"
423)
424(vvPair
425variable "SideDataDesignDir"
426value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\debouncer\\symbol.sb.info"
427)
428(vvPair
429variable "SideDataUserDir"
430value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\debouncer\\symbol.sb.user"
431)
432(vvPair
433variable "SourceDir"
434value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds"
435)
436(vvPair
437variable "appl"
438value "HDL Designer"
439)
440(vvPair
441variable "arch_name"
442value "symbol"
443)
444(vvPair
445variable "config"
446value "%(unit)_%(view)_config"
447)
448(vvPair
449variable "d"
450value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\debouncer"
451)
452(vvPair
453variable "d_logical"
454value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\debouncer"
455)
456(vvPair
457variable "date"
458value "22.06.2010"
459)
460(vvPair
461variable "day"
462value "Di"
463)
464(vvPair
465variable "day_long"
466value "Dienstag"
467)
468(vvPair
469variable "dd"
470value "22"
471)
472(vvPair
473variable "entity_name"
474value "debouncer"
475)
476(vvPair
477variable "ext"
478value "<TBD>"
479)
480(vvPair
481variable "f"
482value "symbol.sb"
483)
484(vvPair
485variable "f_logical"
486value "symbol.sb"
487)
488(vvPair
489variable "f_noext"
490value "symbol"
491)
492(vvPair
493variable "group"
494value "UNKNOWN"
495)
496(vvPair
497variable "host"
498value "TU-CC4900F8C7D2"
499)
500(vvPair
501variable "language"
502value "VHDL"
503)
504(vvPair
505variable "library"
506value "FACT_FAD_lib"
507)
508(vvPair
509variable "library_downstream_ISEPARInvoke"
510value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
511)
512(vvPair
513variable "library_downstream_ImpactInvoke"
514value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
515)
516(vvPair
517variable "library_downstream_ModelSimCompiler"
518value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
519)
520(vvPair
521variable "library_downstream_XSTDataPrep"
522value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
523)
524(vvPair
525variable "mm"
526value "06"
527)
528(vvPair
529variable "module_name"
530value "debouncer"
531)
532(vvPair
533variable "month"
534value "Jun"
535)
536(vvPair
537variable "month_long"
538value "Juni"
539)
540(vvPair
541variable "p"
542value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\debouncer\\symbol.sb"
543)
544(vvPair
545variable "p_logical"
546value "C:\\FPGA_projects\\FACT_FAD_22062010\\FACT_FAD_lib\\hds\\debouncer\\symbol.sb"
547)
548(vvPair
549variable "package_name"
550value "<Undefined Variable>"
551)
552(vvPair
553variable "project_name"
554value "FACT_FAD"
555)
556(vvPair
557variable "series"
558value "HDL Designer Series"
559)
560(vvPair
561variable "task_DesignCompilerPath"
562value "<TBD>"
563)
564(vvPair
565variable "task_LeonardoPath"
566value "<TBD>"
567)
568(vvPair
569variable "task_ModelSimPath"
570value "<TBD>"
571)
572(vvPair
573variable "task_NC-SimPath"
574value "<TBD>"
575)
576(vvPair
577variable "task_PrecisionRTLPath"
578value "<TBD>"
579)
580(vvPair
581variable "task_QuestaSimPath"
582value "<TBD>"
583)
584(vvPair
585variable "task_VCSPath"
586value "<TBD>"
587)
588(vvPair
589variable "this_ext"
590value "sb"
591)
592(vvPair
593variable "this_file"
594value "symbol"
595)
596(vvPair
597variable "this_file_logical"
598value "symbol"
599)
600(vvPair
601variable "time"
602value "10:22:23"
603)
604(vvPair
605variable "unit"
606value "debouncer"
607)
608(vvPair
609variable "user"
610value "dneise"
611)
612(vvPair
613variable "version"
614value "2009.2 (Build 10)"
615)
616(vvPair
617variable "view"
618value "symbol"
619)
620(vvPair
621variable "year"
622value "2010"
623)
624(vvPair
625variable "yy"
626value "10"
627)
628]
629)
630LanguageMgr "VhdlLangMgr"
631uid 80,0
632optionalChildren [
633*59 (SymbolBody
634uid 8,0
635optionalChildren [
636*60 (CptPort
637uid 48,0
638ps "OnEdgeStrategy"
639shape (Triangle
640uid 49,0
641ro 90
642va (VaSet
643vasetType 1
644fg "0,65535,0"
645)
646xt "24250,13625,25000,14375"
647)
648tg (CPTG
649uid 50,0
650ps "CptPortTextPlaceStrategy"
651stg "VerticalLayoutStrategy"
652f (Text
653uid 51,0
654va (VaSet
655)
656xt "26000,13500,27300,14500"
657st "clk"
658blo "26000,14300"
659tm "CptPortNameMgr"
660)
661)
662dt (MLText
663uid 52,0
664va (VaSet
665font "Courier New,8,0"
666)
667xt "2000,8000,20000,8800"
668st "clk : IN STD_LOGIC ;
669"
670)
671thePort (LogicalPort
672decl (Decl
673n "clk"
674t "STD_LOGIC"
675preAdd 0
676posAdd 0
677o 1
678suid 1,0
679)
680)
681)
682*61 (CptPort
683uid 53,0
684ps "OnEdgeStrategy"
685shape (Triangle
686uid 54,0
687ro 90
688va (VaSet
689vasetType 1
690fg "0,65535,0"
691)
692xt "24250,14625,25000,15375"
693)
694tg (CPTG
695uid 55,0
696ps "CptPortTextPlaceStrategy"
697stg "VerticalLayoutStrategy"
698f (Text
699uid 56,0
700va (VaSet
701)
702xt "26000,14500,30200,15500"
703st "trigger_in"
704blo "26000,15300"
705tm "CptPortNameMgr"
706)
707)
708dt (MLText
709uid 57,0
710va (VaSet
711font "Courier New,8,0"
712)
713xt "2000,8800,20000,10400"
714st "-- rst : in STD_LOGIC;
715trigger_in : IN STD_LOGIC ;
716"
717)
718thePort (LogicalPort
719decl (Decl
720n "trigger_in"
721t "STD_LOGIC"
722prec "-- rst : in STD_LOGIC;"
723preAdd 0
724posAdd 0
725o 2
726suid 2,0
727)
728)
729)
730*62 (CptPort
731uid 58,0
732ps "OnEdgeStrategy"
733shape (Triangle
734uid 59,0
735ro 90
736va (VaSet
737vasetType 1
738fg "0,65535,0"
739)
740xt "37000,14625,37750,15375"
741)
742tg (CPTG
743uid 60,0
744ps "CptPortTextPlaceStrategy"
745stg "RightVerticalLayoutStrategy"
746f (Text
747uid 61,0
748va (VaSet
749)
750xt "31400,14500,36000,15500"
751st "trigger_out"
752ju 2
753blo "36000,15300"
754tm "CptPortNameMgr"
755)
756)
757dt (MLText
758uid 62,0
759va (VaSet
760font "Courier New,8,0"
761)
762xt "2000,10400,22500,11200"
763st "trigger_out : OUT STD_LOGIC := '0'
764"
765)
766thePort (LogicalPort
767m 1
768decl (Decl
769n "trigger_out"
770t "STD_LOGIC"
771preAdd 0
772posAdd 0
773o 3
774suid 3,0
775i "'0'"
776)
777)
778)
779*63 (CommentText
780uid 68,0
781ps "EdgeToEdgeStrategy"
782shape (Rectangle
783uid 69,0
784layer 0
785va (VaSet
786vasetType 1
787fg "65280,65280,46080"
788lineColor "0,0,32768"
789)
790xt "24500,3000,39500,7400"
791)
792oxt "24500,3000,39500,7000"
793text (MLText
794uid 70,0
795va (VaSet
796fg "0,0,32768"
797)
798xt "24700,3200,38600,7200"
799st "
800-- -- Uncomment the following library declaration if instantiating
801-- -- any Xilinx primitives in this code.
802-- library UNISIM;
803-- use UNISIM.VComponents.all;
804--
805"
806tm "CommentText"
807wrapOption 3
808visibleHeight 4400
809visibleWidth 15000
810)
811included 1
812excludeCommentLeader 1
813)
814]
815shape (Rectangle
816uid 160,0
817va (VaSet
818vasetType 1
819fg "0,65535,0"
820lineColor "0,32896,0"
821lineWidth 2
822)
823xt "25000,13000,37000,18000"
824)
825biTextGroup (BiTextGroup
826uid 10,0
827ps "CenterOffsetStrategy"
828stg "VerticalLayoutStrategy"
829first (Text
830uid 11,0
831va (VaSet
832font "Arial,8,1"
833)
834xt "25200,18000,31400,19000"
835st "FACT_FAD_lib"
836blo "25200,18800"
837)
838second (Text
839uid 12,0
840va (VaSet
841font "Arial,8,1"
842)
843xt "25200,19000,29600,20000"
844st "debouncer"
845blo "25200,19800"
846)
847)
848gi *64 (GenericInterface
849uid 13,0
850ps "CenterOffsetStrategy"
851matrix (Matrix
852uid 14,0
853text (MLText
854uid 15,0
855va (VaSet
856font "Courier New,8,0"
857)
858xt "24500,4600,36000,7000"
859st "Generic Declarations
860
861WIDTH INTEGER 17
862"
863)
864header "Generic Declarations"
865showHdrWhenContentsEmpty 1
866)
867elements [
868(GiElement
869name "WIDTH"
870type "INTEGER"
871value "17"
872)
873]
874)
875portInstanceVisAsIs 1
876portInstanceVis (PortSigDisplay
877)
878portVis (PortSigDisplay
879)
880)
881*65 (Grouping
882uid 16,0
883optionalChildren [
884*66 (CommentText
885uid 18,0
886shape (Rectangle
887uid 19,0
888sl 0
889va (VaSet
890vasetType 1
891fg "65280,65280,46080"
892)
893xt "29000,26000,46000,27000"
894)
895oxt "18000,70000,35000,71000"
896text (MLText
897uid 20,0
898va (VaSet
899fg "0,0,32768"
900bg "0,0,32768"
901)
902xt "29200,26000,38900,27000"
903st "
904by %user on %dd %month %year
905"
906tm "CommentText"
907wrapOption 3
908visibleHeight 1000
909visibleWidth 17000
910)
911position 1
912ignorePrefs 1
913titleBlock 1
914)
915*67 (CommentText
916uid 21,0
917shape (Rectangle
918uid 22,0
919sl 0
920va (VaSet
921vasetType 1
922fg "65280,65280,46080"
923)
924xt "46000,22000,50000,23000"
925)
926oxt "35000,66000,39000,67000"
927text (MLText
928uid 23,0
929va (VaSet
930fg "0,0,32768"
931bg "0,0,32768"
932)
933xt "46200,22000,49200,23000"
934st "
935Project:
936"
937tm "CommentText"
938wrapOption 3
939visibleHeight 1000
940visibleWidth 4000
941)
942position 1
943ignorePrefs 1
944titleBlock 1
945)
946*68 (CommentText
947uid 24,0
948shape (Rectangle
949uid 25,0
950sl 0
951va (VaSet
952vasetType 1
953fg "65280,65280,46080"
954)
955xt "29000,24000,46000,25000"
956)
957oxt "18000,68000,35000,69000"
958text (MLText
959uid 26,0
960va (VaSet
961fg "0,0,32768"
962bg "0,0,32768"
963)
964xt "29200,24000,39200,25000"
965st "
966<enter diagram title here>
967"
968tm "CommentText"
969wrapOption 3
970visibleHeight 1000
971visibleWidth 17000
972)
973position 1
974ignorePrefs 1
975titleBlock 1
976)
977*69 (CommentText
978uid 27,0
979shape (Rectangle
980uid 28,0
981sl 0
982va (VaSet
983vasetType 1
984fg "65280,65280,46080"
985)
986xt "25000,24000,29000,25000"
987)
988oxt "14000,68000,18000,69000"
989text (MLText
990uid 29,0
991va (VaSet
992fg "0,0,32768"
993bg "0,0,32768"
994)
995xt "25200,24000,27300,25000"
996st "
997Title:
998"
999tm "CommentText"
1000wrapOption 3
1001visibleHeight 1000
1002visibleWidth 4000
1003)
1004position 1
1005ignorePrefs 1
1006titleBlock 1
1007)
1008*70 (CommentText
1009uid 30,0
1010shape (Rectangle
1011uid 31,0
1012sl 0
1013va (VaSet
1014vasetType 1
1015fg "65280,65280,46080"
1016)
1017xt "46000,23000,66000,27000"
1018)
1019oxt "35000,67000,55000,71000"
1020text (MLText
1021uid 32,0
1022va (VaSet
1023fg "0,0,32768"
1024bg "0,0,32768"
1025)
1026xt "46200,23200,55400,24200"
1027st "
1028<enter comments here>
1029"
1030tm "CommentText"
1031wrapOption 3
1032visibleHeight 4000
1033visibleWidth 20000
1034)
1035ignorePrefs 1
1036titleBlock 1
1037)
1038*71 (CommentText
1039uid 33,0
1040shape (Rectangle
1041uid 34,0
1042sl 0
1043va (VaSet
1044vasetType 1
1045fg "65280,65280,46080"
1046)
1047xt "50000,22000,66000,23000"
1048)
1049oxt "39000,66000,55000,67000"
1050text (MLText
1051uid 35,0
1052va (VaSet
1053fg "0,0,32768"
1054bg "0,0,32768"
1055)
1056xt "50200,22000,54700,23000"
1057st "
1058%project_name
1059"
1060tm "CommentText"
1061wrapOption 3
1062visibleHeight 1000
1063visibleWidth 16000
1064)
1065position 1
1066ignorePrefs 1
1067titleBlock 1
1068)
1069*72 (CommentText
1070uid 36,0
1071shape (Rectangle
1072uid 37,0
1073sl 0
1074va (VaSet
1075vasetType 1
1076fg "65280,65280,46080"
1077)
1078xt "25000,22000,46000,24000"
1079)
1080oxt "14000,66000,35000,68000"
1081text (MLText
1082uid 38,0
1083va (VaSet
1084fg "32768,0,0"
1085)
1086xt "32700,22000,38300,24000"
1087st "
1088TU Dortmund
1089Physik / EE
1090"
1091ju 0
1092tm "CommentText"
1093wrapOption 3
1094visibleHeight 2000
1095visibleWidth 21000
1096)
1097position 1
1098ignorePrefs 1
1099titleBlock 1
1100)
1101*73 (CommentText
1102uid 39,0
1103shape (Rectangle
1104uid 40,0
1105sl 0
1106va (VaSet
1107vasetType 1
1108fg "65280,65280,46080"
1109)
1110xt "25000,25000,29000,26000"
1111)
1112oxt "14000,69000,18000,70000"
1113text (MLText
1114uid 41,0
1115va (VaSet
1116fg "0,0,32768"
1117bg "0,0,32768"
1118)
1119xt "25200,25000,27300,26000"
1120st "
1121Path:
1122"
1123tm "CommentText"
1124wrapOption 3
1125visibleHeight 1000
1126visibleWidth 4000
1127)
1128position 1
1129ignorePrefs 1
1130titleBlock 1
1131)
1132*74 (CommentText
1133uid 42,0
1134shape (Rectangle
1135uid 43,0
1136sl 0
1137va (VaSet
1138vasetType 1
1139fg "65280,65280,46080"
1140)
1141xt "25000,26000,29000,27000"
1142)
1143oxt "14000,70000,18000,71000"
1144text (MLText
1145uid 44,0
1146va (VaSet
1147fg "0,0,32768"
1148bg "0,0,32768"
1149)
1150xt "25200,26000,27900,27000"
1151st "
1152Edited:
1153"
1154tm "CommentText"
1155wrapOption 3
1156visibleHeight 1000
1157visibleWidth 4000
1158)
1159position 1
1160ignorePrefs 1
1161titleBlock 1
1162)
1163*75 (CommentText
1164uid 45,0
1165shape (Rectangle
1166uid 46,0
1167sl 0
1168va (VaSet
1169vasetType 1
1170fg "65280,65280,46080"
1171)
1172xt "29000,25000,46000,26000"
1173)
1174oxt "18000,69000,35000,70000"
1175text (MLText
1176uid 47,0
1177va (VaSet
1178fg "0,0,32768"
1179bg "0,0,32768"
1180)
1181xt "29200,25000,41900,26000"
1182st "
1183%library/%unit/%view
1184"
1185tm "CommentText"
1186wrapOption 3
1187visibleHeight 1000
1188visibleWidth 17000
1189)
1190position 1
1191ignorePrefs 1
1192titleBlock 1
1193)
1194]
1195shape (GroupingShape
1196uid 17,0
1197va (VaSet
1198vasetType 1
1199fg "65535,65535,65535"
1200lineStyle 2
1201lineWidth 2
1202)
1203xt "25000,22000,66000,27000"
1204)
1205oxt "14000,66000,55000,71000"
1206)
1207*76 (CommentText
1208uid 65,0
1209shape (Rectangle
1210uid 66,0
1211layer 0
1212va (VaSet
1213vasetType 1
1214fg "65280,65280,46080"
1215lineColor "0,0,32768"
1216)
1217xt "0,-6000,33000,0"
1218)
1219text (MLText
1220uid 67,0
1221va (VaSet
1222fg "0,0,32768"
1223font "Arial,10,0"
1224)
1225xt "200,-5800,32200,-600"
1226st "
1227Created using Mentor Graphics HDL2Graphics(TM) Technology
1228on - 08:37:05 24.03.2010
1229from - D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_test_devices_lib\\hdl\\debouncer.vhd
1230
1231"
1232tm "CommentText"
1233wrapOption 3
1234visibleHeight 5600
1235visibleWidth 32600
1236)
1237)
1238]
1239bg "65535,65535,65535"
1240grid (Grid
1241origin "0,0"
1242isVisible 1
1243isActive 1
1244xSpacing 1000
1245xySpacing 1000
1246xShown 1
1247yShown 1
1248color "26368,26368,26368"
1249)
1250packageList *77 (PackageList
1251uid 77,0
1252stg "VerticalLayoutStrategy"
1253textVec [
1254*78 (Text
1255uid 78,0
1256va (VaSet
1257font "Arial,8,1"
1258)
1259xt "0,1000,5400,2000"
1260st "Package List"
1261blo "0,1800"
1262)
1263*79 (MLText
1264uid 79,0
1265va (VaSet
1266)
1267xt "0,2000,13000,5000"
1268st "LIBRARY IEEE;
1269USE IEEE.STD_LOGIC_1164.ALL;
1270USE IEEE.NUMERIC_STD.ALL;"
1271tm "PackageList"
1272)
1273]
1274)
1275windowSize "0,0,1015,690"
1276viewArea "0,0,0,0"
1277cachedDiagramExtent "0,0,0,0"
1278pageBreakOrigin "0,0"
1279defaultCommentText (CommentText
1280shape (Rectangle
1281layer 0
1282va (VaSet
1283vasetType 1
1284fg "65280,65280,46080"
1285lineColor "0,0,32768"
1286)
1287xt "0,0,15000,5000"
1288)
1289text (MLText
1290va (VaSet
1291fg "0,0,32768"
1292)
1293xt "200,200,2000,1200"
1294st "
1295Text
1296"
1297tm "CommentText"
1298wrapOption 3
1299visibleHeight 4600
1300visibleWidth 14600
1301)
1302)
1303defaultPanel (Panel
1304shape (RectFrame
1305va (VaSet
1306vasetType 1
1307fg "65535,65535,65535"
1308lineColor "32768,0,0"
1309lineWidth 3
1310)
1311xt "0,0,20000,20000"
1312)
1313title (TextAssociate
1314ps "TopLeftStrategy"
1315text (Text
1316va (VaSet
1317font "Arial,8,1"
1318)
1319xt "1000,1000,3800,2000"
1320st "Panel0"
1321blo "1000,1800"
1322tm "PanelText"
1323)
1324)
1325)
1326parentGraphicsRef (HdmGraphicsRef
1327libraryName ""
1328entityName ""
1329viewName ""
1330)
1331defaultSymbolBody (SymbolBody
1332shape (Rectangle
1333va (VaSet
1334vasetType 1
1335fg "0,65535,0"
1336lineColor "0,32896,0"
1337lineWidth 2
1338)
1339xt "15000,6000,33000,26000"
1340)
1341biTextGroup (BiTextGroup
1342ps "CenterOffsetStrategy"
1343stg "VerticalLayoutStrategy"
1344first (Text
1345va (VaSet
1346font "Arial,8,1"
1347)
1348xt "22200,15000,25800,16000"
1349st "<library>"
1350blo "22200,15800"
1351)
1352second (Text
1353va (VaSet
1354font "Arial,8,1"
1355)
1356xt "22200,16000,24800,17000"
1357st "<cell>"
1358blo "22200,16800"
1359)
1360)
1361gi *80 (GenericInterface
1362ps "CenterOffsetStrategy"
1363matrix (Matrix
1364text (MLText
1365va (VaSet
1366font "Courier New,8,0"
1367)
1368xt "0,12000,11500,12800"
1369st "Generic Declarations"
1370)
1371header "Generic Declarations"
1372showHdrWhenContentsEmpty 1
1373)
1374elements [
1375]
1376)
1377portInstanceVisAsIs 1
1378portInstanceVis (PortSigDisplay
1379sIVOD 1
1380)
1381portVis (PortSigDisplay
1382sIVOD 1
1383)
1384)
1385defaultCptPort (CptPort
1386ps "OnEdgeStrategy"
1387shape (Triangle
1388ro 90
1389va (VaSet
1390vasetType 1
1391fg "0,65535,0"
1392)
1393xt "0,0,750,750"
1394)
1395tg (CPTG
1396ps "CptPortTextPlaceStrategy"
1397stg "VerticalLayoutStrategy"
1398f (Text
1399va (VaSet
1400)
1401xt "0,750,1400,1750"
1402st "In0"
1403blo "0,1550"
1404tm "CptPortNameMgr"
1405)
1406)
1407dt (MLText
1408va (VaSet
1409font "Courier New,8,0"
1410)
1411)
1412thePort (LogicalPort
1413decl (Decl
1414n "In0"
1415t "std_logic_vector"
1416b "(15 DOWNTO 0)"
1417o 0
1418)
1419)
1420)
1421defaultCptPortBuffer (CptPort
1422ps "OnEdgeStrategy"
1423shape (Diamond
1424va (VaSet
1425vasetType 1
1426fg "65535,65535,65535"
1427bg "0,0,0"
1428)
1429xt "0,0,750,750"
1430)
1431tg (CPTG
1432ps "CptPortTextPlaceStrategy"
1433stg "VerticalLayoutStrategy"
1434f (Text
1435va (VaSet
1436)
1437xt "0,750,2800,1750"
1438st "Buffer0"
1439blo "0,1550"
1440tm "CptPortNameMgr"
1441)
1442)
1443dt (MLText
1444va (VaSet
1445font "Courier New,8,0"
1446)
1447)
1448thePort (LogicalPort
1449m 3
1450decl (Decl
1451n "Buffer0"
1452t "std_logic_vector"
1453b "(15 DOWNTO 0)"
1454o 0
1455)
1456)
1457)
1458DeclarativeBlock *81 (SymDeclBlock
1459uid 1,0
1460stg "SymDeclLayoutStrategy"
1461declLabel (Text
1462uid 2,0
1463va (VaSet
1464font "Arial,8,1"
1465)
1466xt "0,6000,5400,7000"
1467st "Declarations"
1468blo "0,6800"
1469)
1470portLabel (Text
1471uid 3,0
1472va (VaSet
1473font "Arial,8,1"
1474)
1475xt "0,7000,2700,8000"
1476st "Ports:"
1477blo "0,7800"
1478)
1479externalLabel (Text
1480uid 4,0
1481va (VaSet
1482font "Arial,8,1"
1483)
1484xt "0,11200,2400,12200"
1485st "User:"
1486blo "0,12000"
1487)
1488internalLabel (Text
1489uid 6,0
1490va (VaSet
1491isHidden 1
1492font "Arial,8,1"
1493)
1494xt "0,6000,5800,7000"
1495st "Internal User:"
1496blo "0,6800"
1497)
1498externalText (MLText
1499uid 5,0
1500va (VaSet
1501font "Courier New,8,0"
1502)
1503xt "2000,12200,2000,12200"
1504tm "SyDeclarativeTextMgr"
1505)
1506internalText (MLText
1507uid 7,0
1508va (VaSet
1509isHidden 1
1510font "Courier New,8,0"
1511)
1512xt "0,6000,0,6000"
1513tm "SyDeclarativeTextMgr"
1514)
1515)
1516lastUid 162,0
1517activeModelName "Symbol:CDM"
1518)
Note: See TracBrowser for help on using the repository browser.