DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ ] instances [ (Instance name "DNA_PORT_inst" duLibraryName "unisim" duName "DNA_PORT" elements [ (GiElement name "SIM_DNA_VALUE" type "bit_vector" value "DNA_FOR_SIM" ) ] mwi 0 uid 97,0 ) ] embeddedInstances [ (EmbeddedInstance name "SM" number "1" view 1 machine (Machine name "FTU_dna_gen_State" children [ (Machine name "FTU_dna_gen_State" children [ ] stateSignalName "FTU_dna_gen_State" ) ] ) ) (EmbeddedInstance name "eb1" number "2" ) ] ) version "29.1" appVersion "2009.2 (Build 10)" model (BlockDiag VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl" ) (vvPair variable "HDSDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen\\@behavioral.bd.info" ) (vvPair variable "SideDataUserDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen\\@behavioral.bd.user" ) (vvPair variable "SourceDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "Behavioral" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen" ) (vvPair variable "d_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FTU_dna_gen" ) (vvPair variable "date" value "15.02.2011" ) (vvPair variable "day" value "Di" ) (vvPair variable "day_long" value "Dienstag" ) (vvPair variable "dd" value "15" ) (vvPair variable "entity_name" value "FTU_dna_gen" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "@behavioral.bd" ) (vvPair variable "f_logical" value "Behavioral.bd" ) (vvPair variable "f_noext" value "@behavioral" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "E5B-LABOR6" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_lib" ) (vvPair variable "library_downstream_HdsLintPlugin" value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "mm" value "02" ) (vvPair variable "module_name" value "FTU_dna_gen" ) (vvPair variable "month" value "Feb" ) (vvPair variable "month_long" value "Februar" ) (vvPair variable "p" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen\\@behavioral.bd" ) (vvPair variable "p_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FTU_dna_gen\\Behavioral.bd" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "C:\\modeltech_6.6a\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "bd" ) (vvPair variable "this_file" value "@behavioral" ) (vvPair variable "this_file_logical" value "Behavioral" ) (vvPair variable "time" value "09:15:09" ) (vvPair variable "unit" value "FTU_dna_gen" ) (vvPair variable "user" value "dneise" ) (vvPair variable "version" value "2009.2 (Build 10)" ) (vvPair variable "view" value "Behavioral" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" optionalChildren [ *1 (Net uid 9,0 decl (Decl n "dout_sig" t "STD_LOGIC" o 5 suid 1,0 i "'0'" ) declText (MLText uid 10,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,32000,45000,32800" st "SIGNAL dout_sig : STD_LOGIC := '0' " ) ) *2 (Net uid 11,0 decl (Decl n "read_sig" t "STD_LOGIC" o 6 suid 2,0 i "'0'" ) declText (MLText uid 12,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,32800,45000,33600" st "SIGNAL read_sig : STD_LOGIC := '0' " ) ) *3 (Net uid 13,0 decl (Decl n "shift_sig" t "STD_LOGIC" o 7 suid 3,0 i "'0'" ) declText (MLText uid 14,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,33600,45000,34400" st "SIGNAL shift_sig : STD_LOGIC := '0' " ) ) *4 (Net uid 15,0 decl (Decl n "dna_sig" t "STD_LOGIC_VECTOR" b "(63 downto 0)" o 8 suid 4,0 i "(others => '0')" ) declText (MLText uid 16,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,34400,51000,35200" st "SIGNAL dna_sig : STD_LOGIC_VECTOR(63 downto 0) := (others => '0') " ) ) *5 (Net uid 19,0 decl (Decl n "shift_cntr" t "INTEGER" b "RANGE 0 to 64" o 9 suid 6,0 i "0" ) declText (MLText uid 20,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,35200,44000,36000" st "SIGNAL shift_cntr : INTEGER RANGE 0 to 64 := 0 " ) ) *6 (PortIoIn uid 21,0 shape (CompositeShape uid 22,0 va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon uid 23,0 sl 0 ro 270 xt "-5000,17625,-3500,18375" ) (Line uid 24,0 sl 0 ro 270 xt "-3500,18000,-3000,18000" pts [ "-3500,18000" "-3000,18000" ] ) ] ) stc 0 sf 1 tg (WTG uid 25,0 ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text uid 26,0 va (VaSet ) xt "-7300,17500,-6000,18500" st "clk" ju 2 blo "-6000,18300" tm "WireNameMgr" ) ) ) *7 (Net uid 27,0 decl (Decl n "clk" t "STD_LOGIC" preAdd 0 posAdd 0 o 1 suid 7,0 ) declText (MLText uid 28,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,26000,27500,26800" st "clk : STD_LOGIC " ) ) *8 (PortIoIn uid 35,0 shape (CompositeShape uid 36,0 va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon uid 37,0 sl 0 ro 270 xt "4000,-1375,5500,-625" ) (Line uid 38,0 sl 0 ro 270 xt "5500,-1000,6000,-1000" pts [ "5500,-1000" "6000,-1000" ] ) ] ) stc 0 sf 1 tg (WTG uid 39,0 ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text uid 40,0 va (VaSet ) xt "1100,-1500,3000,-500" st "start" ju 2 blo "3000,-700" tm "WireNameMgr" ) ) ) *9 (Net uid 41,0 decl (Decl n "start" t "STD_LOGIC" preAdd 0 posAdd 0 o 2 suid 8,0 ) declText (MLText uid 42,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,26800,27500,27600" st "start : STD_LOGIC " ) ) *10 (PortIoOut uid 49,0 shape (CompositeShape uid 50,0 va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon uid 51,0 sl 0 ro 270 xt "28500,10625,30000,11375" ) (Line uid 52,0 sl 0 ro 270 xt "28000,11000,28500,11000" pts [ "28000,11000" "28500,11000" ] ) ] ) stc 0 sf 1 tg (WTG uid 53,0 ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text uid 54,0 va (VaSet ) xt "31000,10500,32600,11500" st "dna" blo "31000,11300" tm "WireNameMgr" ) ) ) *11 (Net uid 55,0 decl (Decl n "dna" t "STD_LOGIC_VECTOR" b "(63 downto 0)" preAdd 0 posAdd 0 o 3 suid 9,0 i "(others => '0')" ) declText (MLText uid 56,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,27600,47500,28400" st "dna : STD_LOGIC_VECTOR(63 downto 0) := (others => '0') " ) ) *12 (PortIoOut uid 63,0 shape (CompositeShape uid 64,0 va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon uid 65,0 sl 0 ro 270 xt "11500,-1375,13000,-625" ) (Line uid 66,0 sl 0 ro 270 xt "11000,-1000,11500,-1000" pts [ "11000,-1000" "11500,-1000" ] ) ] ) stc 0 sf 1 tg (WTG uid 67,0 ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text uid 68,0 va (VaSet ) xt "14000,-1500,16200,-500" st "ready" blo "14000,-700" tm "WireNameMgr" ) ) ) *13 (Net uid 69,0 decl (Decl n "ready" t "STD_LOGIC" preAdd 0 posAdd 0 o 4 suid 10,0 i "'0'" ) declText (MLText uid 70,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,28400,41500,29200" st "ready : STD_LOGIC := '0' " ) ) *14 (SaComponent uid 97,0 optionalChildren [ *15 (CptPort uid 107,0 ps "OnEdgeStrategy" shape (Triangle uid 108,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "29000,2625,29750,3375" ) tg (CPTG uid 109,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 110,0 va (VaSet ) xt "25300,2500,28000,3500" st "DOUT" ju 2 blo "28000,3300" ) ) thePort (LogicalPort m 1 decl (Decl n "DOUT" t "std_ulogic" preAdd 0 posAdd 0 o 1 ) ) ) *16 (CptPort uid 111,0 ps "OnEdgeStrategy" shape (Triangle uid 112,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "21250,1625,22000,2375" ) tg (CPTG uid 113,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 114,0 va (VaSet ) xt "23000,1500,24900,2500" st "CLK" blo "23000,2300" ) ) thePort (LogicalPort decl (Decl n "CLK" t "std_ulogic" preAdd 0 posAdd 0 o 2 ) ) ) *17 (CptPort uid 115,0 ps "OnEdgeStrategy" shape (Triangle uid 116,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "21250,2625,22000,3375" ) tg (CPTG uid 117,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 118,0 va (VaSet ) xt "23000,2500,24800,3500" st "DIN" blo "23000,3300" ) ) thePort (LogicalPort decl (Decl n "DIN" t "std_ulogic" preAdd 0 posAdd 0 o 3 ) ) ) *18 (CptPort uid 119,0 ps "OnEdgeStrategy" shape (Triangle uid 120,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "21250,625,22000,1375" ) tg (CPTG uid 121,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 122,0 va (VaSet ) xt "23000,500,25600,1500" st "READ" blo "23000,1300" ) ) thePort (LogicalPort decl (Decl n "READ" t "std_ulogic" preAdd 0 posAdd 0 o 4 ) ) ) *19 (CptPort uid 123,0 ps "OnEdgeStrategy" shape (Triangle uid 124,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "21250,-375,22000,375" ) tg (CPTG uid 125,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 126,0 va (VaSet ) xt "23000,-500,25700,500" st "SHIFT" blo "23000,300" ) ) thePort (LogicalPort decl (Decl n "SHIFT" t "std_ulogic" preAdd 0 posAdd 0 o 5 ) ) ) *20 (PortMapFrame uid 127,0 ps "PortMapFrameStrategy" shape (RectFrame uid 128,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "20000,-3000,31000,6000" ) portMapText (BiTextGroup uid 129,0 ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" first (MLText uid 130,0 va (VaSet isHidden 1 ) xt "31000,6000,38600,10000" st "CLK => clk, READ => read_sig, SHIFT => shift_sig, DOUT => dout_sig," ) second (MLText uid 131,0 va (VaSet isHidden 1 ) xt "31000,10000,35400,11000" st "DIN => '0'" tm "PortMapTextMgr" ) ) ) ] shape (Rectangle uid 98,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "22000,-1000,29000,4000" ) ttg (MlTextGroup uid 99,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *21 (Text uid 100,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "22050,3000,24950,4000" st "unisim" blo "22050,3800" tm "BdLibraryNameMgr" ) *22 (Text uid 101,0 va (VaSet font "Arial,8,1" ) xt "22050,4000,26750,5000" st "DNA_PORT" blo "22050,4800" tm "CptNameMgr" ) *23 (Text uid 102,0 va (VaSet font "Arial,8,1" ) xt "22050,5000,28950,6000" st "DNA_PORT_inst" blo "22050,5800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 103,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 104,0 text (MLText uid 105,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "21150,-4300,46650,-3500" st "SIM_DNA_VALUE = DNA_FOR_SIM ( bit_vector ) " ) header "" ) elements [ (GiElement name "SIM_DNA_VALUE" type "bit_vector" value "DNA_FOR_SIM" ) ] ) viewicon (ZoomableIcon uid 106,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "22250,2250,23750,3750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) viewiconposition 0 portVis (PortSigDisplay sTC 0 ) archFileType "UNKNOWN" ) *24 (HdlText uid 156,0 optionalChildren [ *25 (SmEmbeddedModel version "23.1" model (StateMachine uid 163,0 optionalChildren [ *26 (ConcurrentSM uid 248,0 topDiagram (StateDiagram LanguageMgr "None" uid 249,0 optionalChildren [ *27 (State uid 285,0 shape (Circle uid 286,0 va (VaSet vasetType 1 fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) xt "27477,4000,33477,10000" radius 3000 ) name (Text uid 287,0 va (VaSet font "Arial,10,1" ) xt "29027,6400,31927,7600" st "IDLE" ju 0 blo "30477,7400" tm "ONodeName" ) wait (TextAssociate uid 288,0 ps "CenterOffsetStrategy" text (Text uid 289,0 va (VaSet isHidden 1 fg "0,0,32768" font "arial,10,1" ) xt "30577,7300,34177,8500" st "wait 2" blo "30577,8300" tm "SmWaitText" ) ) encoding (Text uid 290,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "30477,8000,30477,8000" blo "30477,8000" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock uid 293,0 ps "CenterOffsetStrategy" shape (Rectangle uid 294,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "34377,10100,34577,10300" ) autoResize 1 tline (Line uid 295,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "30477,7000,30477,7000" pts [ "30477,7000" "30477,7000" ] ) bline (Line uid 296,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "30477,7000,30477,7000" pts [ "30477,7000" "30477,7000" ] ) ttri (Triangle uid 297,0 ro 90 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "30027,6825,30377,7175" ) btri (Triangle uid 298,0 ro 270 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "30027,6825,30377,7175" ) entryActions (MLText uid 299,0 va (VaSet ) xt "30477,7000,30477,7000" tm "Actions" ) inActions (MLText uid 300,0 va (VaSet ) xt "30477,7000,36677,10000" st "ready <= '0'; read_sig <= '0'; shift_sig <= '0';" tm "Actions" ) exitActions (MLText uid 301,0 va (VaSet ) xt "30477,7000,30477,7000" tm "Actions" ) ) caseExpr (TextAssociate uid 291,0 ps "CenterOffsetStrategy" text (MLText uid 292,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "28877,8000,33877,9000" st "CASE: expr" tm "SmCaseExpr" ) ) ) *28 (State uid 302,0 shape (Circle uid 303,0 va (VaSet vasetType 1 fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) xt "52237,28740,59669,36172" radius 3716 ) name (Text uid 304,0 va (VaSet font "Arial,10,1" ) xt "52903,31856,59003,33056" st "READ_DNA" ju 0 blo "55953,32856" tm "ONodeName" ) wait (TextAssociate uid 305,0 ps "CenterOffsetStrategy" text (Text uid 306,0 va (VaSet isHidden 1 fg "0,0,32768" font "arial,10,1" ) xt "56053,32756,59653,33956" st "wait 2" blo "56053,33756" tm "SmWaitText" ) ) encoding (Text uid 307,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "55953,33456,55953,33456" blo "55953,33456" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock uid 310,0 ps "CenterOffsetStrategy" shape (Rectangle uid 311,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "59853,35556,60053,35756" ) autoResize 1 tline (Line uid 312,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "55953,32456,55953,32456" pts [ "55953,32456" "55953,32456" ] ) bline (Line uid 313,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "55953,32456,55953,32456" pts [ "55953,32456" "55953,32456" ] ) ttri (Triangle uid 314,0 ro 90 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "55503,32281,55853,32631" ) btri (Triangle uid 315,0 ro 270 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "55503,32281,55853,32631" ) entryActions (MLText uid 316,0 va (VaSet ) xt "55953,32456,55953,32456" tm "Actions" ) inActions (MLText uid 317,0 va (VaSet ) xt "55953,32456,62153,35456" st "ready <= '0'; read_sig <= '1'; shift_sig <= '0';" tm "Actions" ) exitActions (MLText uid 318,0 va (VaSet ) xt "55953,32456,55953,32456" tm "Actions" ) ) caseExpr (TextAssociate uid 308,0 ps "CenterOffsetStrategy" text (MLText uid 309,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "54353,33456,59353,34456" st "CASE: expr" tm "SmCaseExpr" ) ) impLoop 0 ) *29 (State uid 319,0 shape (Circle uid 320,0 va (VaSet vasetType 1 fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) xt "26721,54237,34153,61669" radius 3716 ) name (Text uid 321,0 va (VaSet font "Arial,10,1" ) xt "27387,57353,33487,58553" st "SHIFT_DNA" ju 0 blo "30437,58353" tm "ONodeName" ) wait (TextAssociate uid 322,0 ps "CenterOffsetStrategy" text (Text uid 323,0 va (VaSet isHidden 1 fg "0,0,32768" font "arial,10,1" ) xt "30537,58253,34137,59453" st "wait 2" blo "30537,59253" tm "SmWaitText" ) ) encoding (Text uid 324,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "30437,58953,30437,58953" blo "30437,58953" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock uid 327,0 ps "CenterOffsetStrategy" shape (Rectangle uid 328,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "34337,61053,34537,61253" ) autoResize 1 tline (Line uid 329,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "30437,57953,30437,57953" pts [ "30437,57953" "30437,57953" ] ) bline (Line uid 330,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "30437,57953,30437,57953" pts [ "30437,57953" "30437,57953" ] ) ttri (Triangle uid 331,0 ro 90 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "29987,57778,30337,58128" ) btri (Triangle uid 332,0 ro 270 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "29987,57778,30337,58128" ) entryActions (MLText uid 333,0 va (VaSet ) xt "30437,57953,30437,57953" tm "Actions" ) inActions (MLText uid 334,0 va (VaSet ) xt "30437,57953,40737,60953" st "shift_cntr <= shift_cntr + 1; ready <= '0'; read_sig <= '0';" tm "Actions" ) exitActions (MLText uid 335,0 va (VaSet ) xt "30437,57953,30437,57953" tm "Actions" ) ) caseExpr (TextAssociate uid 325,0 ps "CenterOffsetStrategy" text (MLText uid 326,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "28837,58953,33837,59953" st "CASE: expr" tm "SmCaseExpr" ) ) impLoop 0 ) *30 (State uid 336,0 shape (Circle uid 337,0 va (VaSet vasetType 1 fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) xt "949,28404,9053,36508" radius 4052 ) name (Text uid 338,0 va (VaSet font "Arial,10,1" ) xt "1601,31856,8401,33056" st "DNA_READY" ju 0 blo "5001,32856" tm "ONodeName" ) wait (TextAssociate uid 339,0 ps "CenterOffsetStrategy" text (Text uid 340,0 va (VaSet isHidden 1 fg "0,0,32768" font "arial,10,1" ) xt "5101,32756,8701,33956" st "wait 2" blo "5101,33756" tm "SmWaitText" ) ) encoding (Text uid 341,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "5001,33456,5001,33456" blo "5001,33456" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock uid 344,0 ps "CenterOffsetStrategy" shape (Rectangle uid 345,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "8901,35556,9101,35756" ) autoResize 1 tline (Line uid 346,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "5001,32456,5001,32456" pts [ "5001,32456" "5001,32456" ] ) bline (Line uid 347,0 va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "5001,32456,5001,32456" pts [ "5001,32456" "5001,32456" ] ) ttri (Triangle uid 348,0 ro 90 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "4551,32281,4901,32631" ) btri (Triangle uid 349,0 ro 270 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "4551,32281,4901,32631" ) entryActions (MLText uid 350,0 va (VaSet ) xt "5001,32456,5001,32456" tm "Actions" ) inActions (MLText uid 351,0 va (VaSet ) xt "5001,32456,11201,35456" st "ready <= '1'; read_sig <= '0'; shift_sig <= '0';" tm "Actions" ) exitActions (MLText uid 352,0 va (VaSet ) xt "5001,32456,5001,32456" tm "Actions" ) ) caseExpr (TextAssociate uid 342,0 ps "CenterOffsetStrategy" text (MLText uid 343,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "3401,33456,8401,34456" st "CASE: expr" tm "SmCaseExpr" ) ) ) *31 (SmClockPoint uid 353,0 shape (CompositeShape uid 354,0 va (VaSet vasetType 1 fg "65535,65535,0" ) optionalChildren [ (Pentagon uid 355,0 sl 0 ro 270 xt "-176,-1500,2074,-500" ) (OrthoPolyLine uid 356,0 sl 0 ro 270 va (VaSet vasetType 3 isHidden 1 ) xt "724,-1300,1323,-700" pts [ "724,-1300" "1023,-1300" "1023,-700" "1323,-700" ] ) (Arc2D pts [ "333,-847" "78,-1153" "333,-1153" ] uid 357,0 sl 0 ro 270 va (VaSet vasetType 1 transparent 1 ) xt "78,-1153,333,-847" ) ] ) name (TextAssociate uid 358,0 ps "CenterOffsetStrategy" text (Text uid 359,0 va (VaSet font "arial,8,0" ) xt "-1976,-1500,-676,-500" st "clk" ju 2 blo "-676,-700" tm "SmControlSignalNameMgr" ) ) cond (SmControlCondition uid 360,0 ps "CenterOffsetStrategy" shape (Rectangle uid 361,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,32768,49152" ) xt "2074,-1600,9474,-400" ) autoResize 1 cond (MLText uid 362,0 va (VaSet font "arial,8,0" ) xt "2174,-1500,9374,-500" st " Falling_edge(clk) " tm "SmControlConditionMgr" ) ) edge 2 ) *32 (CommentText uid 403,0 shape (Rectangle uid 404,0 layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "2949,-4000,35949,2000" ) text (MLText uid 405,0 va (VaSet fg "0,0,32768" font "Arial,10,0" ) xt "3149,-3800,35149,1400" st " Created using Mentor Graphics HDL2Graphics(TM) Technology on - 09:15:09 15.02.2011 from - C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl\\dna_gen.vhd " tm "CommentText" wrapOption 3 visibleHeight 5600 visibleWidth 32600 ) ) *33 (Grouping uid 406,0 optionalChildren [ *34 (CommentText uid 408,0 shape (Rectangle uid 409,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "34000,43000,51000,44000" ) oxt "18000,70000,35000,71000" text (MLText uid 410,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "34200,43000,43800,44000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *35 (CommentText uid 411,0 shape (Rectangle uid 412,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "51000,39000,55000,40000" ) oxt "35000,66000,39000,67000" text (MLText uid 413,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "51200,39000,54200,40000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *36 (CommentText uid 414,0 shape (Rectangle uid 415,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "34000,41000,51000,42000" ) oxt "18000,68000,35000,69000" text (MLText uid 416,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "34200,41000,44200,42000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *37 (CommentText uid 417,0 shape (Rectangle uid 418,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "30000,41000,34000,42000" ) oxt "14000,68000,18000,69000" text (MLText uid 419,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "30200,41000,32300,42000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *38 (CommentText uid 420,0 shape (Rectangle uid 421,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "51000,40000,71000,44000" ) oxt "35000,67000,55000,71000" text (MLText uid 422,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "51200,40200,60400,41200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *39 (CommentText uid 423,0 shape (Rectangle uid 424,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "55000,39000,71000,40000" ) oxt "39000,66000,55000,67000" text (MLText uid 425,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "55200,39000,59700,40000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *40 (CommentText uid 426,0 shape (Rectangle uid 427,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "30000,39000,51000,41000" ) oxt "14000,66000,35000,68000" text (MLText uid 428,0 va (VaSet fg "32768,0,0" ) xt "37150,39500,43850,40500" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *41 (CommentText uid 429,0 shape (Rectangle uid 430,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "30000,42000,34000,43000" ) oxt "14000,69000,18000,70000" text (MLText uid 431,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "30200,42000,32300,43000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *42 (CommentText uid 432,0 shape (Rectangle uid 433,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "30000,43000,34000,44000" ) oxt "14000,70000,18000,71000" text (MLText uid 434,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "30200,43000,32900,44000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *43 (CommentText uid 435,0 shape (Rectangle uid 436,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "34000,42000,51000,43000" ) oxt "18000,69000,35000,70000" text (MLText uid 437,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "34200,42000,43200,43000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 407,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "30000,39000,71000,44000" ) oxt "14000,66000,55000,71000" ) *44 (Transition uid 363,0 shape (Spline uid 364,0 va (VaSet vasetType 3 ) xt "32281,9396,52983,30224" pts [ "32281,9396" "41416,21528" "52983,30224" ] arrow 1 ) start &27 end &28 ss 0 es 0 cond "(start = '1')" tb (TransitionBlock uid 365,0 ps "CenterOffsetStrategy" shape (Rectangle uid 366,0 va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) xt "40916,21028,46516,23028" ) autoResize 1 lineShape (Line uid 367,0 va (VaSet vasetType 3 isHidden 1 ) xt "43116,22928,43116,22928" pts [ "43116,22928" "43116,22928" ] ) condition (MLText uid 368,0 va (VaSet ) xt "41416,21528,46016,22528" st "(start = '1')" tm "Condition" ) actions (MLText uid 369,0 va (VaSet ) xt "43716,22928,43716,22928" tm "Actions" ) ) tp (TransitionPriority uid 370,0 ps "PercentageFromStartStrategy" shape (Circle uid 371,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" ) xt "33202,11048,34764,12610" radius 781 ) pr (Text uid 372,0 va (VaSet isHidden 1 ) xt "33583,11329,34383,12329" st "1" ju 0 blo "33983,12129" tm "TransitionPriority" ) padding "100,100" ) ) *45 (Transition uid 373,0 shape (Spline uid 374,0 va (VaSet vasetType 3 ) xt "32677,34693,52987,54989" pts [ "52987,34693" "41433,43407" "32677,54989" ] arrow 1 ) start &28 end &29 ss 0 es 0 tb (TransitionBlock uid 375,0 ps "CenterOffsetStrategy" shape (Rectangle uid 376,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) xt "40933,43402,45333,44412" ) autoResize 1 lineShape (Line uid 377,0 va (VaSet vasetType 3 isHidden 1 ) xt "43133,44807,43133,44807" pts [ "43133,44807" "43133,44807" ] ) condition (MLText uid 378,0 va (VaSet ) xt "41433,43407,44833,44407" tm "Condition" ) actions (MLText uid 379,0 va (VaSet ) xt "43133,44807,43133,44807" tm "Actions" ) ) tp (TransitionPriority uid 380,0 ps "PercentageFromStartStrategy" shape (Circle uid 381,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" ) xt "49825,35573,51387,37135" radius 781 ) pr (Text uid 382,0 va (VaSet isHidden 1 ) xt "50206,35854,51006,36854" st "1" ju 0 blo "50606,36654" tm "TransitionPriority" ) padding "100,100" ) ) *46 (Transition uid 383,0 shape (Spline uid 384,0 va (VaSet vasetType 3 ) xt "21057,60956,39858,77952" pts [ "32625,60956" "39858,70885" "30468,77952" "21057,70915" "28259,60963" ] arrow 1 ) start &29 end &29 ss 0 es 0 cond "(shift_cntr < 57)" tb (TransitionBlock uid 385,0 ps "CenterOffsetStrategy" shape (Rectangle uid 386,0 va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) xt "21368,77452,45768,81852" ) autoResize 1 lineShape (Line uid 387,0 va (VaSet vasetType 3 ) xt "21868,79152,45268,79152" pts [ "21868,79152" "45268,79152" ] ) condition (MLText uid 388,0 va (VaSet ) xt "30468,77952,36668,78952" st "(shift_cntr < 57)" tm "Condition" ) actions (MLText uid 389,0 va (VaSet ) xt "21868,79352,45268,81352" st "dna_sig <= dna_sig(62 downto 0) & dout_sig; -- put in from right shift_sig <= '1';" tm "Actions" ) ) tp (TransitionPriority uid 390,0 ps "PercentageFromStartStrategy" shape (Circle uid 391,0 va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "35231,63803,36793,65365" radius 781 ) pr (Text uid 392,0 va (VaSet ) xt "35612,64084,36412,65084" st "1" ju 0 blo "36012,64884" tm "TransitionPriority" ) padding "100,100" ) ) *47 (Transition uid 393,0 shape (Spline uid 394,0 va (VaSet vasetType 3 ) xt "8235,34897,28208,54981" pts [ "28208,54981" "19554,43442" "8235,34897" ] arrow 1 ) start &29 end &30 ss 0 es 0 tb (TransitionBlock uid 395,0 ps "CenterOffsetStrategy" shape (Rectangle uid 396,0 va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) xt "17704,43932,24804,46342" ) autoResize 1 lineShape (Line uid 397,0 va (VaSet vasetType 3 ) xt "18204,44642,24304,44642" pts [ "18204,44642" "24304,44642" ] ) condition (MLText uid 398,0 va (VaSet ) xt "19554,43442,22954,44442" tm "Condition" ) actions (MLText uid 399,0 va (VaSet ) xt "18204,44842,24304,45842" st "shift_sig <= '1';" tm "Actions" ) ) tp (TransitionPriority uid 400,0 ps "PercentageFromStartStrategy" shape (Circle uid 401,0 va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "25793,51848,27355,53410" radius 781 ) pr (Text uid 402,0 va (VaSet ) xt "26174,52129,26974,53129" st "2" ju 0 blo "26574,52929" tm "TransitionPriority" ) padding "100,100" ) ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 0 isActive 0 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *48 (PackageList uid 274,0 stg "VerticalLayoutStrategy" textVec [ *49 (Text uid 275,0 va (VaSet isHidden 1 font "arial,8,1" ) xt "6399,88852,11799,89852" st "Package List" blo "6399,89652" ) *50 (MLText uid 276,0 va (VaSet isHidden 1 ) xt "6399,89852,17299,92852" tm "SmPackageListTextMgr" ) ] ) compDirBlock (MlTextGroup uid 277,0 stg "VerticalLayoutStrategy" textVec [ *51 (Text uid 278,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20949,83852,29049,84852" st "Compiler Directives" blo "20949,84652" ) *52 (Text uid 279,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20949,84852,30549,85852" st "Pre-module directives:" blo "20949,85652" ) *53 (MLText uid 280,0 va (VaSet isHidden 1 ) xt "20949,85852,28449,87852" st "`resetall `timescale 1ns/10ps" tm "SmCompilerDirectivesTextMgr" ) *54 (Text uid 281,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20949,87852,31049,88852" st "Post-module directives:" blo "20949,88652" ) *55 (MLText uid 282,0 va (VaSet isHidden 1 ) xt "20949,83852,20949,83852" tm "SmCompilerDirectivesTextMgr" ) *56 (Text uid 283,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20949,88852,30849,89852" st "End-module directives:" blo "20949,89652" ) *57 (MLText uid 284,0 va (VaSet isHidden 1 ) xt "20949,89852,20949,89852" tm "SmCompilerDirectivesTextMgr" ) ] associable 1 ) windowSize "0,0,1015,690" viewArea "-1976,-4000,108549,92052" cachedDiagramExtent "0,0,0,0" pageBreakOrigin "0,0" isTopLevel 1 defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2000,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) localDecl *58 (SmLocalDecl uid 250,0 stg "VerticalLayoutStrategy" first (Text uid 251,0 va (VaSet font "Arial,8,1" ) xt "41999,83852,52499,84852" st "Architecture Declarations" blo "41999,84652" ) second (MLText uid 252,0 va (VaSet font "Courier New,8,0" ) xt "41999,84852,41999,84852" tm "LocalDeclTextMgr" ) ) processDecl *59 (SmProcessDecl uid 253,0 stg "VerticalLayoutStrategy" textVec [ *60 (Text uid 254,0 va (VaSet font "Arial,8,1" ) xt "58100,-1000,67000,0" st "Process Declarations" blo "58100,-200" ) *61 (Text uid 255,0 va (VaSet font "Arial,8,1" ) xt "58100,0,65300,1000" st "Clocked Process:" blo "58100,800" ) *62 (MLText uid 256,0 va (VaSet font "Courier New,8,0" ) xt "58100,-1000,58100,-1000" tm "ProcessDeclTextMgr" ) *63 (Text uid 257,0 va (VaSet font "Arial,8,1" ) xt "58100,1000,65000,2000" st "Output Process:" blo "58100,1800" ) *64 (MLText uid 258,0 va (VaSet font "Courier New,8,0" ) xt "58100,2000,58100,2000" tm "ProcessDeclTextMgr" ) ] associable 1 ) defaultActions *65 (MlTextGroup uid 259,0 stg "VerticalLayoutStrategy" textVec [ *66 (Text uid 260,0 va (VaSet font "Arial,8,1" ) xt "13849,83852,20049,84852" st "Global Actions" blo "13849,84652" ) *67 (Text uid 261,0 va (VaSet font "Arial,8,1" ) xt "13849,84852,19149,85852" st "Pre Actions:" blo "13849,85652" ) *68 (MLText uid 262,0 va (VaSet ) xt "13849,83852,13849,83852" tm "Actions" ) *69 (Text uid 263,0 va (VaSet font "Arial,8,1" ) xt "13849,85852,19649,86852" st "Post Actions:" blo "13849,86652" ) *70 (MLText uid 264,0 va (VaSet ) xt "13849,86852,13849,86852" tm "Actions" ) ] associable 1 ) archConcurrentStatementBlock *71 (BiTextGroup uid 265,0 stg "VerticalLayoutStrategy" first (Text uid 266,0 va (VaSet font "Arial,8,1" ) xt "25149,83852,35049,84852" st "Concurrent Statements" blo "25149,84652" ) second (MLText uid 267,0 va (VaSet ) xt "25149,84852,25149,84852" tm "ArchConcStmtTextMgr" ) associable 1 ) signalsGenStatus *72 (SmSignalGenStatus uid 271,0 stg "VerticalLayoutStrategy" first (Text uid 272,0 va (VaSet font "Arial,8,1" ) xt "59749,83852,65449,84852" st "Signal Status" blo "59749,84652" ) second (MLText uid 273,0 va (VaSet font "Courier New,8,0" ) xt "59749,84852,83749,92052" st "SIGNAL MODE DEFAULT RESET SCHEME dna OUT COMB ready OUT CLKD DNA_FOR_SIM LOCAL COMB dout_sig LOCAL COMB read_sig LOCAL CLKD shift_sig LOCAL CLKD dna_sig LOCAL CLKD shift_cntr LOCAL CLKD " tm "SmSignalsGenStatusTextMgr" ) ) stateRegBlock *73 (BiTextGroup uid 268,0 stg "VerticalLayoutStrategy" first (Text uid 269,0 va (VaSet font "Arial,8,1" ) xt "97749,83852,108549,84852" st "State Register Statements" blo "97749,84652" ) second (MLText uid 270,0 va (VaSet ) xt "97749,84852,97749,84852" tm "Actions" ) associable 1 ) ) genChar (SmGenChar uid 438,0 csName "FTU_dna_gen_State" nextStateClocking 0 numProcs 0 ) encoding (Encoding scheme 3 encodingStyles [ (pair scheme 0 style 0 ) (pair scheme 1 style 1 ) (pair scheme 2 style 0 ) (pair scheme 3 style 0 ) (pair scheme 4 style 0 ) (pair scheme 5 style 0 ) ] otherValues [ (pair scheme 0 otherValue "" ) (pair scheme 1 otherValue "" ) (pair scheme 2 otherValue "" ) (pair scheme 3 otherValue "" ) (pair scheme 4 otherValue "" ) (pair scheme 5 otherValue "" ) ] attribute 0 synSafe 0 outputEncodedLocals 0 useVerilogParameterRange 0 radix 2 ) stateOrder [ &27 &28 &29 &30 ] name "FTU_dna_gen_State" ) ] lastUid 0,0 commonDM (CommonDM ldm (LogicalDM ordering 1 emptyRow *74 (LEmptyRow ) uid 165,0 optionalChildren [ *75 (RefLabelRowHdr ) *76 (TitleRowHdr ) *77 (FilterRowHdr ) *78 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *79 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *80 (GroupColHdr tm "GroupColHdrMgr" ) *81 (NameColHdr tm "SmNameColHdrMgr" ) *82 (ModeColHdr tm "SmModeColHdrMgr" ) *83 (TypeColHdr tm "SmTypeColHdrMgr" ) *84 (BoundsColHdr tm "SmBoundsColHdrMgr" ) *85 (InitColHdr tm "SmInitColHdrMgr" ) *86 (ColumnHdr tm "SmCategoryColHdrMgr" ) *87 (ColumnHdr tm "SmAssignColHdrMgr" ) *88 (ColumnHdr tm "SmExprColHdrMgr" ) *89 (ColumnHdr tm "SmSchemeColHdrMgr" ) *90 (ColumnHdr tm "SmDefValColHdrMgr" ) *91 (ColumnHdr tm "SmRstValColHdrMgr" ) *92 (EolColHdr tm "SmEolColHdrMgr" ) *93 (LeafLogPort port (LogicalPort m 1 decl (Decl n "dna" t "STD_LOGIC_VECTOR" b "(63 downto 0)" o 9 i "(others => '0')" ) ) uid 184,0 scheme 0 ) *94 (LeafLogPort port (LogicalPort m 1 decl (Decl n "ready" t "STD_LOGIC" o 10 i "'0'" ) ) uid 185,0 scheme 1 ) *95 (LeafLogPort port (LogicalPort m 4 decl (Decl n "dout_sig" t "STD_LOGIC" o 1 i "'0'" ) ) uid 187,0 scheme 0 direct 0 ) *96 (LeafLogPort port (LogicalPort m 4 decl (Decl n "read_sig" t "STD_LOGIC" o 2 i "'0'" ) ) uid 188,0 scheme 1 direct 0 ) *97 (LeafLogPort port (LogicalPort m 4 decl (Decl n "shift_sig" t "STD_LOGIC" o 3 i "'0'" ) ) uid 189,0 scheme 1 direct 0 ) *98 (LeafLogPort port (LogicalPort m 4 decl (Decl n "dna_sig" t "STD_LOGIC_VECTOR" b "(63 downto 0)" o 4 i "(others => '0')" ) ) uid 190,0 scheme 1 direct 0 ) *99 (LeafLogPort port (LogicalPort m 4 decl (Decl n "shift_cntr" t "INTEGER" b "RANGE 0 to 64" o 6 i "0" ) ) uid 191,0 scheme 1 direct 0 ) *100 (LeafLogPort port (LogicalPort decl (Decl n "clk" t "STD_LOGIC" o 7 ) ) uid 439,0 cat 3 expr " Falling_edge(clk) " ) *101 (LeafLogPort port (LogicalPort m 4 decl (Decl n "FTU_dna_gen_State" t "FTU_dna_gen_StateType" prec "type FTU_dna_gen_StateType is (IDLE, READ_DNA, SHIFT_DNA, DNA_READY);" preAdd 0 o 5 ) ) uid 462,0 scheme 1 ) *102 (LeafLogPort port (LogicalPort decl (Decl n "start" t "STD_LOGIC" o 8 ) ) uid 464,0 ass "" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 192,0 optionalChildren [ *103 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *104 (MRCItem litem &74 pos 3 dimension 20 ) uid 194,0 optionalChildren [ *105 (MRCItem litem &75 pos 0 dimension 20 uid 195,0 ) *106 (MRCItem litem &76 pos 1 dimension 23 uid 196,0 ) *107 (MRCItem litem &77 pos 2 hidden 1 dimension 20 uid 197,0 ) *108 (MRCItem litem &93 pos 2 dimension 20 uid 198,0 ) *109 (MRCItem litem &94 pos 3 dimension 20 uid 199,0 ) *110 (MRCItem litem &95 pos 4 dimension 20 uid 201,0 ) *111 (MRCItem litem &96 pos 5 dimension 20 uid 202,0 ) *112 (MRCItem litem &97 pos 6 dimension 20 uid 203,0 ) *113 (MRCItem litem &98 pos 7 dimension 20 uid 204,0 ) *114 (MRCItem litem &99 pos 8 dimension 20 uid 205,0 ) *115 (MRCItem litem &100 pos 0 dimension 20 uid 440,0 ) *116 (MRCItem litem &101 pos 9 dimension 20 uid 461,0 ) *117 (MRCItem litem &102 pos 1 dimension 20 uid 463,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 206,0 optionalChildren [ *118 (MRCItem litem &78 pos 0 dimension 20 uid 207,0 ) *119 (MRCItem litem &80 pos 1 dimension 50 uid 208,0 ) *120 (MRCItem litem &81 pos 2 dimension 70 uid 209,0 ) *121 (MRCItem litem &82 pos 3 dimension 50 uid 210,0 ) *122 (MRCItem litem &83 pos 4 dimension 80 uid 211,0 ) *123 (MRCItem litem &84 pos 5 dimension 80 uid 212,0 ) *124 (MRCItem litem &85 pos 6 dimension 40 uid 213,0 ) *125 (MRCItem litem &86 pos 7 dimension 100 uid 214,0 ) *126 (MRCItem litem &87 pos 8 dimension 60 uid 215,0 ) *127 (MRCItem litem &88 pos 9 dimension 130 uid 216,0 ) *128 (MRCItem litem &89 pos 10 hidden 1 dimension 56 uid 217,0 ) *129 (MRCItem litem &90 pos 11 dimension 50 uid 218,0 ) *130 (MRCItem litem &91 pos 12 dimension 50 uid 219,0 ) *131 (MRCItem litem &92 pos 13 dimension 80 uid 220,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 193,0 vaOverrides [ ] ) ] ) uid 164,0 ) cdmCsm &26 genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *132 (LEmptyRow ) uid 222,0 optionalChildren [ *133 (RefLabelRowHdr ) *134 (TitleRowHdr ) *135 (FilterRowHdr ) *136 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *137 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *138 (GroupColHdr tm "GroupColHdrMgr" ) *139 (NameColHdr tm "GenericNameColHdrMgr" ) *140 (TypeColHdr tm "GenericTypeColHdrMgr" ) *141 (InitColHdr tm "GenericValueColHdrMgr" ) *142 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *143 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 234,0 optionalChildren [ *144 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *145 (MRCItem litem &132 pos 3 dimension 20 ) uid 236,0 optionalChildren [ *146 (MRCItem litem &133 pos 0 dimension 20 uid 237,0 ) *147 (MRCItem litem &134 pos 1 dimension 23 uid 238,0 ) *148 (MRCItem litem &135 pos 2 hidden 1 dimension 20 uid 239,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 240,0 optionalChildren [ *149 (MRCItem litem &136 pos 0 dimension 20 uid 241,0 ) *150 (MRCItem litem &138 pos 1 dimension 50 uid 242,0 ) *151 (MRCItem litem &139 pos 2 dimension 100 uid 243,0 ) *152 (MRCItem litem &140 pos 3 dimension 100 uid 244,0 ) *153 (MRCItem litem &141 pos 4 dimension 50 uid 245,0 ) *154 (MRCItem litem &142 pos 5 dimension 50 uid 246,0 ) *155 (MRCItem litem &143 pos 6 dimension 80 uid 247,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 235,0 vaOverrides [ ] ) ] ) uid 221,0 type 1 ) signalSuffix "_int" clockSuffix "_cld" defaultState (State shape (Circle va (VaSet vasetType 1 fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) xt "-3000,-3000,3000,3000" radius 3000 ) name (Text va (VaSet font "Arial,10,1" ) xt "0,0,1800,1200" st "s0" ju 0 blo "900,1000" tm "ONodeName" ) wait (TextAssociate ps "CenterOffsetStrategy" text (Text va (VaSet isHidden 1 fg "0,0,32768" font "arial,10,1" ) xt "1000,900,4600,2100" st "wait 2" blo "1000,1900" tm "SmWaitText" ) ) encoding (Text va (VaSet font "Arial,8,1" ) blo "0,0" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "3900,3100,4100,3300" ) autoResize 1 tline (Line va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) pts [ "0,0" "0,0" ] ) bline (Line va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) pts [ "0,0" "0,0" ] ) ttri (Triangle ro 90 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "-450,-175,-100,175" ) btri (Triangle ro 270 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "-450,-175,-100,175" ) entryActions (MLText va (VaSet ) tm "Actions" ) inActions (MLText va (VaSet ) tm "Actions" ) exitActions (MLText va (VaSet ) tm "Actions" ) ) caseExpr (TextAssociate ps "CenterOffsetStrategy" text (MLText va (VaSet isHidden 1 font "Arial,8,1" ) xt "-1600,1000,3400,2000" st "CASE: expr" tm "SmCaseExpr" ) ) ) defaultWaitState (State shape (CircleInOctagon va (VaSet vasetType 1 fg "0,65535,65535" lineColor "26368,26368,26368" lineWidth 2 ) xt "-529,-529,6529,6529" ) name (Text va (VaSet font "Arial,10,1" ) xt "0,0,1800,1200" st "s0" ju 0 blo "900,1000" tm "ONodeName" ) wait (TextAssociate ps "CenterOffsetStrategy" text (Text va (VaSet isHidden 1 fg "0,0,32768" font "arial,10,1" ) xt "1000,900,4600,2100" st "wait 2" blo "1000,1900" tm "SmWaitText" ) ) encoding (Text va (VaSet font "Arial,8,1" ) blo "0,0" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "8900,6100,9100,6300" ) autoResize 1 tline (Line va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "5000,3000,5000,3000" pts [ "5000,3000" "5000,3000" ] ) bline (Line va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) xt "5000,3000,5000,3000" pts [ "5000,3000" "5000,3000" ] ) ttri (Triangle ro 90 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "4550,2825,4900,3175" ) btri (Triangle ro 270 va (VaSet vasetType 1 isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) xt "4550,2825,4900,3175" ) entryActions (MLText va (VaSet ) xt "5000,3000,5000,3000" tm "Actions" ) inActions (MLText va (VaSet ) xt "5000,3000,5000,3000" tm "Actions" ) exitActions (MLText va (VaSet ) xt "5000,3000,5000,3000" tm "Actions" ) ) caseExpr (TextAssociate ps "CenterOffsetStrategy" text (MLText va (VaSet isHidden 1 font "Arial,8,1" ) xt "-1600,1000,3400,2000" st "CASE: expr" tm "SmCaseExpr" ) ) isWait 1 ) defaultCompositeState (CompositeState shape (TripleCircle va (VaSet vasetType 1 fg "29952,39936,65280" lineColor "0,0,32768" lineWidth 2 ) xt "-3000,-3000,3000,3000" radius 3000 ) name (Text va (VaSet font "Arial,10,1" ) xt "-900,-600,900,600" st "s0" ju 0 blo "0,400" tm "ONodeName" ) childDiagram &0 ) defaultJunction (Junction shape (Diamond va (VaSet vasetType 1 fg "59904,39936,65280" ) xt "-1150,-1150,2150,2150" ) symbol (Text va (VaSet font "Arial,10,1" ) xt "-150,-100,1150,1100" st "&" ju 0 blo "500,900" ) name (TextAssociate ps "CenterOffsetStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "2000,1000,2000,1000" blo "2000,1000" tm "JunctionName" ) ) caseExpr (TextAssociate ps "CenterOffsetStrategy" text (MLText va (VaSet isHidden 1 font "Arial,8,1" ) xt "-1850,2000,3150,3000" st "CASE: expr" tm "SmCaseExpr" ) ) ) defaultEntryPoint (EntryPoint shape (CompositeShape va (VaSet vasetType 1 fg "29952,39936,65280" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-875,875,1375,1875" ) (Line sl 0 ro 270 xt "1375,1375,1875,1375" pts [ "1375,1375" "1875,1375" ] ) ] ) ) defaultInterruptPoint (InterruptPoint shape (CompositeShape va (VaSet vasetType 1 fg "65535,0,0" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-875,875,1375,1875" ) (Line sl 0 ro 270 xt "1375,1375,1875,1375" pts [ "1375,1375" "1875,1375" ] ) (CustomPolygon pts [ "-625,1600" "-625,1300" "25,1425" "-75,1150" "1025,1350" "200,1350" "375,1600" ] sl 0 ro 270 va (VaSet vasetType 1 fg "65535,65535,0" bg "65535,0,0" lineColor "65535,65535,0" ) xt "-625,1150,1025,1600" ) ] ) ) defaultLink (Link shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,0" bg "0,0,0" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-375,875,1875,1875" ) (Line sl 0 ro 270 xt "-875,1375,-375,1375" pts [ "-875,1375" "-375,1375" ] ) ] ) name (TextAssociate ps "CenterOffsetStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "2375,875,4375,1875" st "Link" blo "2375,1675" tm "LinkName" ) ) ) defaultExitPoint (ExitPoint shape (CompositeShape va (VaSet vasetType 1 fg "29952,39936,65280" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-375,875,1875,1875" ) (Line sl 0 ro 270 xt "-875,1375,-375,1375" pts [ "-875,1375" "-375,1375" ] ) ] ) ) defaultTransition (Transition shape (Spline va (VaSet vasetType 3 ) pts [ "0,0" "0,0" ] arrow 1 ) ss 0 es 0 cond "condition" tb (TransitionBlock ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) xt "-500,-500,3900,1500" ) autoResize 1 lineShape (Line va (VaSet vasetType 3 isHidden 1 ) xt "1700,1400,1700,1400" pts [ "1700,1400" "1700,1400" ] ) condition (MLText va (VaSet ) xt "0,0,3400,1000" st "condition" tm "Condition" ) actions (MLText va (VaSet ) xt "1700,1800,1700,1800" tm "Actions" ) ) tp (TransitionPriority ps "PercentageFromStartStrategy" shape (Circle va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "-781,-781,781,781" radius 781 ) pr (Text va (VaSet ) xt "-400,-500,400,500" st "1" ju 0 blo "0,300" tm "TransitionPriority" ) padding "100,100" ) ) defaultClk (SmClockPoint shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,0" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-625,625,1625,1625" ) (OrthoPolyLine sl 0 ro 270 va (VaSet vasetType 3 ) xt "275,825,874,1425" pts [ "275,1425" "574,1425" "574,825" "874,825" ] ) (Arc2D pts [ "-116,1278" "-371,972" "-116,972" ] sl 0 ro 270 va (VaSet vasetType 1 transparent 1 ) xt "-371,972,-116,1278" ) ] ) name (TextAssociate ps "CenterOffsetStrategy" text (Text va (VaSet font "arial,8,0" ) xt "-2425,625,-1125,1625" st "clk" ju 2 blo "-1125,1425" tm "SmControlSignalNameMgr" ) ) cond (SmControlCondition ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,32768,49152" ) xt "1625,525,3825,1725" ) autoResize 1 cond (MLText va (VaSet font "arial,8,0" ) xt "1725,625,3725,1625" st "cond" tm "SmControlConditionMgr" ) ) ) defaultEnable (SmEnablePoint shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,0" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-625,625,1625,1625" ) (OrthoPolyLine sl 0 ro 270 va (VaSet vasetType 3 ) xt "275,825,874,1425" pts [ "874,1425" "574,1425" "574,825" "275,825" ] ) (Arc2D pts [ "-130,1263" "-415,1064" "-76,1064" ] layer 10 sl 0 ro 270 va (VaSet vasetType 1 transparent 1 ) xt "-415,1064,-76,1263" ) (Line sl 0 ro 270 xt "-415,1064,-106,1064" pts [ "-415,1064" "-106,1064" ] ) ] ) name (TextAssociate ps "CenterOffsetStrategy" text (Text va (VaSet font "arial,8,0" ) xt "-3725,625,-1125,1625" st "enable" ju 2 blo "-1125,1425" tm "SmControlSignalNameMgr" ) ) cond (SmControlCondition ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,32768,49152" ) xt "1625,525,3825,1725" ) autoResize 1 cond (MLText va (VaSet font "arial,8,0" ) xt "1725,625,3725,1625" st "cond" tm "SmControlConditionMgr" ) ) ) defaultRst (SmResetPoint shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,0" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-625,625,1625,1625" ) (OrthoPolyLine sl 0 ro 270 va (VaSet vasetType 3 ) xt "275,825,874,1425" pts [ "874,1425" "574,1425" "574,825" "275,825" ] ) (Line sl 0 ro 270 xt "-376,950,-276,1000" pts [ "-376,1000" "-276,950" ] ) (Line sl 0 ro 270 xt "-376,950,-376,1300" pts [ "-376,1300" "-376,950" ] ) (Circle layer 10 sl 0 ro 270 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,0" ) xt "424,975,724,1275" radius 150 ) ] ) cond (SmControlCondition ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,32768,49152" ) xt "-625,-975,1575,225" ) autoResize 1 cond (MLText va (VaSet font "arial,8,0" ) xt "-525,-875,1475,125" st "cond" tm "SmControlConditionMgr" ) ) prio (TransitionPriority ps "PercentageFromStartStrategy" shape (Circle va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "1625,344,3187,1906" radius 781 ) pr (Text va (VaSet ) xt "2006,625,2806,1625" st "1" ju 0 blo "2406,1425" tm "TransitionPriority" ) padding "100,100" ) name (TextAssociate ps "CenterOffsetStrategy" text (Text va (VaSet font "arial,8,0" ) xt "-1925,625,-625,1625" st "rst" ju 2 blo "-625,1425" tm "SmControlSignalNameMgr" ) ) actions (TextAssociate ps "CenterOffsetStrategy" text (MLText va (VaSet isHidden 1 font "arial,8,0" ) xt "4750,2625,10250,3625" st "< Automatic >" tm "Actions" ) ) ) defaultRecStatePt (SmRecoveryStatePoint shape (CompositeShape va (VaSet vasetType 1 fg "65535,0,0" ) optionalChildren [ (Circle sl 0 xt "-900,-900,900,900" radius 900 ) (Line sl 0 va (VaSet vasetType 3 lineColor "65535,65535,0" lineWidth 1 ) xt "-426,-426,426,426" pts [ "-426,426" "426,-426" ] ) (Line sl 0 va (VaSet vasetType 3 lineColor "65535,65535,0" lineWidth 1 ) xt "-426,-426,426,426" pts [ "426,426" "-426,-426" ] ) ] ) ) LanguageMgr "VhdlLangMgr" ) ) ] shape (Rectangle uid 157,0 va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "7000,-2000,10000,4000" ) ttg (MlTextGroup uid 158,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *156 (Text uid 159,0 va (VaSet font "Arial,8,1" ) xt "7700,0,9300,1000" st "SM" blo "7700,800" tm "HdlTextNameMgr" ) *157 (Text uid 160,0 va (VaSet font "Arial,8,1" ) xt "7700,1000,8500,2000" st "1" blo "7700,1800" tm "HdlTextNumberMgr" ) ] ) viewicon (ZoomableIcon uid 161,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "7250,2250,8750,3750" iconName "StateMachineViewIcon.png" iconMaskName "StateMachineViewIcon.msk" ftype 3 ) viewiconposition 0 ) *158 (HdlText uid 465,0 optionalChildren [ *159 (EmbeddedText uid 471,0 commentText (CommentText uid 472,0 ps "CenterOffsetStrategy" shape (Rectangle uid 473,0 va (VaSet vasetType 1 isHidden 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "32000,10000,50000,15000" ) text (MLText uid 474,0 va (VaSet isHidden 1 ) xt "32200,10200,38500,11200" st " dna <= dna_sig; " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) ] shape (Rectangle uid 466,0 va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "24000,10000,27000,13000" ) ttg (MlTextGroup uid 467,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *160 (Text uid 468,0 va (VaSet font "Arial,8,1" ) xt "24650,10500,26350,11500" st "eb1" blo "24650,11300" tm "HdlTextNameMgr" ) *161 (Text uid 469,0 va (VaSet font "Arial,8,1" ) xt "24650,11500,25450,12500" st "2" blo "24650,12300" tm "HdlTextNumberMgr" ) ] ) viewicon (ZoomableIcon uid 470,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "24250,11250,25750,12750" iconName "TextFile.png" iconMaskName "TextFile.msk" ftype 21 ) viewiconposition 0 ) *162 (CommentText uid 555,0 shape (Rectangle uid 556,0 layer 0 va (VaSet vasetType 1 isHidden 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "-5000,-10000,28000,-4000" ) text (MLText uid 557,0 va (VaSet isHidden 1 fg "0,0,32768" font "Arial,10,0" ) xt "-4800,-9800,27200,-4600" st " Created using Mentor Graphics HDL2Graphics(TM) Technology on - 09:15:09 15.02.2011 from - C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl\\dna_gen.vhd " tm "CommentText" wrapOption 3 visibleHeight 5600 visibleWidth 32600 ) ) *163 (Grouping uid 558,0 optionalChildren [ *164 (CommentText uid 560,0 shape (Rectangle uid 561,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "63000,28000,80000,29000" ) oxt "18000,70000,35000,71000" text (MLText uid 562,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "63200,28000,72800,29000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *165 (CommentText uid 563,0 shape (Rectangle uid 564,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "80000,24000,84000,25000" ) oxt "35000,66000,39000,67000" text (MLText uid 565,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "80200,24000,83200,25000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *166 (CommentText uid 566,0 shape (Rectangle uid 567,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "63000,26000,80000,27000" ) oxt "18000,68000,35000,69000" text (MLText uid 568,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "63200,26000,73200,27000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *167 (CommentText uid 569,0 shape (Rectangle uid 570,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "59000,26000,63000,27000" ) oxt "14000,68000,18000,69000" text (MLText uid 571,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "59200,26000,61300,27000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *168 (CommentText uid 572,0 shape (Rectangle uid 573,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "80000,25000,100000,29000" ) oxt "35000,67000,55000,71000" text (MLText uid 574,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "80200,25200,89400,26200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *169 (CommentText uid 575,0 shape (Rectangle uid 576,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "84000,24000,100000,25000" ) oxt "39000,66000,55000,67000" text (MLText uid 577,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "84200,24000,88700,25000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *170 (CommentText uid 578,0 shape (Rectangle uid 579,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "59000,24000,80000,26000" ) oxt "14000,66000,35000,68000" text (MLText uid 580,0 va (VaSet fg "32768,0,0" ) xt "66150,24500,72850,25500" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *171 (CommentText uid 581,0 shape (Rectangle uid 582,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "59000,27000,63000,28000" ) oxt "14000,69000,18000,70000" text (MLText uid 583,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "59200,27000,61300,28000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *172 (CommentText uid 584,0 shape (Rectangle uid 585,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "59000,28000,63000,29000" ) oxt "14000,70000,18000,71000" text (MLText uid 586,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "59200,28000,61900,29000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *173 (CommentText uid 587,0 shape (Rectangle uid 588,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "63000,27000,80000,28000" ) oxt "18000,69000,35000,70000" text (MLText uid 589,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "63200,27000,72200,28000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 559,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "59000,24000,100000,29000" ) oxt "14000,66000,55000,71000" ) *174 (GlobalConnector uid 590,0 shape (Circle uid 591,0 va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-2000,13000,0,15000" radius 1000 ) name (Text uid 592,0 va (VaSet font "Arial,8,1" ) xt "-1500,13500,-500,14500" st "G" blo "-1500,14300" ) ) *175 (GlobalConnector uid 599,0 shape (Circle uid 600,0 va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-2000,15000,0,17000" radius 1000 ) name (Text uid 601,0 va (VaSet font "Arial,8,1" ) xt "-1500,15500,-500,16500" st "G" blo "-1500,16300" ) ) *176 (GlobalConnector uid 608,0 shape (Circle uid 609,0 va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-2000,17000,0,19000" radius 1000 ) name (Text uid 610,0 va (VaSet font "Arial,8,1" ) xt "-1500,17500,-500,18500" st "G" blo "-1500,18300" ) ) *177 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 va (VaSet vasetType 3 ) xt "-3000,18000,-2000,18000" pts [ "-3000,18000" "-2000,18000" ] ) start &6 end &176 ss 0 es 0 sat 32 eat 32 stc 0 st 0 si 0 tg (WTG uid 33,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 34,0 va (VaSet isHidden 1 ) xt "-3000,17000,-1700,18000" st "clk" blo "-3000,17800" tm "WireNameMgr" ) ) on &7 ) *178 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 va (VaSet vasetType 3 ) xt "6000,-1000,7000,-1000" pts [ "6000,-1000" "7000,-1000" ] ) start &8 end &24 ss 0 sat 32 eat 1 stc 0 st 0 si 0 tg (WTG uid 47,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 48,0 va (VaSet isHidden 1 ) xt "6000,-2000,7900,-1000" st "start" blo "6000,-1200" tm "WireNameMgr" ) ) on &9 ) *179 (Wire uid 132,0 shape (OrthoPolyLine uid 133,0 va (VaSet vasetType 3 ) xt "1000,3000,35000,5000" pts [ "29750,3000" "35000,3000" "35000,5000" "1000,5000" "1000,3000" "7000,3000" ] ) start &15 end &24 ss 0 sat 32 eat 1 stc 0 st 0 si 0 tg (WTG uid 136,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 137,0 va (VaSet isHidden 1 ) xt "3000,2000,6300,3000" st "dout_sig" blo "3000,2800" tm "WireNameMgr" ) ) on &1 ) *180 (Wire uid 138,0 shape (OrthoPolyLine uid 139,0 va (VaSet vasetType 3 ) xt "19000,2000,21250,2000" pts [ "19000,2000" "21250,2000" ] ) end &16 sat 16 eat 32 stc 0 st 0 si 0 tg (WTG uid 142,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 143,0 va (VaSet isHidden 1 ) xt "17000,1000,18300,2000" st "clk" blo "17000,1800" tm "WireNameMgr" ) ) on &7 ) *181 (Wire uid 144,0 shape (OrthoPolyLine uid 145,0 va (VaSet vasetType 3 ) xt "19000,1000,21250,1000" pts [ "19000,1000" "21250,1000" ] ) end &18 sat 16 eat 32 stc 0 st 0 si 0 tg (WTG uid 148,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 149,0 va (VaSet isHidden 1 ) xt "15000,0,18400,1000" st "read_sig" blo "15000,800" tm "WireNameMgr" ) ) on &2 ) *182 (Wire uid 150,0 shape (OrthoPolyLine uid 151,0 va (VaSet vasetType 3 ) xt "19000,0,21250,0" pts [ "19000,0" "21250,0" ] ) end &19 sat 16 eat 32 stc 0 st 0 si 0 tg (WTG uid 154,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 155,0 va (VaSet isHidden 1 ) xt "15000,-1000,18300,0" st "shift_sig" blo "15000,-200" tm "WireNameMgr" ) ) on &3 ) *183 (Wire uid 483,0 shape (OrthoPolyLine uid 484,0 va (VaSet vasetType 3 lineWidth 2 ) xt "27000,11000,28000,11000" pts [ "27000,11000" "28000,11000" ] ) start &158 end &10 es 0 sat 2 eat 32 sty 1 stc 0 st 0 si 0 tg (WTG uid 489,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 490,0 va (VaSet isHidden 1 ) xt "25000,10000,26600,11000" st "dna" blo "25000,10800" tm "WireNameMgr" ) ) on &11 ) *184 (Wire uid 491,0 shape (OrthoPolyLine uid 492,0 va (VaSet vasetType 3 ) xt "5000,0,7000,0" pts [ "5000,0" "7000,0" ] ) end &24 sat 16 eat 1 stc 0 st 0 si 0 tg (WTG uid 497,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 498,0 va (VaSet isHidden 1 ) xt "3000,-1000,4300,0" st "clk" blo "3000,-200" tm "WireNameMgr" ) ) on &7 ) *185 (Wire uid 515,0 shape (OrthoPolyLine uid 516,0 va (VaSet vasetType 3 lineWidth 2 ) xt "10000,3000,24000,12000" pts [ "10000,3000" "16000,3000" "16000,12000" "24000,12000" ] ) start &24 end &158 sat 4 eat 1 sty 1 stc 0 st 0 si 0 tg (WTG uid 521,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 522,0 va (VaSet isHidden 1 ) xt "11000,2000,14100,3000" st "dna_sig" blo "11000,2800" tm "WireNameMgr" ) ) on &4 ) *186 (Wire uid 523,0 shape (OrthoPolyLine uid 524,0 va (VaSet vasetType 3 lineWidth 2 ) xt "10000,2000,12000,2000" pts [ "10000,2000" "12000,2000" ] ) start &24 sat 4 eat 16 sty 1 stc 0 st 0 si 0 tg (WTG uid 529,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 530,0 va (VaSet isHidden 1 ) xt "13000,1000,16900,2000" st "shift_cntr" blo "13000,1800" tm "WireNameMgr" ) ) on &5 ) *187 (Wire uid 531,0 shape (OrthoPolyLine uid 532,0 va (VaSet vasetType 3 ) xt "10000,1000,12000,1000" pts [ "10000,1000" "12000,1000" ] ) start &24 sat 2 eat 16 stc 0 st 0 si 0 tg (WTG uid 537,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 538,0 va (VaSet isHidden 1 ) xt "13000,0,16400,1000" st "read_sig" blo "13000,800" tm "WireNameMgr" ) ) on &2 ) *188 (Wire uid 539,0 shape (OrthoPolyLine uid 540,0 va (VaSet vasetType 3 ) xt "10000,-1000,11000,-1000" pts [ "10000,-1000" "11000,-1000" ] ) start &24 end &12 es 0 sat 2 eat 32 stc 0 st 0 si 0 tg (WTG uid 545,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 546,0 va (VaSet isHidden 1 ) xt "9000,-2000,11200,-1000" st "ready" blo "9000,-1200" tm "WireNameMgr" ) ) on &13 ) *189 (Wire uid 547,0 shape (OrthoPolyLine uid 548,0 va (VaSet vasetType 3 ) xt "10000,0,12000,0" pts [ "10000,0" "12000,0" ] ) start &24 sat 2 eat 16 stc 0 st 0 si 0 tg (WTG uid 553,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 554,0 va (VaSet isHidden 1 ) xt "13000,-1000,16300,0" st "shift_sig" blo "13000,-200" tm "WireNameMgr" ) ) on &3 ) *190 (Wire uid 593,0 shape (OrthoPolyLine uid 594,0 va (VaSet vasetType 3 ) xt "-4000,14000,-2000,14000" pts [ "-4000,14000" "-2000,14000" ] ) end &174 ss 0 sat 16 eat 32 stc 0 st 0 si 0 tg (WTG uid 597,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 598,0 va (VaSet isHidden 1 ) xt "-7000,13000,-3600,14000" st "read_sig" blo "-7000,13800" tm "WireNameMgr" ) ) on &2 ) *191 (Wire uid 602,0 shape (OrthoPolyLine uid 603,0 va (VaSet vasetType 3 ) xt "-4000,16000,-2000,16000" pts [ "-4000,16000" "-2000,16000" ] ) end &175 ss 0 sat 16 eat 32 stc 0 st 0 si 0 tg (WTG uid 606,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 607,0 va (VaSet isHidden 1 ) xt "-7000,15000,-3700,16000" st "shift_sig" blo "-7000,15800" tm "WireNameMgr" ) ) on &3 ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *192 (PackageList uid 617,0 stg "VerticalLayoutStrategy" textVec [ *193 (Text uid 618,0 va (VaSet isHidden 1 font "arial,8,1" ) xt "0,24000,5400,25000" st "Package List" blo "0,24800" ) *194 (MLText uid 619,0 va (VaSet isHidden 1 ) xt "0,25000,10900,28000" tm "PackageList" ) ] ) compDirBlock (MlTextGroup uid 620,0 stg "VerticalLayoutStrategy" textVec [ *195 (Text uid 621,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,24000,28100,25000" st "Compiler Directives" blo "20000,24800" ) *196 (Text uid 622,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,25000,29600,26000" st "Pre-module directives:" blo "20000,25800" ) *197 (MLText uid 623,0 va (VaSet isHidden 1 ) xt "20000,26000,27500,28000" st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) *198 (Text uid 624,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,28000,30100,29000" st "Post-module directives:" blo "20000,28800" ) *199 (MLText uid 625,0 va (VaSet isHidden 1 ) xt "20000,24000,20000,24000" tm "BdCompilerDirectivesTextMgr" ) *200 (Text uid 626,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,29000,29900,30000" st "End-module directives:" blo "20000,29800" ) *201 (MLText uid 627,0 va (VaSet isHidden 1 ) xt "20000,30000,20000,30000" tm "BdCompilerDirectivesTextMgr" ) ] associable 1 ) windowSize "0,0,1015,690" viewArea "-7300,-3000,100000,29000" cachedDiagramExtent "0,0,0,0" pageBreakOrigin "0,0" lastUid 627,0 defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2000,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) defaultBlk (Blk shape (Rectangle va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *202 (Text va (VaSet font "Arial,8,1" ) xt "2200,3500,5800,4500" st "" blo "2200,4300" tm "BdLibraryNameMgr" ) *203 (Text va (VaSet font "Arial,8,1" ) xt "2200,4500,5600,5500" st "" blo "2200,5300" tm "BlkNameMgr" ) *204 (Text va (VaSet font "Arial,8,1" ) xt "2200,5500,4000,6500" st "U_0" blo "2200,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "2200,13500,2200,13500" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultMWComponent (MWC shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *205 (Text va (VaSet font "Arial,8,1" ) xt "550,3500,3450,4500" st "Library" blo "550,4300" ) *206 (Text va (VaSet font "Arial,8,1" ) xt "550,4500,7450,5500" st "MWComponent" blo "550,5300" ) *207 (Text va (VaSet font "Arial,8,1" ) xt "550,5500,2350,6500" st "U_0" blo "550,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6450,1500,-6450,1500" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) prms (Property pclass "params" pname "params" ptn "String" ) visOptions (mwParamsVisibilityOptions ) ) defaultSaComponent (SaComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *208 (Text va (VaSet font "Arial,8,1" ) xt "900,3500,3800,4500" st "Library" blo "900,4300" tm "BdLibraryNameMgr" ) *209 (Text va (VaSet font "Arial,8,1" ) xt "900,4500,7100,5500" st "SaComponent" blo "900,5300" tm "CptNameMgr" ) *210 (Text va (VaSet font "Arial,8,1" ) xt "900,5500,2700,6500" st "U_0" blo "900,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6100,1500,-6100,1500" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 portVis (PortSigDisplay ) archFileType "UNKNOWN" ) defaultVhdlComponent (VhdlComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *211 (Text va (VaSet font "Arial,8,1" ) xt "500,3500,3400,4500" st "Library" blo "500,4300" ) *212 (Text va (VaSet font "Arial,8,1" ) xt "500,4500,7500,5500" st "VhdlComponent" blo "500,5300" ) *213 (Text va (VaSet font "Arial,8,1" ) xt "500,5500,2300,6500" st "U_0" blo "500,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6500,1500,-6500,1500" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) entityPath "" archName "" archPath "" ) defaultVerilogComponent (VerilogComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-450,0,8450,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *214 (Text va (VaSet font "Arial,8,1" ) xt "50,3500,2950,4500" st "Library" blo "50,4300" ) *215 (Text va (VaSet font "Arial,8,1" ) xt "50,4500,7950,5500" st "VerilogComponent" blo "50,5300" ) *216 (Text va (VaSet font "Arial,8,1" ) xt "50,5500,1850,6500" st "U_0" blo "50,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6950,1500,-6950,1500" ) header "" ) elements [ ] ) entityPath "" ) defaultHdlText (HdlText shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *217 (Text va (VaSet font "Arial,8,1" ) xt "3150,4000,4850,5000" st "eb1" blo "3150,4800" tm "HdlTextNameMgr" ) *218 (Text va (VaSet font "Arial,8,1" ) xt "3150,5000,3950,6000" st "1" blo "3150,5800" tm "HdlTextNumberMgr" ) ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultEmbeddedText (EmbeddedText commentText (CommentText ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,18000,5000" ) text (MLText va (VaSet ) xt "200,200,2000,1200" st " Text " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) defaultGlobalConnector (GlobalConnector shape (Circle va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-1000,-1000,1000,1000" radius 1000 ) name (Text va (VaSet font "Arial,8,1" ) xt "-500,-500,500,500" st "G" blo "-500,300" ) ) defaultRipper (Ripper ps "OnConnectorStrategy" shape (Line2D pts [ "0,0" "1000,1000" ] va (VaSet vasetType 1 ) xt "0,0,1000,1000" ) ) defaultBdJunction (BdJunction ps "OnConnectorStrategy" shape (Circle va (VaSet vasetType 1 ) xt "-400,-400,400,400" radius 400 ) ) defaultPortIoIn (PortIoIn shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-2000,-375,-500,375" ) (Line sl 0 ro 270 xt "-500,0,0,0" pts [ "-500,0" "0,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "-1375,-1000,-1375,-1000" ju 2 blo "-1375,-1000" tm "WireNameMgr" ) ) ) defaultPortIoOut (PortIoOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "500,-375,2000,375" ) (Line sl 0 ro 270 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "625,-1000,625,-1000" blo "625,-1000" tm "WireNameMgr" ) ) ) defaultPortIoInOut (PortIoInOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultPortIoBuffer (PortIoBuffer shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultSignal (Wire shape (OrthoPolyLine va (VaSet vasetType 3 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,1900,1000" st "sig0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBus (Wire shape (OrthoPolyLine va (VaSet vasetType 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 sty 1 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,2400,1000" st "dbus0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBundle (Bundle shape (OrthoPolyLine va (VaSet vasetType 3 lineColor "32768,0,0" lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 textGroup (BiTextGroup ps "ConnStartEndStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet ) xt "0,0,3000,1000" st "bundle0" blo "0,800" tm "BundleNameMgr" ) second (MLText va (VaSet ) xt "0,1000,1000,2000" st "()" tm "BundleContentsMgr" ) ) bundleNet &0 ) defaultPortMapFrame (PortMapFrame ps "PortMapFrameStrategy" shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,10000,12000" ) portMapText (BiTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" first (MLText va (VaSet ) ) second (MLText va (VaSet ) tm "PortMapTextMgr" ) ) ) defaultGenFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 2 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,12600,-100" st "g0: FOR i IN 0 TO n GENERATE" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *219 (Text va (VaSet font "Arial,8,1" ) xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) *220 (MLText va (VaSet ) xt "14100,21000,14100,21000" tm "BdFrameDeclTextMgr" ) ] ) ) defaultBlockFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 1 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,7400,-100" st "b0: BLOCK (guard)" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *221 (Text va (VaSet font "Arial,8,1" ) xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) *222 (MLText va (VaSet ) xt "14100,21000,14100,21000" tm "BdFrameDeclTextMgr" ) ] ) style 3 ) defaultSaCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1800,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort decl (Decl n "Port" t "" o 0 ) ) ) defaultSaCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1800,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort m 3 decl (Decl n "Port" t "" o 0 ) ) ) defaultDeclText (MLText va (VaSet font "Courier New,8,0" ) ) archDeclarativeBlock (BdArchDeclBlock uid 1,0 stg "BdArchDeclBlockLS" declLabel (Text uid 2,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "13000,24000,18400,25000" st "Declarations" blo "13000,24800" ) portLabel (Text uid 3,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "13000,25000,15700,26000" st "Ports:" blo "13000,25800" ) preUserLabel (Text uid 4,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "13000,29200,16800,30200" st "Pre User:" blo "13000,30000" ) preUserText (MLText uid 5,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "15000,30200,57000,31000" st "constant DNA_FOR_SIM : bit_vector := X\"01710000E000FAD2\"; -- for simulation only " tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "13000,31000,20100,32000" st "Diagram Signals:" blo "13000,31800" ) postUserLabel (Text uid 7,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "13000,36000,17700,37000" st "Post User:" blo "13000,36800" ) postUserText (MLText uid 8,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "13000,24000,13000,24000" tm "BdDeclarativeTextMgr" ) ) createCompDecls 0 commonDM (CommonDM ldm (LogicalDM ordering 1 suid 10,0 usingSuid 1 emptyRow *223 (LEmptyRow ) optionalChildren [ *224 (RefLabelRowHdr ) *225 (TitleRowHdr ) *226 (FilterRowHdr ) *227 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *228 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *229 (GroupColHdr tm "GroupColHdrMgr" ) *230 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) *231 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) *232 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) *233 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) *234 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) *235 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) *236 (LeafLogPort port (LogicalPort m 4 decl (Decl n "dout_sig" t "STD_LOGIC" o 5 suid 1,0 i "'0'" ) ) uid 441,0 ) *237 (LeafLogPort port (LogicalPort m 4 decl (Decl n "read_sig" t "STD_LOGIC" o 6 suid 2,0 i "'0'" ) ) uid 443,0 ) *238 (LeafLogPort port (LogicalPort m 4 decl (Decl n "shift_sig" t "STD_LOGIC" o 7 suid 3,0 i "'0'" ) ) uid 445,0 ) *239 (LeafLogPort port (LogicalPort m 4 decl (Decl n "dna_sig" t "STD_LOGIC_VECTOR" b "(63 downto 0)" o 8 suid 4,0 i "(others => '0')" ) ) uid 447,0 ) *240 (LeafLogPort port (LogicalPort m 4 decl (Decl n "shift_cntr" t "INTEGER" b "RANGE 0 to 64" o 9 suid 6,0 i "0" ) ) uid 451,0 ) *241 (LeafLogPort port (LogicalPort decl (Decl n "clk" t "STD_LOGIC" preAdd 0 posAdd 0 o 1 suid 7,0 ) ) uid 453,0 ) *242 (LeafLogPort port (LogicalPort decl (Decl n "start" t "STD_LOGIC" preAdd 0 posAdd 0 o 2 suid 8,0 ) ) uid 455,0 ) *243 (LeafLogPort port (LogicalPort m 1 decl (Decl n "dna" t "STD_LOGIC_VECTOR" b "(63 downto 0)" preAdd 0 posAdd 0 o 3 suid 9,0 i "(others => '0')" ) ) uid 457,0 ) *244 (LeafLogPort port (LogicalPort m 1 decl (Decl n "ready" t "STD_LOGIC" preAdd 0 posAdd 0 o 4 suid 10,0 i "'0'" ) ) uid 459,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 optionalChildren [ *245 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *246 (MRCItem litem &223 pos 3 dimension 20 ) optionalChildren [ *247 (MRCItem litem &224 pos 0 dimension 20 ) *248 (MRCItem litem &225 pos 1 dimension 23 ) *249 (MRCItem litem &226 pos 2 hidden 1 dimension 20 ) *250 (MRCItem litem &236 pos 4 dimension 20 uid 442,0 ) *251 (MRCItem litem &237 pos 5 dimension 20 uid 444,0 ) *252 (MRCItem litem &238 pos 6 dimension 20 uid 446,0 ) *253 (MRCItem litem &239 pos 7 dimension 20 uid 448,0 ) *254 (MRCItem litem &240 pos 8 dimension 20 uid 452,0 ) *255 (MRCItem litem &241 pos 0 dimension 20 uid 454,0 ) *256 (MRCItem litem &242 pos 1 dimension 20 uid 456,0 ) *257 (MRCItem litem &243 pos 2 dimension 20 uid 458,0 ) *258 (MRCItem litem &244 pos 3 dimension 20 uid 460,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) optionalChildren [ *259 (MRCItem litem &227 pos 0 dimension 20 ) *260 (MRCItem litem &229 pos 1 dimension 50 ) *261 (MRCItem litem &230 pos 2 dimension 100 ) *262 (MRCItem litem &231 pos 3 dimension 50 ) *263 (MRCItem litem &232 pos 4 dimension 100 ) *264 (MRCItem litem &233 pos 5 dimension 100 ) *265 (MRCItem litem &234 pos 6 dimension 50 ) *266 (MRCItem litem &235 pos 7 dimension 80 ) ] ) fixedCol 4 fixedRow 2 name "Ports" vaOverrides [ ] ) ] ) ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *267 (LEmptyRow ) optionalChildren [ *268 (RefLabelRowHdr ) *269 (TitleRowHdr ) *270 (FilterRowHdr ) *271 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *272 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *273 (GroupColHdr tm "GroupColHdrMgr" ) *274 (NameColHdr tm "GenericNameColHdrMgr" ) *275 (TypeColHdr tm "GenericTypeColHdrMgr" ) *276 (InitColHdr tm "GenericValueColHdrMgr" ) *277 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *278 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 optionalChildren [ *279 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *280 (MRCItem litem &267 pos 3 dimension 20 ) optionalChildren [ *281 (MRCItem litem &268 pos 0 dimension 20 ) *282 (MRCItem litem &269 pos 1 dimension 23 ) *283 (MRCItem litem &270 pos 2 hidden 1 dimension 20 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) optionalChildren [ *284 (MRCItem litem &271 pos 0 dimension 20 ) *285 (MRCItem litem &273 pos 1 dimension 50 ) *286 (MRCItem litem &274 pos 2 dimension 100 ) *287 (MRCItem litem &275 pos 3 dimension 100 ) *288 (MRCItem litem &276 pos 4 dimension 50 ) *289 (MRCItem litem &277 pos 5 dimension 50 ) *290 (MRCItem litem &278 pos 6 dimension 80 ) ] ) fixedCol 3 fixedRow 2 name "Ports" vaOverrides [ ] ) ] ) type 1 ) )