source: firmware/FAD/FACT_FAD_lib/hds/dna_gen/@behavioral.bd@ 20115

Last change on this file since 20115 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 90.0 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5]
6instances [
7(Instance
8name "DNA_PORT_inst"
9duLibraryName "unisim"
10duName "DNA_PORT"
11elements [
12(GiElement
13name "SIM_DNA_VALUE"
14type "bit_vector"
15value "DNA_FOR_SIM"
16)
17]
18mwi 0
19uid 97,0
20)
21]
22embeddedInstances [
23(EmbeddedInstance
24name "SM"
25number "1"
26view 1
27machine (Machine
28name "FTU_dna_gen_State"
29children [
30(Machine
31name "FTU_dna_gen_State"
32children [
33]
34stateSignalName "FTU_dna_gen_State"
35)
36]
37)
38)
39(EmbeddedInstance
40name "eb1"
41number "2"
42)
43]
44)
45version "29.1"
46appVersion "2009.2 (Build 10)"
47model (BlockDiag
48VExpander (VariableExpander
49vvMap [
50(vvPair
51variable "HDLDir"
52value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
53)
54(vvPair
55variable "HDSDir"
56value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
57)
58(vvPair
59variable "SideDataDesignDir"
60value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen\\@behavioral.bd.info"
61)
62(vvPair
63variable "SideDataUserDir"
64value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen\\@behavioral.bd.user"
65)
66(vvPair
67variable "SourceDir"
68value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
69)
70(vvPair
71variable "appl"
72value "HDL Designer"
73)
74(vvPair
75variable "arch_name"
76value "Behavioral"
77)
78(vvPair
79variable "config"
80value "%(unit)_%(view)_config"
81)
82(vvPair
83variable "d"
84value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen"
85)
86(vvPair
87variable "d_logical"
88value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FTU_dna_gen"
89)
90(vvPair
91variable "date"
92value "15.02.2011"
93)
94(vvPair
95variable "day"
96value "Di"
97)
98(vvPair
99variable "day_long"
100value "Dienstag"
101)
102(vvPair
103variable "dd"
104value "15"
105)
106(vvPair
107variable "entity_name"
108value "FTU_dna_gen"
109)
110(vvPair
111variable "ext"
112value "<TBD>"
113)
114(vvPair
115variable "f"
116value "@behavioral.bd"
117)
118(vvPair
119variable "f_logical"
120value "Behavioral.bd"
121)
122(vvPair
123variable "f_noext"
124value "@behavioral"
125)
126(vvPair
127variable "group"
128value "UNKNOWN"
129)
130(vvPair
131variable "host"
132value "E5B-LABOR6"
133)
134(vvPair
135variable "language"
136value "VHDL"
137)
138(vvPair
139variable "library"
140value "FACT_FAD_lib"
141)
142(vvPair
143variable "library_downstream_HdsLintPlugin"
144value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
145)
146(vvPair
147variable "library_downstream_ISEPARInvoke"
148value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
149)
150(vvPair
151variable "library_downstream_ImpactInvoke"
152value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
153)
154(vvPair
155variable "library_downstream_ModelSimCompiler"
156value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
157)
158(vvPair
159variable "library_downstream_XSTDataPrep"
160value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
161)
162(vvPair
163variable "mm"
164value "02"
165)
166(vvPair
167variable "module_name"
168value "FTU_dna_gen"
169)
170(vvPair
171variable "month"
172value "Feb"
173)
174(vvPair
175variable "month_long"
176value "Februar"
177)
178(vvPair
179variable "p"
180value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@t@u_dna_gen\\@behavioral.bd"
181)
182(vvPair
183variable "p_logical"
184value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FTU_dna_gen\\Behavioral.bd"
185)
186(vvPair
187variable "package_name"
188value "<Undefined Variable>"
189)
190(vvPair
191variable "project_name"
192value "FACT_FAD"
193)
194(vvPair
195variable "series"
196value "HDL Designer Series"
197)
198(vvPair
199variable "task_DesignCompilerPath"
200value "<TBD>"
201)
202(vvPair
203variable "task_LeonardoPath"
204value "<TBD>"
205)
206(vvPair
207variable "task_ModelSimPath"
208value "C:\\modeltech_6.6a\\win32"
209)
210(vvPair
211variable "task_NC-SimPath"
212value "<TBD>"
213)
214(vvPair
215variable "task_PrecisionRTLPath"
216value "<TBD>"
217)
218(vvPair
219variable "task_QuestaSimPath"
220value "<TBD>"
221)
222(vvPair
223variable "task_VCSPath"
224value "<TBD>"
225)
226(vvPair
227variable "this_ext"
228value "bd"
229)
230(vvPair
231variable "this_file"
232value "@behavioral"
233)
234(vvPair
235variable "this_file_logical"
236value "Behavioral"
237)
238(vvPair
239variable "time"
240value "09:15:09"
241)
242(vvPair
243variable "unit"
244value "FTU_dna_gen"
245)
246(vvPair
247variable "user"
248value "dneise"
249)
250(vvPair
251variable "version"
252value "2009.2 (Build 10)"
253)
254(vvPair
255variable "view"
256value "Behavioral"
257)
258(vvPair
259variable "year"
260value "2011"
261)
262(vvPair
263variable "yy"
264value "11"
265)
266]
267)
268LanguageMgr "VhdlLangMgr"
269optionalChildren [
270*1 (Net
271uid 9,0
272decl (Decl
273n "dout_sig"
274t "STD_LOGIC"
275o 5
276suid 1,0
277i "'0'"
278)
279declText (MLText
280uid 10,0
281va (VaSet
282isHidden 1
283font "Courier New,8,0"
284)
285xt "15000,32000,45000,32800"
286st "SIGNAL dout_sig : STD_LOGIC := '0'
287"
288)
289)
290*2 (Net
291uid 11,0
292decl (Decl
293n "read_sig"
294t "STD_LOGIC"
295o 6
296suid 2,0
297i "'0'"
298)
299declText (MLText
300uid 12,0
301va (VaSet
302isHidden 1
303font "Courier New,8,0"
304)
305xt "15000,32800,45000,33600"
306st "SIGNAL read_sig : STD_LOGIC := '0'
307"
308)
309)
310*3 (Net
311uid 13,0
312decl (Decl
313n "shift_sig"
314t "STD_LOGIC"
315o 7
316suid 3,0
317i "'0'"
318)
319declText (MLText
320uid 14,0
321va (VaSet
322isHidden 1
323font "Courier New,8,0"
324)
325xt "15000,33600,45000,34400"
326st "SIGNAL shift_sig : STD_LOGIC := '0'
327"
328)
329)
330*4 (Net
331uid 15,0
332decl (Decl
333n "dna_sig"
334t "STD_LOGIC_VECTOR"
335b "(63 downto 0)"
336o 8
337suid 4,0
338i "(others => '0')"
339)
340declText (MLText
341uid 16,0
342va (VaSet
343isHidden 1
344font "Courier New,8,0"
345)
346xt "15000,34400,51000,35200"
347st "SIGNAL dna_sig : STD_LOGIC_VECTOR(63 downto 0) := (others => '0')
348"
349)
350)
351*5 (Net
352uid 19,0
353decl (Decl
354n "shift_cntr"
355t "INTEGER"
356b "RANGE 0 to 64"
357o 9
358suid 6,0
359i "0"
360)
361declText (MLText
362uid 20,0
363va (VaSet
364isHidden 1
365font "Courier New,8,0"
366)
367xt "15000,35200,44000,36000"
368st "SIGNAL shift_cntr : INTEGER RANGE 0 to 64 := 0
369"
370)
371)
372*6 (PortIoIn
373uid 21,0
374shape (CompositeShape
375uid 22,0
376va (VaSet
377vasetType 1
378fg "0,0,32768"
379)
380optionalChildren [
381(Pentagon
382uid 23,0
383sl 0
384ro 270
385xt "-5000,17625,-3500,18375"
386)
387(Line
388uid 24,0
389sl 0
390ro 270
391xt "-3500,18000,-3000,18000"
392pts [
393"-3500,18000"
394"-3000,18000"
395]
396)
397]
398)
399stc 0
400sf 1
401tg (WTG
402uid 25,0
403ps "PortIoTextPlaceStrategy"
404stg "STSignalDisplayStrategy"
405f (Text
406uid 26,0
407va (VaSet
408)
409xt "-7300,17500,-6000,18500"
410st "clk"
411ju 2
412blo "-6000,18300"
413tm "WireNameMgr"
414)
415)
416)
417*7 (Net
418uid 27,0
419decl (Decl
420n "clk"
421t "STD_LOGIC"
422preAdd 0
423posAdd 0
424o 1
425suid 7,0
426)
427declText (MLText
428uid 28,0
429va (VaSet
430isHidden 1
431font "Courier New,8,0"
432)
433xt "15000,26000,27500,26800"
434st "clk : STD_LOGIC
435"
436)
437)
438*8 (PortIoIn
439uid 35,0
440shape (CompositeShape
441uid 36,0
442va (VaSet
443vasetType 1
444fg "0,0,32768"
445)
446optionalChildren [
447(Pentagon
448uid 37,0
449sl 0
450ro 270
451xt "4000,-1375,5500,-625"
452)
453(Line
454uid 38,0
455sl 0
456ro 270
457xt "5500,-1000,6000,-1000"
458pts [
459"5500,-1000"
460"6000,-1000"
461]
462)
463]
464)
465stc 0
466sf 1
467tg (WTG
468uid 39,0
469ps "PortIoTextPlaceStrategy"
470stg "STSignalDisplayStrategy"
471f (Text
472uid 40,0
473va (VaSet
474)
475xt "1100,-1500,3000,-500"
476st "start"
477ju 2
478blo "3000,-700"
479tm "WireNameMgr"
480)
481)
482)
483*9 (Net
484uid 41,0
485decl (Decl
486n "start"
487t "STD_LOGIC"
488preAdd 0
489posAdd 0
490o 2
491suid 8,0
492)
493declText (MLText
494uid 42,0
495va (VaSet
496isHidden 1
497font "Courier New,8,0"
498)
499xt "15000,26800,27500,27600"
500st "start : STD_LOGIC
501"
502)
503)
504*10 (PortIoOut
505uid 49,0
506shape (CompositeShape
507uid 50,0
508va (VaSet
509vasetType 1
510fg "0,0,32768"
511)
512optionalChildren [
513(Pentagon
514uid 51,0
515sl 0
516ro 270
517xt "28500,10625,30000,11375"
518)
519(Line
520uid 52,0
521sl 0
522ro 270
523xt "28000,11000,28500,11000"
524pts [
525"28000,11000"
526"28500,11000"
527]
528)
529]
530)
531stc 0
532sf 1
533tg (WTG
534uid 53,0
535ps "PortIoTextPlaceStrategy"
536stg "STSignalDisplayStrategy"
537f (Text
538uid 54,0
539va (VaSet
540)
541xt "31000,10500,32600,11500"
542st "dna"
543blo "31000,11300"
544tm "WireNameMgr"
545)
546)
547)
548*11 (Net
549uid 55,0
550decl (Decl
551n "dna"
552t "STD_LOGIC_VECTOR"
553b "(63 downto 0)"
554preAdd 0
555posAdd 0
556o 3
557suid 9,0
558i "(others => '0')"
559)
560declText (MLText
561uid 56,0
562va (VaSet
563isHidden 1
564font "Courier New,8,0"
565)
566xt "15000,27600,47500,28400"
567st "dna : STD_LOGIC_VECTOR(63 downto 0) := (others => '0')
568"
569)
570)
571*12 (PortIoOut
572uid 63,0
573shape (CompositeShape
574uid 64,0
575va (VaSet
576vasetType 1
577fg "0,0,32768"
578)
579optionalChildren [
580(Pentagon
581uid 65,0
582sl 0
583ro 270
584xt "11500,-1375,13000,-625"
585)
586(Line
587uid 66,0
588sl 0
589ro 270
590xt "11000,-1000,11500,-1000"
591pts [
592"11000,-1000"
593"11500,-1000"
594]
595)
596]
597)
598stc 0
599sf 1
600tg (WTG
601uid 67,0
602ps "PortIoTextPlaceStrategy"
603stg "STSignalDisplayStrategy"
604f (Text
605uid 68,0
606va (VaSet
607)
608xt "14000,-1500,16200,-500"
609st "ready"
610blo "14000,-700"
611tm "WireNameMgr"
612)
613)
614)
615*13 (Net
616uid 69,0
617decl (Decl
618n "ready"
619t "STD_LOGIC"
620preAdd 0
621posAdd 0
622o 4
623suid 10,0
624i "'0'"
625)
626declText (MLText
627uid 70,0
628va (VaSet
629isHidden 1
630font "Courier New,8,0"
631)
632xt "15000,28400,41500,29200"
633st "ready : STD_LOGIC := '0'
634"
635)
636)
637*14 (SaComponent
638uid 97,0
639optionalChildren [
640*15 (CptPort
641uid 107,0
642ps "OnEdgeStrategy"
643shape (Triangle
644uid 108,0
645ro 90
646va (VaSet
647vasetType 1
648fg "0,65535,0"
649)
650xt "29000,2625,29750,3375"
651)
652tg (CPTG
653uid 109,0
654ps "CptPortTextPlaceStrategy"
655stg "RightVerticalLayoutStrategy"
656f (Text
657uid 110,0
658va (VaSet
659)
660xt "25300,2500,28000,3500"
661st "DOUT"
662ju 2
663blo "28000,3300"
664)
665)
666thePort (LogicalPort
667m 1
668decl (Decl
669n "DOUT"
670t "std_ulogic"
671preAdd 0
672posAdd 0
673o 1
674)
675)
676)
677*16 (CptPort
678uid 111,0
679ps "OnEdgeStrategy"
680shape (Triangle
681uid 112,0
682ro 90
683va (VaSet
684vasetType 1
685fg "0,65535,0"
686)
687xt "21250,1625,22000,2375"
688)
689tg (CPTG
690uid 113,0
691ps "CptPortTextPlaceStrategy"
692stg "VerticalLayoutStrategy"
693f (Text
694uid 114,0
695va (VaSet
696)
697xt "23000,1500,24900,2500"
698st "CLK"
699blo "23000,2300"
700)
701)
702thePort (LogicalPort
703decl (Decl
704n "CLK"
705t "std_ulogic"
706preAdd 0
707posAdd 0
708o 2
709)
710)
711)
712*17 (CptPort
713uid 115,0
714ps "OnEdgeStrategy"
715shape (Triangle
716uid 116,0
717ro 90
718va (VaSet
719vasetType 1
720fg "0,65535,0"
721)
722xt "21250,2625,22000,3375"
723)
724tg (CPTG
725uid 117,0
726ps "CptPortTextPlaceStrategy"
727stg "VerticalLayoutStrategy"
728f (Text
729uid 118,0
730va (VaSet
731)
732xt "23000,2500,24800,3500"
733st "DIN"
734blo "23000,3300"
735)
736)
737thePort (LogicalPort
738decl (Decl
739n "DIN"
740t "std_ulogic"
741preAdd 0
742posAdd 0
743o 3
744)
745)
746)
747*18 (CptPort
748uid 119,0
749ps "OnEdgeStrategy"
750shape (Triangle
751uid 120,0
752ro 90
753va (VaSet
754vasetType 1
755fg "0,65535,0"
756)
757xt "21250,625,22000,1375"
758)
759tg (CPTG
760uid 121,0
761ps "CptPortTextPlaceStrategy"
762stg "VerticalLayoutStrategy"
763f (Text
764uid 122,0
765va (VaSet
766)
767xt "23000,500,25600,1500"
768st "READ"
769blo "23000,1300"
770)
771)
772thePort (LogicalPort
773decl (Decl
774n "READ"
775t "std_ulogic"
776preAdd 0
777posAdd 0
778o 4
779)
780)
781)
782*19 (CptPort
783uid 123,0
784ps "OnEdgeStrategy"
785shape (Triangle
786uid 124,0
787ro 90
788va (VaSet
789vasetType 1
790fg "0,65535,0"
791)
792xt "21250,-375,22000,375"
793)
794tg (CPTG
795uid 125,0
796ps "CptPortTextPlaceStrategy"
797stg "VerticalLayoutStrategy"
798f (Text
799uid 126,0
800va (VaSet
801)
802xt "23000,-500,25700,500"
803st "SHIFT"
804blo "23000,300"
805)
806)
807thePort (LogicalPort
808decl (Decl
809n "SHIFT"
810t "std_ulogic"
811preAdd 0
812posAdd 0
813o 5
814)
815)
816)
817*20 (PortMapFrame
818uid 127,0
819ps "PortMapFrameStrategy"
820shape (RectFrame
821uid 128,0
822va (VaSet
823vasetType 1
824fg "65535,65535,65535"
825lineColor "0,0,32768"
826lineWidth 2
827)
828xt "20000,-3000,31000,6000"
829)
830portMapText (BiTextGroup
831uid 129,0
832ps "BottomRightOffsetStrategy"
833stg "VerticalLayoutStrategy"
834first (MLText
835uid 130,0
836va (VaSet
837isHidden 1
838)
839xt "31000,6000,38600,10000"
840st "CLK => clk,
841READ => read_sig,
842SHIFT => shift_sig,
843DOUT => dout_sig,"
844)
845second (MLText
846uid 131,0
847va (VaSet
848isHidden 1
849)
850xt "31000,10000,35400,11000"
851st "DIN => '0'"
852tm "PortMapTextMgr"
853)
854)
855)
856]
857shape (Rectangle
858uid 98,0
859va (VaSet
860vasetType 1
861fg "0,65535,0"
862lineColor "0,32896,0"
863lineWidth 2
864)
865xt "22000,-1000,29000,4000"
866)
867ttg (MlTextGroup
868uid 99,0
869ps "CenterOffsetStrategy"
870stg "VerticalLayoutStrategy"
871textVec [
872*21 (Text
873uid 100,0
874va (VaSet
875isHidden 1
876font "Arial,8,1"
877)
878xt "22050,3000,24950,4000"
879st "unisim"
880blo "22050,3800"
881tm "BdLibraryNameMgr"
882)
883*22 (Text
884uid 101,0
885va (VaSet
886font "Arial,8,1"
887)
888xt "22050,4000,26750,5000"
889st "DNA_PORT"
890blo "22050,4800"
891tm "CptNameMgr"
892)
893*23 (Text
894uid 102,0
895va (VaSet
896font "Arial,8,1"
897)
898xt "22050,5000,28950,6000"
899st "DNA_PORT_inst"
900blo "22050,5800"
901tm "InstanceNameMgr"
902)
903]
904)
905ga (GenericAssociation
906uid 103,0
907ps "EdgeToEdgeStrategy"
908matrix (Matrix
909uid 104,0
910text (MLText
911uid 105,0
912va (VaSet
913isHidden 1
914font "Courier New,8,0"
915)
916xt "21150,-4300,46650,-3500"
917st "SIM_DNA_VALUE = DNA_FOR_SIM ( bit_vector )
918"
919)
920header ""
921)
922elements [
923(GiElement
924name "SIM_DNA_VALUE"
925type "bit_vector"
926value "DNA_FOR_SIM"
927)
928]
929)
930viewicon (ZoomableIcon
931uid 106,0
932sl 0
933va (VaSet
934vasetType 1
935fg "49152,49152,49152"
936)
937xt "22250,2250,23750,3750"
938iconName "VhdlFileViewIcon.png"
939iconMaskName "VhdlFileViewIcon.msk"
940ftype 10
941)
942viewiconposition 0
943portVis (PortSigDisplay
944sTC 0
945)
946archFileType "UNKNOWN"
947)
948*24 (HdlText
949uid 156,0
950optionalChildren [
951*25 (SmEmbeddedModel
952version "23.1"
953model (StateMachine
954uid 163,0
955optionalChildren [
956*26 (ConcurrentSM
957uid 248,0
958topDiagram (StateDiagram
959LanguageMgr "None"
960uid 249,0
961optionalChildren [
962*27 (State
963uid 285,0
964shape (Circle
965uid 286,0
966va (VaSet
967vasetType 1
968fg "0,65535,65535"
969lineColor "0,32768,49152"
970lineWidth 2
971)
972xt "27477,4000,33477,10000"
973radius 3000
974)
975name (Text
976uid 287,0
977va (VaSet
978font "Arial,10,1"
979)
980xt "29027,6400,31927,7600"
981st "IDLE"
982ju 0
983blo "30477,7400"
984tm "ONodeName"
985)
986wait (TextAssociate
987uid 288,0
988ps "CenterOffsetStrategy"
989text (Text
990uid 289,0
991va (VaSet
992isHidden 1
993fg "0,0,32768"
994font "arial,10,1"
995)
996xt "30577,7300,34177,8500"
997st "wait 2"
998blo "30577,8300"
999tm "SmWaitText"
1000)
1001)
1002encoding (Text
1003uid 290,0
1004va (VaSet
1005isHidden 1
1006font "Arial,8,1"
1007)
1008xt "30477,8000,30477,8000"
1009blo "30477,8000"
1010tm "SmEncodingMgr"
1011)
1012actionBlk (SmStateActionsBlock
1013uid 293,0
1014ps "CenterOffsetStrategy"
1015shape (Rectangle
1016uid 294,0
1017va (VaSet
1018vasetType 1
1019isHidden 1
1020fg "65535,65535,65535"
1021bg "0,0,0"
1022lineColor "39936,56832,65280"
1023lineWidth -1
1024)
1025xt "34377,10100,34577,10300"
1026)
1027autoResize 1
1028tline (Line
1029uid 295,0
1030va (VaSet
1031vasetType 3
1032isHidden 1
1033lineColor "39936,56832,65280"
1034lineWidth -1
1035)
1036xt "30477,7000,30477,7000"
1037pts [
1038"30477,7000"
1039"30477,7000"
1040]
1041)
1042bline (Line
1043uid 296,0
1044va (VaSet
1045vasetType 3
1046isHidden 1
1047lineColor "39936,56832,65280"
1048lineWidth -1
1049)
1050xt "30477,7000,30477,7000"
1051pts [
1052"30477,7000"
1053"30477,7000"
1054]
1055)
1056ttri (Triangle
1057uid 297,0
1058ro 90
1059va (VaSet
1060vasetType 1
1061isHidden 1
1062fg "0,32768,49152"
1063bg "0,0,0"
1064lineColor "39936,56832,65280"
1065lineWidth -1
1066)
1067xt "30027,6825,30377,7175"
1068)
1069btri (Triangle
1070uid 298,0
1071ro 270
1072va (VaSet
1073vasetType 1
1074isHidden 1
1075fg "0,32768,49152"
1076bg "0,0,0"
1077lineColor "39936,56832,65280"
1078lineWidth -1
1079)
1080xt "30027,6825,30377,7175"
1081)
1082entryActions (MLText
1083uid 299,0
1084va (VaSet
1085)
1086xt "30477,7000,30477,7000"
1087tm "Actions"
1088)
1089inActions (MLText
1090uid 300,0
1091va (VaSet
1092)
1093xt "30477,7000,36677,10000"
1094st "ready <= '0';
1095read_sig <= '0';
1096shift_sig <= '0';"
1097tm "Actions"
1098)
1099exitActions (MLText
1100uid 301,0
1101va (VaSet
1102)
1103xt "30477,7000,30477,7000"
1104tm "Actions"
1105)
1106)
1107caseExpr (TextAssociate
1108uid 291,0
1109ps "CenterOffsetStrategy"
1110text (MLText
1111uid 292,0
1112va (VaSet
1113isHidden 1
1114font "Arial,8,1"
1115)
1116xt "28877,8000,33877,9000"
1117st "CASE: expr"
1118tm "SmCaseExpr"
1119)
1120)
1121)
1122*28 (State
1123uid 302,0
1124shape (Circle
1125uid 303,0
1126va (VaSet
1127vasetType 1
1128fg "0,65535,65535"
1129lineColor "0,32768,49152"
1130lineWidth 2
1131)
1132xt "52237,28740,59669,36172"
1133radius 3716
1134)
1135name (Text
1136uid 304,0
1137va (VaSet
1138font "Arial,10,1"
1139)
1140xt "52903,31856,59003,33056"
1141st "READ_DNA"
1142ju 0
1143blo "55953,32856"
1144tm "ONodeName"
1145)
1146wait (TextAssociate
1147uid 305,0
1148ps "CenterOffsetStrategy"
1149text (Text
1150uid 306,0
1151va (VaSet
1152isHidden 1
1153fg "0,0,32768"
1154font "arial,10,1"
1155)
1156xt "56053,32756,59653,33956"
1157st "wait 2"
1158blo "56053,33756"
1159tm "SmWaitText"
1160)
1161)
1162encoding (Text
1163uid 307,0
1164va (VaSet
1165isHidden 1
1166font "Arial,8,1"
1167)
1168xt "55953,33456,55953,33456"
1169blo "55953,33456"
1170tm "SmEncodingMgr"
1171)
1172actionBlk (SmStateActionsBlock
1173uid 310,0
1174ps "CenterOffsetStrategy"
1175shape (Rectangle
1176uid 311,0
1177va (VaSet
1178vasetType 1
1179isHidden 1
1180fg "65535,65535,65535"
1181bg "0,0,0"
1182lineColor "39936,56832,65280"
1183lineWidth -1
1184)
1185xt "59853,35556,60053,35756"
1186)
1187autoResize 1
1188tline (Line
1189uid 312,0
1190va (VaSet
1191vasetType 3
1192isHidden 1
1193lineColor "39936,56832,65280"
1194lineWidth -1
1195)
1196xt "55953,32456,55953,32456"
1197pts [
1198"55953,32456"
1199"55953,32456"
1200]
1201)
1202bline (Line
1203uid 313,0
1204va (VaSet
1205vasetType 3
1206isHidden 1
1207lineColor "39936,56832,65280"
1208lineWidth -1
1209)
1210xt "55953,32456,55953,32456"
1211pts [
1212"55953,32456"
1213"55953,32456"
1214]
1215)
1216ttri (Triangle
1217uid 314,0
1218ro 90
1219va (VaSet
1220vasetType 1
1221isHidden 1
1222fg "0,32768,49152"
1223bg "0,0,0"
1224lineColor "39936,56832,65280"
1225lineWidth -1
1226)
1227xt "55503,32281,55853,32631"
1228)
1229btri (Triangle
1230uid 315,0
1231ro 270
1232va (VaSet
1233vasetType 1
1234isHidden 1
1235fg "0,32768,49152"
1236bg "0,0,0"
1237lineColor "39936,56832,65280"
1238lineWidth -1
1239)
1240xt "55503,32281,55853,32631"
1241)
1242entryActions (MLText
1243uid 316,0
1244va (VaSet
1245)
1246xt "55953,32456,55953,32456"
1247tm "Actions"
1248)
1249inActions (MLText
1250uid 317,0
1251va (VaSet
1252)
1253xt "55953,32456,62153,35456"
1254st "ready <= '0';
1255read_sig <= '1';
1256shift_sig <= '0';"
1257tm "Actions"
1258)
1259exitActions (MLText
1260uid 318,0
1261va (VaSet
1262)
1263xt "55953,32456,55953,32456"
1264tm "Actions"
1265)
1266)
1267caseExpr (TextAssociate
1268uid 308,0
1269ps "CenterOffsetStrategy"
1270text (MLText
1271uid 309,0
1272va (VaSet
1273isHidden 1
1274font "Arial,8,1"
1275)
1276xt "54353,33456,59353,34456"
1277st "CASE: expr"
1278tm "SmCaseExpr"
1279)
1280)
1281impLoop 0
1282)
1283*29 (State
1284uid 319,0
1285shape (Circle
1286uid 320,0
1287va (VaSet
1288vasetType 1
1289fg "0,65535,65535"
1290lineColor "0,32768,49152"
1291lineWidth 2
1292)
1293xt "26721,54237,34153,61669"
1294radius 3716
1295)
1296name (Text
1297uid 321,0
1298va (VaSet
1299font "Arial,10,1"
1300)
1301xt "27387,57353,33487,58553"
1302st "SHIFT_DNA"
1303ju 0
1304blo "30437,58353"
1305tm "ONodeName"
1306)
1307wait (TextAssociate
1308uid 322,0
1309ps "CenterOffsetStrategy"
1310text (Text
1311uid 323,0
1312va (VaSet
1313isHidden 1
1314fg "0,0,32768"
1315font "arial,10,1"
1316)
1317xt "30537,58253,34137,59453"
1318st "wait 2"
1319blo "30537,59253"
1320tm "SmWaitText"
1321)
1322)
1323encoding (Text
1324uid 324,0
1325va (VaSet
1326isHidden 1
1327font "Arial,8,1"
1328)
1329xt "30437,58953,30437,58953"
1330blo "30437,58953"
1331tm "SmEncodingMgr"
1332)
1333actionBlk (SmStateActionsBlock
1334uid 327,0
1335ps "CenterOffsetStrategy"
1336shape (Rectangle
1337uid 328,0
1338va (VaSet
1339vasetType 1
1340isHidden 1
1341fg "65535,65535,65535"
1342bg "0,0,0"
1343lineColor "39936,56832,65280"
1344lineWidth -1
1345)
1346xt "34337,61053,34537,61253"
1347)
1348autoResize 1
1349tline (Line
1350uid 329,0
1351va (VaSet
1352vasetType 3
1353isHidden 1
1354lineColor "39936,56832,65280"
1355lineWidth -1
1356)
1357xt "30437,57953,30437,57953"
1358pts [
1359"30437,57953"
1360"30437,57953"
1361]
1362)
1363bline (Line
1364uid 330,0
1365va (VaSet
1366vasetType 3
1367isHidden 1
1368lineColor "39936,56832,65280"
1369lineWidth -1
1370)
1371xt "30437,57953,30437,57953"
1372pts [
1373"30437,57953"
1374"30437,57953"
1375]
1376)
1377ttri (Triangle
1378uid 331,0
1379ro 90
1380va (VaSet
1381vasetType 1
1382isHidden 1
1383fg "0,32768,49152"
1384bg "0,0,0"
1385lineColor "39936,56832,65280"
1386lineWidth -1
1387)
1388xt "29987,57778,30337,58128"
1389)
1390btri (Triangle
1391uid 332,0
1392ro 270
1393va (VaSet
1394vasetType 1
1395isHidden 1
1396fg "0,32768,49152"
1397bg "0,0,0"
1398lineColor "39936,56832,65280"
1399lineWidth -1
1400)
1401xt "29987,57778,30337,58128"
1402)
1403entryActions (MLText
1404uid 333,0
1405va (VaSet
1406)
1407xt "30437,57953,30437,57953"
1408tm "Actions"
1409)
1410inActions (MLText
1411uid 334,0
1412va (VaSet
1413)
1414xt "30437,57953,40737,60953"
1415st "shift_cntr <= shift_cntr + 1;
1416ready <= '0';
1417read_sig <= '0';"
1418tm "Actions"
1419)
1420exitActions (MLText
1421uid 335,0
1422va (VaSet
1423)
1424xt "30437,57953,30437,57953"
1425tm "Actions"
1426)
1427)
1428caseExpr (TextAssociate
1429uid 325,0
1430ps "CenterOffsetStrategy"
1431text (MLText
1432uid 326,0
1433va (VaSet
1434isHidden 1
1435font "Arial,8,1"
1436)
1437xt "28837,58953,33837,59953"
1438st "CASE: expr"
1439tm "SmCaseExpr"
1440)
1441)
1442impLoop 0
1443)
1444*30 (State
1445uid 336,0
1446shape (Circle
1447uid 337,0
1448va (VaSet
1449vasetType 1
1450fg "0,65535,65535"
1451lineColor "0,32768,49152"
1452lineWidth 2
1453)
1454xt "949,28404,9053,36508"
1455radius 4052
1456)
1457name (Text
1458uid 338,0
1459va (VaSet
1460font "Arial,10,1"
1461)
1462xt "1601,31856,8401,33056"
1463st "DNA_READY"
1464ju 0
1465blo "5001,32856"
1466tm "ONodeName"
1467)
1468wait (TextAssociate
1469uid 339,0
1470ps "CenterOffsetStrategy"
1471text (Text
1472uid 340,0
1473va (VaSet
1474isHidden 1
1475fg "0,0,32768"
1476font "arial,10,1"
1477)
1478xt "5101,32756,8701,33956"
1479st "wait 2"
1480blo "5101,33756"
1481tm "SmWaitText"
1482)
1483)
1484encoding (Text
1485uid 341,0
1486va (VaSet
1487isHidden 1
1488font "Arial,8,1"
1489)
1490xt "5001,33456,5001,33456"
1491blo "5001,33456"
1492tm "SmEncodingMgr"
1493)
1494actionBlk (SmStateActionsBlock
1495uid 344,0
1496ps "CenterOffsetStrategy"
1497shape (Rectangle
1498uid 345,0
1499va (VaSet
1500vasetType 1
1501isHidden 1
1502fg "65535,65535,65535"
1503bg "0,0,0"
1504lineColor "39936,56832,65280"
1505lineWidth -1
1506)
1507xt "8901,35556,9101,35756"
1508)
1509autoResize 1
1510tline (Line
1511uid 346,0
1512va (VaSet
1513vasetType 3
1514isHidden 1
1515lineColor "39936,56832,65280"
1516lineWidth -1
1517)
1518xt "5001,32456,5001,32456"
1519pts [
1520"5001,32456"
1521"5001,32456"
1522]
1523)
1524bline (Line
1525uid 347,0
1526va (VaSet
1527vasetType 3
1528isHidden 1
1529lineColor "39936,56832,65280"
1530lineWidth -1
1531)
1532xt "5001,32456,5001,32456"
1533pts [
1534"5001,32456"
1535"5001,32456"
1536]
1537)
1538ttri (Triangle
1539uid 348,0
1540ro 90
1541va (VaSet
1542vasetType 1
1543isHidden 1
1544fg "0,32768,49152"
1545bg "0,0,0"
1546lineColor "39936,56832,65280"
1547lineWidth -1
1548)
1549xt "4551,32281,4901,32631"
1550)
1551btri (Triangle
1552uid 349,0
1553ro 270
1554va (VaSet
1555vasetType 1
1556isHidden 1
1557fg "0,32768,49152"
1558bg "0,0,0"
1559lineColor "39936,56832,65280"
1560lineWidth -1
1561)
1562xt "4551,32281,4901,32631"
1563)
1564entryActions (MLText
1565uid 350,0
1566va (VaSet
1567)
1568xt "5001,32456,5001,32456"
1569tm "Actions"
1570)
1571inActions (MLText
1572uid 351,0
1573va (VaSet
1574)
1575xt "5001,32456,11201,35456"
1576st "ready <= '1';
1577read_sig <= '0';
1578shift_sig <= '0';"
1579tm "Actions"
1580)
1581exitActions (MLText
1582uid 352,0
1583va (VaSet
1584)
1585xt "5001,32456,5001,32456"
1586tm "Actions"
1587)
1588)
1589caseExpr (TextAssociate
1590uid 342,0
1591ps "CenterOffsetStrategy"
1592text (MLText
1593uid 343,0
1594va (VaSet
1595isHidden 1
1596font "Arial,8,1"
1597)
1598xt "3401,33456,8401,34456"
1599st "CASE: expr"
1600tm "SmCaseExpr"
1601)
1602)
1603)
1604*31 (SmClockPoint
1605uid 353,0
1606shape (CompositeShape
1607uid 354,0
1608va (VaSet
1609vasetType 1
1610fg "65535,65535,0"
1611)
1612optionalChildren [
1613(Pentagon
1614uid 355,0
1615sl 0
1616ro 270
1617xt "-176,-1500,2074,-500"
1618)
1619(OrthoPolyLine
1620uid 356,0
1621sl 0
1622ro 270
1623va (VaSet
1624vasetType 3
1625isHidden 1
1626)
1627xt "724,-1300,1323,-700"
1628pts [
1629"724,-1300"
1630"1023,-1300"
1631"1023,-700"
1632"1323,-700"
1633]
1634)
1635(Arc2D
1636pts [
1637"333,-847"
1638"78,-1153"
1639"333,-1153"
1640]
1641uid 357,0
1642sl 0
1643ro 270
1644va (VaSet
1645vasetType 1
1646transparent 1
1647)
1648xt "78,-1153,333,-847"
1649)
1650]
1651)
1652name (TextAssociate
1653uid 358,0
1654ps "CenterOffsetStrategy"
1655text (Text
1656uid 359,0
1657va (VaSet
1658font "arial,8,0"
1659)
1660xt "-1976,-1500,-676,-500"
1661st "clk"
1662ju 2
1663blo "-676,-700"
1664tm "SmControlSignalNameMgr"
1665)
1666)
1667cond (SmControlCondition
1668uid 360,0
1669ps "CenterOffsetStrategy"
1670shape (Rectangle
1671uid 361,0
1672va (VaSet
1673vasetType 1
1674fg "65535,65535,65535"
1675lineColor "0,32768,49152"
1676)
1677xt "2074,-1600,9474,-400"
1678)
1679autoResize 1
1680cond (MLText
1681uid 362,0
1682va (VaSet
1683font "arial,8,0"
1684)
1685xt "2174,-1500,9374,-500"
1686st " Falling_edge(clk) "
1687tm "SmControlConditionMgr"
1688)
1689)
1690edge 2
1691)
1692*32 (CommentText
1693uid 403,0
1694shape (Rectangle
1695uid 404,0
1696layer 0
1697va (VaSet
1698vasetType 1
1699fg "65280,65280,46080"
1700lineColor "0,0,32768"
1701)
1702xt "2949,-4000,35949,2000"
1703)
1704text (MLText
1705uid 405,0
1706va (VaSet
1707fg "0,0,32768"
1708font "Arial,10,0"
1709)
1710xt "3149,-3800,35149,1400"
1711st "
1712Created using Mentor Graphics HDL2Graphics(TM) Technology
1713on - 09:15:09 15.02.2011
1714from - C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl\\dna_gen.vhd
1715
1716"
1717tm "CommentText"
1718wrapOption 3
1719visibleHeight 5600
1720visibleWidth 32600
1721)
1722)
1723*33 (Grouping
1724uid 406,0
1725optionalChildren [
1726*34 (CommentText
1727uid 408,0
1728shape (Rectangle
1729uid 409,0
1730sl 0
1731va (VaSet
1732vasetType 1
1733fg "65280,65280,46080"
1734)
1735xt "34000,43000,51000,44000"
1736)
1737oxt "18000,70000,35000,71000"
1738text (MLText
1739uid 410,0
1740va (VaSet
1741fg "0,0,32768"
1742bg "0,0,32768"
1743)
1744xt "34200,43000,43800,44000"
1745st "
1746by %user on %dd %month %year
1747"
1748tm "CommentText"
1749wrapOption 3
1750visibleHeight 1000
1751visibleWidth 17000
1752)
1753position 1
1754ignorePrefs 1
1755titleBlock 1
1756)
1757*35 (CommentText
1758uid 411,0
1759shape (Rectangle
1760uid 412,0
1761sl 0
1762va (VaSet
1763vasetType 1
1764fg "65280,65280,46080"
1765)
1766xt "51000,39000,55000,40000"
1767)
1768oxt "35000,66000,39000,67000"
1769text (MLText
1770uid 413,0
1771va (VaSet
1772fg "0,0,32768"
1773bg "0,0,32768"
1774)
1775xt "51200,39000,54200,40000"
1776st "
1777Project:
1778"
1779tm "CommentText"
1780wrapOption 3
1781visibleHeight 1000
1782visibleWidth 4000
1783)
1784position 1
1785ignorePrefs 1
1786titleBlock 1
1787)
1788*36 (CommentText
1789uid 414,0
1790shape (Rectangle
1791uid 415,0
1792sl 0
1793va (VaSet
1794vasetType 1
1795fg "65280,65280,46080"
1796)
1797xt "34000,41000,51000,42000"
1798)
1799oxt "18000,68000,35000,69000"
1800text (MLText
1801uid 416,0
1802va (VaSet
1803fg "0,0,32768"
1804bg "0,0,32768"
1805)
1806xt "34200,41000,44200,42000"
1807st "
1808<enter diagram title here>
1809"
1810tm "CommentText"
1811wrapOption 3
1812visibleHeight 1000
1813visibleWidth 17000
1814)
1815position 1
1816ignorePrefs 1
1817titleBlock 1
1818)
1819*37 (CommentText
1820uid 417,0
1821shape (Rectangle
1822uid 418,0
1823sl 0
1824va (VaSet
1825vasetType 1
1826fg "65280,65280,46080"
1827)
1828xt "30000,41000,34000,42000"
1829)
1830oxt "14000,68000,18000,69000"
1831text (MLText
1832uid 419,0
1833va (VaSet
1834fg "0,0,32768"
1835bg "0,0,32768"
1836)
1837xt "30200,41000,32300,42000"
1838st "
1839Title:
1840"
1841tm "CommentText"
1842wrapOption 3
1843visibleHeight 1000
1844visibleWidth 4000
1845)
1846position 1
1847ignorePrefs 1
1848titleBlock 1
1849)
1850*38 (CommentText
1851uid 420,0
1852shape (Rectangle
1853uid 421,0
1854sl 0
1855va (VaSet
1856vasetType 1
1857fg "65280,65280,46080"
1858)
1859xt "51000,40000,71000,44000"
1860)
1861oxt "35000,67000,55000,71000"
1862text (MLText
1863uid 422,0
1864va (VaSet
1865fg "0,0,32768"
1866bg "0,0,32768"
1867)
1868xt "51200,40200,60400,41200"
1869st "
1870<enter comments here>
1871"
1872tm "CommentText"
1873wrapOption 3
1874visibleHeight 4000
1875visibleWidth 20000
1876)
1877ignorePrefs 1
1878titleBlock 1
1879)
1880*39 (CommentText
1881uid 423,0
1882shape (Rectangle
1883uid 424,0
1884sl 0
1885va (VaSet
1886vasetType 1
1887fg "65280,65280,46080"
1888)
1889xt "55000,39000,71000,40000"
1890)
1891oxt "39000,66000,55000,67000"
1892text (MLText
1893uid 425,0
1894va (VaSet
1895fg "0,0,32768"
1896bg "0,0,32768"
1897)
1898xt "55200,39000,59700,40000"
1899st "
1900%project_name
1901"
1902tm "CommentText"
1903wrapOption 3
1904visibleHeight 1000
1905visibleWidth 16000
1906)
1907position 1
1908ignorePrefs 1
1909titleBlock 1
1910)
1911*40 (CommentText
1912uid 426,0
1913shape (Rectangle
1914uid 427,0
1915sl 0
1916va (VaSet
1917vasetType 1
1918fg "65280,65280,46080"
1919)
1920xt "30000,39000,51000,41000"
1921)
1922oxt "14000,66000,35000,68000"
1923text (MLText
1924uid 428,0
1925va (VaSet
1926fg "32768,0,0"
1927)
1928xt "37150,39500,43850,40500"
1929st "
1930<company name>
1931"
1932ju 0
1933tm "CommentText"
1934wrapOption 3
1935visibleHeight 2000
1936visibleWidth 21000
1937)
1938position 1
1939ignorePrefs 1
1940titleBlock 1
1941)
1942*41 (CommentText
1943uid 429,0
1944shape (Rectangle
1945uid 430,0
1946sl 0
1947va (VaSet
1948vasetType 1
1949fg "65280,65280,46080"
1950)
1951xt "30000,42000,34000,43000"
1952)
1953oxt "14000,69000,18000,70000"
1954text (MLText
1955uid 431,0
1956va (VaSet
1957fg "0,0,32768"
1958bg "0,0,32768"
1959)
1960xt "30200,42000,32300,43000"
1961st "
1962Path:
1963"
1964tm "CommentText"
1965wrapOption 3
1966visibleHeight 1000
1967visibleWidth 4000
1968)
1969position 1
1970ignorePrefs 1
1971titleBlock 1
1972)
1973*42 (CommentText
1974uid 432,0
1975shape (Rectangle
1976uid 433,0
1977sl 0
1978va (VaSet
1979vasetType 1
1980fg "65280,65280,46080"
1981)
1982xt "30000,43000,34000,44000"
1983)
1984oxt "14000,70000,18000,71000"
1985text (MLText
1986uid 434,0
1987va (VaSet
1988fg "0,0,32768"
1989bg "0,0,32768"
1990)
1991xt "30200,43000,32900,44000"
1992st "
1993Edited:
1994"
1995tm "CommentText"
1996wrapOption 3
1997visibleHeight 1000
1998visibleWidth 4000
1999)
2000position 1
2001ignorePrefs 1
2002titleBlock 1
2003)
2004*43 (CommentText
2005uid 435,0
2006shape (Rectangle
2007uid 436,0
2008sl 0
2009va (VaSet
2010vasetType 1
2011fg "65280,65280,46080"
2012)
2013xt "34000,42000,51000,43000"
2014)
2015oxt "18000,69000,35000,70000"
2016text (MLText
2017uid 437,0
2018va (VaSet
2019fg "0,0,32768"
2020bg "0,0,32768"
2021)
2022xt "34200,42000,43200,43000"
2023st "
2024%library/%unit/%view
2025"
2026tm "CommentText"
2027wrapOption 3
2028visibleHeight 1000
2029visibleWidth 17000
2030)
2031position 1
2032ignorePrefs 1
2033titleBlock 1
2034)
2035]
2036shape (GroupingShape
2037uid 407,0
2038va (VaSet
2039vasetType 1
2040fg "65535,65535,65535"
2041lineStyle 2
2042lineWidth 2
2043)
2044xt "30000,39000,71000,44000"
2045)
2046oxt "14000,66000,55000,71000"
2047)
2048*44 (Transition
2049uid 363,0
2050shape (Spline
2051uid 364,0
2052va (VaSet
2053vasetType 3
2054)
2055xt "32281,9396,52983,30224"
2056pts [
2057"32281,9396"
2058"41416,21528"
2059"52983,30224"
2060]
2061arrow 1
2062)
2063start &27
2064end &28
2065ss 0
2066es 0
2067cond "(start = '1')"
2068tb (TransitionBlock
2069uid 365,0
2070ps "CenterOffsetStrategy"
2071shape (Rectangle
2072uid 366,0
2073va (VaSet
2074vasetType 1
2075fg "65535,65535,65535"
2076bg "0,0,0"
2077lineColor "0,32768,49152"
2078)
2079xt "40916,21028,46516,23028"
2080)
2081autoResize 1
2082lineShape (Line
2083uid 367,0
2084va (VaSet
2085vasetType 3
2086isHidden 1
2087)
2088xt "43116,22928,43116,22928"
2089pts [
2090"43116,22928"
2091"43116,22928"
2092]
2093)
2094condition (MLText
2095uid 368,0
2096va (VaSet
2097)
2098xt "41416,21528,46016,22528"
2099st "(start = '1')"
2100tm "Condition"
2101)
2102actions (MLText
2103uid 369,0
2104va (VaSet
2105)
2106xt "43716,22928,43716,22928"
2107tm "Actions"
2108)
2109)
2110tp (TransitionPriority
2111uid 370,0
2112ps "PercentageFromStartStrategy"
2113shape (Circle
2114uid 371,0
2115va (VaSet
2116vasetType 1
2117isHidden 1
2118fg "65535,65535,65535"
2119bg "0,0,0"
2120)
2121xt "33202,11048,34764,12610"
2122radius 781
2123)
2124pr (Text
2125uid 372,0
2126va (VaSet
2127isHidden 1
2128)
2129xt "33583,11329,34383,12329"
2130st "1"
2131ju 0
2132blo "33983,12129"
2133tm "TransitionPriority"
2134)
2135padding "100,100"
2136)
2137)
2138*45 (Transition
2139uid 373,0
2140shape (Spline
2141uid 374,0
2142va (VaSet
2143vasetType 3
2144)
2145xt "32677,34693,52987,54989"
2146pts [
2147"52987,34693"
2148"41433,43407"
2149"32677,54989"
2150]
2151arrow 1
2152)
2153start &28
2154end &29
2155ss 0
2156es 0
2157tb (TransitionBlock
2158uid 375,0
2159ps "CenterOffsetStrategy"
2160shape (Rectangle
2161uid 376,0
2162va (VaSet
2163vasetType 1
2164isHidden 1
2165fg "65535,65535,65535"
2166bg "0,0,0"
2167lineColor "0,32768,49152"
2168)
2169xt "40933,43402,45333,44412"
2170)
2171autoResize 1
2172lineShape (Line
2173uid 377,0
2174va (VaSet
2175vasetType 3
2176isHidden 1
2177)
2178xt "43133,44807,43133,44807"
2179pts [
2180"43133,44807"
2181"43133,44807"
2182]
2183)
2184condition (MLText
2185uid 378,0
2186va (VaSet
2187)
2188xt "41433,43407,44833,44407"
2189tm "Condition"
2190)
2191actions (MLText
2192uid 379,0
2193va (VaSet
2194)
2195xt "43133,44807,43133,44807"
2196tm "Actions"
2197)
2198)
2199tp (TransitionPriority
2200uid 380,0
2201ps "PercentageFromStartStrategy"
2202shape (Circle
2203uid 381,0
2204va (VaSet
2205vasetType 1
2206isHidden 1
2207fg "65535,65535,65535"
2208bg "0,0,0"
2209)
2210xt "49825,35573,51387,37135"
2211radius 781
2212)
2213pr (Text
2214uid 382,0
2215va (VaSet
2216isHidden 1
2217)
2218xt "50206,35854,51006,36854"
2219st "1"
2220ju 0
2221blo "50606,36654"
2222tm "TransitionPriority"
2223)
2224padding "100,100"
2225)
2226)
2227*46 (Transition
2228uid 383,0
2229shape (Spline
2230uid 384,0
2231va (VaSet
2232vasetType 3
2233)
2234xt "21057,60956,39858,77952"
2235pts [
2236"32625,60956"
2237"39858,70885"
2238"30468,77952"
2239"21057,70915"
2240"28259,60963"
2241]
2242arrow 1
2243)
2244start &29
2245end &29
2246ss 0
2247es 0
2248cond "(shift_cntr < 57)"
2249tb (TransitionBlock
2250uid 385,0
2251ps "CenterOffsetStrategy"
2252shape (Rectangle
2253uid 386,0
2254va (VaSet
2255vasetType 1
2256fg "65535,65535,65535"
2257bg "0,0,0"
2258lineColor "0,32768,49152"
2259)
2260xt "21368,77452,45768,81852"
2261)
2262autoResize 1
2263lineShape (Line
2264uid 387,0
2265va (VaSet
2266vasetType 3
2267)
2268xt "21868,79152,45268,79152"
2269pts [
2270"21868,79152"
2271"45268,79152"
2272]
2273)
2274condition (MLText
2275uid 388,0
2276va (VaSet
2277)
2278xt "30468,77952,36668,78952"
2279st "(shift_cntr < 57)"
2280tm "Condition"
2281)
2282actions (MLText
2283uid 389,0
2284va (VaSet
2285)
2286xt "21868,79352,45268,81352"
2287st "dna_sig <= dna_sig(62 downto 0) & dout_sig; -- put in from right
2288shift_sig <= '1';"
2289tm "Actions"
2290)
2291)
2292tp (TransitionPriority
2293uid 390,0
2294ps "PercentageFromStartStrategy"
2295shape (Circle
2296uid 391,0
2297va (VaSet
2298vasetType 1
2299fg "65535,65535,65535"
2300bg "0,0,0"
2301)
2302xt "35231,63803,36793,65365"
2303radius 781
2304)
2305pr (Text
2306uid 392,0
2307va (VaSet
2308)
2309xt "35612,64084,36412,65084"
2310st "1"
2311ju 0
2312blo "36012,64884"
2313tm "TransitionPriority"
2314)
2315padding "100,100"
2316)
2317)
2318*47 (Transition
2319uid 393,0
2320shape (Spline
2321uid 394,0
2322va (VaSet
2323vasetType 3
2324)
2325xt "8235,34897,28208,54981"
2326pts [
2327"28208,54981"
2328"19554,43442"
2329"8235,34897"
2330]
2331arrow 1
2332)
2333start &29
2334end &30
2335ss 0
2336es 0
2337tb (TransitionBlock
2338uid 395,0
2339ps "CenterOffsetStrategy"
2340shape (Rectangle
2341uid 396,0
2342va (VaSet
2343vasetType 1
2344fg "65535,65535,65535"
2345bg "0,0,0"
2346lineColor "0,32768,49152"
2347)
2348xt "17704,43932,24804,46342"
2349)
2350autoResize 1
2351lineShape (Line
2352uid 397,0
2353va (VaSet
2354vasetType 3
2355)
2356xt "18204,44642,24304,44642"
2357pts [
2358"18204,44642"
2359"24304,44642"
2360]
2361)
2362condition (MLText
2363uid 398,0
2364va (VaSet
2365)
2366xt "19554,43442,22954,44442"
2367tm "Condition"
2368)
2369actions (MLText
2370uid 399,0
2371va (VaSet
2372)
2373xt "18204,44842,24304,45842"
2374st "shift_sig <= '1';"
2375tm "Actions"
2376)
2377)
2378tp (TransitionPriority
2379uid 400,0
2380ps "PercentageFromStartStrategy"
2381shape (Circle
2382uid 401,0
2383va (VaSet
2384vasetType 1
2385fg "65535,65535,65535"
2386bg "0,0,0"
2387)
2388xt "25793,51848,27355,53410"
2389radius 781
2390)
2391pr (Text
2392uid 402,0
2393va (VaSet
2394)
2395xt "26174,52129,26974,53129"
2396st "2"
2397ju 0
2398blo "26574,52929"
2399tm "TransitionPriority"
2400)
2401padding "100,100"
2402)
2403)
2404]
2405bg "65535,65535,65535"
2406grid (Grid
2407origin "0,0"
2408isVisible 0
2409isActive 0
2410xSpacing 1000
2411xySpacing 1000
2412xShown 1
2413yShown 1
2414color "26368,26368,26368"
2415)
2416packageList *48 (PackageList
2417uid 274,0
2418stg "VerticalLayoutStrategy"
2419textVec [
2420*49 (Text
2421uid 275,0
2422va (VaSet
2423isHidden 1
2424font "arial,8,1"
2425)
2426xt "6399,88852,11799,89852"
2427st "Package List"
2428blo "6399,89652"
2429)
2430*50 (MLText
2431uid 276,0
2432va (VaSet
2433isHidden 1
2434)
2435xt "6399,89852,17299,92852"
2436tm "SmPackageListTextMgr"
2437)
2438]
2439)
2440compDirBlock (MlTextGroup
2441uid 277,0
2442stg "VerticalLayoutStrategy"
2443textVec [
2444*51 (Text
2445uid 278,0
2446va (VaSet
2447isHidden 1
2448font "Arial,8,1"
2449)
2450xt "20949,83852,29049,84852"
2451st "Compiler Directives"
2452blo "20949,84652"
2453)
2454*52 (Text
2455uid 279,0
2456va (VaSet
2457isHidden 1
2458font "Arial,8,1"
2459)
2460xt "20949,84852,30549,85852"
2461st "Pre-module directives:"
2462blo "20949,85652"
2463)
2464*53 (MLText
2465uid 280,0
2466va (VaSet
2467isHidden 1
2468)
2469xt "20949,85852,28449,87852"
2470st "`resetall
2471`timescale 1ns/10ps"
2472tm "SmCompilerDirectivesTextMgr"
2473)
2474*54 (Text
2475uid 281,0
2476va (VaSet
2477isHidden 1
2478font "Arial,8,1"
2479)
2480xt "20949,87852,31049,88852"
2481st "Post-module directives:"
2482blo "20949,88652"
2483)
2484*55 (MLText
2485uid 282,0
2486va (VaSet
2487isHidden 1
2488)
2489xt "20949,83852,20949,83852"
2490tm "SmCompilerDirectivesTextMgr"
2491)
2492*56 (Text
2493uid 283,0
2494va (VaSet
2495isHidden 1
2496font "Arial,8,1"
2497)
2498xt "20949,88852,30849,89852"
2499st "End-module directives:"
2500blo "20949,89652"
2501)
2502*57 (MLText
2503uid 284,0
2504va (VaSet
2505isHidden 1
2506)
2507xt "20949,89852,20949,89852"
2508tm "SmCompilerDirectivesTextMgr"
2509)
2510]
2511associable 1
2512)
2513windowSize "0,0,1015,690"
2514viewArea "-1976,-4000,108549,92052"
2515cachedDiagramExtent "0,0,0,0"
2516pageBreakOrigin "0,0"
2517isTopLevel 1
2518defaultCommentText (CommentText
2519shape (Rectangle
2520layer 0
2521va (VaSet
2522vasetType 1
2523fg "65280,65280,46080"
2524lineColor "0,0,32768"
2525)
2526xt "0,0,15000,5000"
2527)
2528text (MLText
2529va (VaSet
2530fg "0,0,32768"
2531)
2532xt "200,200,2000,1200"
2533st "
2534Text
2535"
2536tm "CommentText"
2537wrapOption 3
2538visibleHeight 4600
2539visibleWidth 14600
2540)
2541)
2542defaultPanel (Panel
2543shape (RectFrame
2544va (VaSet
2545vasetType 1
2546fg "65535,65535,65535"
2547lineColor "32768,0,0"
2548lineWidth 3
2549)
2550xt "0,0,20000,20000"
2551)
2552title (TextAssociate
2553ps "TopLeftStrategy"
2554text (Text
2555va (VaSet
2556font "Arial,8,1"
2557)
2558xt "1000,1000,3800,2000"
2559st "Panel0"
2560blo "1000,1800"
2561tm "PanelText"
2562)
2563)
2564)
2565localDecl *58 (SmLocalDecl
2566uid 250,0
2567stg "VerticalLayoutStrategy"
2568first (Text
2569uid 251,0
2570va (VaSet
2571font "Arial,8,1"
2572)
2573xt "41999,83852,52499,84852"
2574st "Architecture Declarations"
2575blo "41999,84652"
2576)
2577second (MLText
2578uid 252,0
2579va (VaSet
2580font "Courier New,8,0"
2581)
2582xt "41999,84852,41999,84852"
2583tm "LocalDeclTextMgr"
2584)
2585)
2586processDecl *59 (SmProcessDecl
2587uid 253,0
2588stg "VerticalLayoutStrategy"
2589textVec [
2590*60 (Text
2591uid 254,0
2592va (VaSet
2593font "Arial,8,1"
2594)
2595xt "58100,-1000,67000,0"
2596st "Process Declarations"
2597blo "58100,-200"
2598)
2599*61 (Text
2600uid 255,0
2601va (VaSet
2602font "Arial,8,1"
2603)
2604xt "58100,0,65300,1000"
2605st "Clocked Process:"
2606blo "58100,800"
2607)
2608*62 (MLText
2609uid 256,0
2610va (VaSet
2611font "Courier New,8,0"
2612)
2613xt "58100,-1000,58100,-1000"
2614tm "ProcessDeclTextMgr"
2615)
2616*63 (Text
2617uid 257,0
2618va (VaSet
2619font "Arial,8,1"
2620)
2621xt "58100,1000,65000,2000"
2622st "Output Process:"
2623blo "58100,1800"
2624)
2625*64 (MLText
2626uid 258,0
2627va (VaSet
2628font "Courier New,8,0"
2629)
2630xt "58100,2000,58100,2000"
2631tm "ProcessDeclTextMgr"
2632)
2633]
2634associable 1
2635)
2636defaultActions *65 (MlTextGroup
2637uid 259,0
2638stg "VerticalLayoutStrategy"
2639textVec [
2640*66 (Text
2641uid 260,0
2642va (VaSet
2643font "Arial,8,1"
2644)
2645xt "13849,83852,20049,84852"
2646st "Global Actions"
2647blo "13849,84652"
2648)
2649*67 (Text
2650uid 261,0
2651va (VaSet
2652font "Arial,8,1"
2653)
2654xt "13849,84852,19149,85852"
2655st "Pre Actions:"
2656blo "13849,85652"
2657)
2658*68 (MLText
2659uid 262,0
2660va (VaSet
2661)
2662xt "13849,83852,13849,83852"
2663tm "Actions"
2664)
2665*69 (Text
2666uid 263,0
2667va (VaSet
2668font "Arial,8,1"
2669)
2670xt "13849,85852,19649,86852"
2671st "Post Actions:"
2672blo "13849,86652"
2673)
2674*70 (MLText
2675uid 264,0
2676va (VaSet
2677)
2678xt "13849,86852,13849,86852"
2679tm "Actions"
2680)
2681]
2682associable 1
2683)
2684archConcurrentStatementBlock *71 (BiTextGroup
2685uid 265,0
2686stg "VerticalLayoutStrategy"
2687first (Text
2688uid 266,0
2689va (VaSet
2690font "Arial,8,1"
2691)
2692xt "25149,83852,35049,84852"
2693st "Concurrent Statements"
2694blo "25149,84652"
2695)
2696second (MLText
2697uid 267,0
2698va (VaSet
2699)
2700xt "25149,84852,25149,84852"
2701tm "ArchConcStmtTextMgr"
2702)
2703associable 1
2704)
2705signalsGenStatus *72 (SmSignalGenStatus
2706uid 271,0
2707stg "VerticalLayoutStrategy"
2708first (Text
2709uid 272,0
2710va (VaSet
2711font "Arial,8,1"
2712)
2713xt "59749,83852,65449,84852"
2714st "Signal Status"
2715blo "59749,84652"
2716)
2717second (MLText
2718uid 273,0
2719va (VaSet
2720font "Courier New,8,0"
2721)
2722xt "59749,84852,83749,92052"
2723st "SIGNAL MODE DEFAULT RESET SCHEME
2724dna OUT COMB
2725ready OUT CLKD
2726DNA_FOR_SIM LOCAL COMB
2727dout_sig LOCAL COMB
2728read_sig LOCAL CLKD
2729shift_sig LOCAL CLKD
2730dna_sig LOCAL CLKD
2731shift_cntr LOCAL CLKD
2732"
2733tm "SmSignalsGenStatusTextMgr"
2734)
2735)
2736stateRegBlock *73 (BiTextGroup
2737uid 268,0
2738stg "VerticalLayoutStrategy"
2739first (Text
2740uid 269,0
2741va (VaSet
2742font "Arial,8,1"
2743)
2744xt "97749,83852,108549,84852"
2745st "State Register Statements"
2746blo "97749,84652"
2747)
2748second (MLText
2749uid 270,0
2750va (VaSet
2751)
2752xt "97749,84852,97749,84852"
2753tm "Actions"
2754)
2755associable 1
2756)
2757)
2758genChar (SmGenChar
2759uid 438,0
2760csName "FTU_dna_gen_State"
2761nextStateClocking 0
2762numProcs 0
2763)
2764encoding (Encoding
2765scheme 3
2766encodingStyles [
2767(pair
2768scheme 0
2769style 0
2770)
2771(pair
2772scheme 1
2773style 1
2774)
2775(pair
2776scheme 2
2777style 0
2778)
2779(pair
2780scheme 3
2781style 0
2782)
2783(pair
2784scheme 4
2785style 0
2786)
2787(pair
2788scheme 5
2789style 0
2790)
2791]
2792otherValues [
2793(pair
2794scheme 0
2795otherValue ""
2796)
2797(pair
2798scheme 1
2799otherValue ""
2800)
2801(pair
2802scheme 2
2803otherValue ""
2804)
2805(pair
2806scheme 3
2807otherValue ""
2808)
2809(pair
2810scheme 4
2811otherValue ""
2812)
2813(pair
2814scheme 5
2815otherValue ""
2816)
2817]
2818attribute 0
2819synSafe 0
2820outputEncodedLocals 0
2821useVerilogParameterRange 0
2822radix 2
2823)
2824stateOrder [
2825&27
2826&28
2827&29
2828&30
2829]
2830name "FTU_dna_gen_State"
2831)
2832]
2833lastUid 0,0
2834commonDM (CommonDM
2835ldm (LogicalDM
2836ordering 1
2837emptyRow *74 (LEmptyRow
2838)
2839uid 165,0
2840optionalChildren [
2841*75 (RefLabelRowHdr
2842)
2843*76 (TitleRowHdr
2844)
2845*77 (FilterRowHdr
2846)
2847*78 (RefLabelColHdr
2848tm "RefLabelColHdrMgr"
2849)
2850*79 (RowExpandColHdr
2851tm "RowExpandColHdrMgr"
2852)
2853*80 (GroupColHdr
2854tm "GroupColHdrMgr"
2855)
2856*81 (NameColHdr
2857tm "SmNameColHdrMgr"
2858)
2859*82 (ModeColHdr
2860tm "SmModeColHdrMgr"
2861)
2862*83 (TypeColHdr
2863tm "SmTypeColHdrMgr"
2864)
2865*84 (BoundsColHdr
2866tm "SmBoundsColHdrMgr"
2867)
2868*85 (InitColHdr
2869tm "SmInitColHdrMgr"
2870)
2871*86 (ColumnHdr
2872tm "SmCategoryColHdrMgr"
2873)
2874*87 (ColumnHdr
2875tm "SmAssignColHdrMgr"
2876)
2877*88 (ColumnHdr
2878tm "SmExprColHdrMgr"
2879)
2880*89 (ColumnHdr
2881tm "SmSchemeColHdrMgr"
2882)
2883*90 (ColumnHdr
2884tm "SmDefValColHdrMgr"
2885)
2886*91 (ColumnHdr
2887tm "SmRstValColHdrMgr"
2888)
2889*92 (EolColHdr
2890tm "SmEolColHdrMgr"
2891)
2892*93 (LeafLogPort
2893port (LogicalPort
2894m 1
2895decl (Decl
2896n "dna"
2897t "STD_LOGIC_VECTOR"
2898b "(63 downto 0)"
2899o 9
2900i "(others => '0')"
2901)
2902)
2903uid 184,0
2904scheme 0
2905)
2906*94 (LeafLogPort
2907port (LogicalPort
2908m 1
2909decl (Decl
2910n "ready"
2911t "STD_LOGIC"
2912o 10
2913i "'0'"
2914)
2915)
2916uid 185,0
2917scheme 1
2918)
2919*95 (LeafLogPort
2920port (LogicalPort
2921m 4
2922decl (Decl
2923n "dout_sig"
2924t "STD_LOGIC"
2925o 1
2926i "'0'"
2927)
2928)
2929uid 187,0
2930scheme 0
2931direct 0
2932)
2933*96 (LeafLogPort
2934port (LogicalPort
2935m 4
2936decl (Decl
2937n "read_sig"
2938t "STD_LOGIC"
2939o 2
2940i "'0'"
2941)
2942)
2943uid 188,0
2944scheme 1
2945direct 0
2946)
2947*97 (LeafLogPort
2948port (LogicalPort
2949m 4
2950decl (Decl
2951n "shift_sig"
2952t "STD_LOGIC"
2953o 3
2954i "'0'"
2955)
2956)
2957uid 189,0
2958scheme 1
2959direct 0
2960)
2961*98 (LeafLogPort
2962port (LogicalPort
2963m 4
2964decl (Decl
2965n "dna_sig"
2966t "STD_LOGIC_VECTOR"
2967b "(63 downto 0)"
2968o 4
2969i "(others => '0')"
2970)
2971)
2972uid 190,0
2973scheme 1
2974direct 0
2975)
2976*99 (LeafLogPort
2977port (LogicalPort
2978m 4
2979decl (Decl
2980n "shift_cntr"
2981t "INTEGER"
2982b "RANGE 0 to 64"
2983o 6
2984i "0"
2985)
2986)
2987uid 191,0
2988scheme 1
2989direct 0
2990)
2991*100 (LeafLogPort
2992port (LogicalPort
2993decl (Decl
2994n "clk"
2995t "STD_LOGIC"
2996o 7
2997)
2998)
2999uid 439,0
3000cat 3
3001expr " Falling_edge(clk) "
3002)
3003*101 (LeafLogPort
3004port (LogicalPort
3005m 4
3006decl (Decl
3007n "FTU_dna_gen_State"
3008t "FTU_dna_gen_StateType"
3009prec "type FTU_dna_gen_StateType is (IDLE, READ_DNA, SHIFT_DNA, DNA_READY);"
3010preAdd 0
3011o 5
3012)
3013)
3014uid 462,0
3015scheme 1
3016)
3017*102 (LeafLogPort
3018port (LogicalPort
3019decl (Decl
3020n "start"
3021t "STD_LOGIC"
3022o 8
3023)
3024)
3025uid 464,0
3026ass ""
3027)
3028]
3029)
3030pdm (PhysicalDM
3031displayShortBounds 1
3032editShortBounds 1
3033uid 192,0
3034optionalChildren [
3035*103 (Sheet
3036sheetRow (SheetRow
3037headerVa (MVa
3038cellColor "49152,49152,49152"
3039fontColor "0,0,0"
3040font "Tahoma,10,0"
3041)
3042cellVa (MVa
3043cellColor "65535,65535,65535"
3044fontColor "0,0,0"
3045font "Tahoma,10,0"
3046)
3047groupVa (MVa
3048cellColor "39936,56832,65280"
3049fontColor "0,0,0"
3050font "Tahoma,10,0"
3051)
3052emptyMRCItem *104 (MRCItem
3053litem &74
3054pos 3
3055dimension 20
3056)
3057uid 194,0
3058optionalChildren [
3059*105 (MRCItem
3060litem &75
3061pos 0
3062dimension 20
3063uid 195,0
3064)
3065*106 (MRCItem
3066litem &76
3067pos 1
3068dimension 23
3069uid 196,0
3070)
3071*107 (MRCItem
3072litem &77
3073pos 2
3074hidden 1
3075dimension 20
3076uid 197,0
3077)
3078*108 (MRCItem
3079litem &93
3080pos 2
3081dimension 20
3082uid 198,0
3083)
3084*109 (MRCItem
3085litem &94
3086pos 3
3087dimension 20
3088uid 199,0
3089)
3090*110 (MRCItem
3091litem &95
3092pos 4
3093dimension 20
3094uid 201,0
3095)
3096*111 (MRCItem
3097litem &96
3098pos 5
3099dimension 20
3100uid 202,0
3101)
3102*112 (MRCItem
3103litem &97
3104pos 6
3105dimension 20
3106uid 203,0
3107)
3108*113 (MRCItem
3109litem &98
3110pos 7
3111dimension 20
3112uid 204,0
3113)
3114*114 (MRCItem
3115litem &99
3116pos 8
3117dimension 20
3118uid 205,0
3119)
3120*115 (MRCItem
3121litem &100
3122pos 0
3123dimension 20
3124uid 440,0
3125)
3126*116 (MRCItem
3127litem &101
3128pos 9
3129dimension 20
3130uid 461,0
3131)
3132*117 (MRCItem
3133litem &102
3134pos 1
3135dimension 20
3136uid 463,0
3137)
3138]
3139)
3140sheetCol (SheetCol
3141propVa (MVa
3142cellColor "0,49152,49152"
3143fontColor "0,0,0"
3144font "Tahoma,10,0"
3145textAngle 90
3146)
3147uid 206,0
3148optionalChildren [
3149*118 (MRCItem
3150litem &78
3151pos 0
3152dimension 20
3153uid 207,0
3154)
3155*119 (MRCItem
3156litem &80
3157pos 1
3158dimension 50
3159uid 208,0
3160)
3161*120 (MRCItem
3162litem &81
3163pos 2
3164dimension 70
3165uid 209,0
3166)
3167*121 (MRCItem
3168litem &82
3169pos 3
3170dimension 50
3171uid 210,0
3172)
3173*122 (MRCItem
3174litem &83
3175pos 4
3176dimension 80
3177uid 211,0
3178)
3179*123 (MRCItem
3180litem &84
3181pos 5
3182dimension 80
3183uid 212,0
3184)
3185*124 (MRCItem
3186litem &85
3187pos 6
3188dimension 40
3189uid 213,0
3190)
3191*125 (MRCItem
3192litem &86
3193pos 7
3194dimension 100
3195uid 214,0
3196)
3197*126 (MRCItem
3198litem &87
3199pos 8
3200dimension 60
3201uid 215,0
3202)
3203*127 (MRCItem
3204litem &88
3205pos 9
3206dimension 130
3207uid 216,0
3208)
3209*128 (MRCItem
3210litem &89
3211pos 10
3212hidden 1
3213dimension 56
3214uid 217,0
3215)
3216*129 (MRCItem
3217litem &90
3218pos 11
3219dimension 50
3220uid 218,0
3221)
3222*130 (MRCItem
3223litem &91
3224pos 12
3225dimension 50
3226uid 219,0
3227)
3228*131 (MRCItem
3229litem &92
3230pos 13
3231dimension 80
3232uid 220,0
3233)
3234]
3235)
3236fixedCol 4
3237fixedRow 2
3238name "Ports"
3239uid 193,0
3240vaOverrides [
3241]
3242)
3243]
3244)
3245uid 164,0
3246)
3247cdmCsm &26
3248genericsCommonDM (CommonDM
3249ldm (LogicalDM
3250emptyRow *132 (LEmptyRow
3251)
3252uid 222,0
3253optionalChildren [
3254*133 (RefLabelRowHdr
3255)
3256*134 (TitleRowHdr
3257)
3258*135 (FilterRowHdr
3259)
3260*136 (RefLabelColHdr
3261tm "RefLabelColHdrMgr"
3262)
3263*137 (RowExpandColHdr
3264tm "RowExpandColHdrMgr"
3265)
3266*138 (GroupColHdr
3267tm "GroupColHdrMgr"
3268)
3269*139 (NameColHdr
3270tm "GenericNameColHdrMgr"
3271)
3272*140 (TypeColHdr
3273tm "GenericTypeColHdrMgr"
3274)
3275*141 (InitColHdr
3276tm "GenericValueColHdrMgr"
3277)
3278*142 (PragmaColHdr
3279tm "GenericPragmaColHdrMgr"
3280)
3281*143 (EolColHdr
3282tm "GenericEolColHdrMgr"
3283)
3284]
3285)
3286pdm (PhysicalDM
3287displayShortBounds 1
3288editShortBounds 1
3289uid 234,0
3290optionalChildren [
3291*144 (Sheet
3292sheetRow (SheetRow
3293headerVa (MVa
3294cellColor "49152,49152,49152"
3295fontColor "0,0,0"
3296font "Tahoma,10,0"
3297)
3298cellVa (MVa
3299cellColor "65535,65535,65535"
3300fontColor "0,0,0"
3301font "Tahoma,10,0"
3302)
3303groupVa (MVa
3304cellColor "39936,56832,65280"
3305fontColor "0,0,0"
3306font "Tahoma,10,0"
3307)
3308emptyMRCItem *145 (MRCItem
3309litem &132
3310pos 3
3311dimension 20
3312)
3313uid 236,0
3314optionalChildren [
3315*146 (MRCItem
3316litem &133
3317pos 0
3318dimension 20
3319uid 237,0
3320)
3321*147 (MRCItem
3322litem &134
3323pos 1
3324dimension 23
3325uid 238,0
3326)
3327*148 (MRCItem
3328litem &135
3329pos 2
3330hidden 1
3331dimension 20
3332uid 239,0
3333)
3334]
3335)
3336sheetCol (SheetCol
3337propVa (MVa
3338cellColor "0,49152,49152"
3339fontColor "0,0,0"
3340font "Tahoma,10,0"
3341textAngle 90
3342)
3343uid 240,0
3344optionalChildren [
3345*149 (MRCItem
3346litem &136
3347pos 0
3348dimension 20
3349uid 241,0
3350)
3351*150 (MRCItem
3352litem &138
3353pos 1
3354dimension 50
3355uid 242,0
3356)
3357*151 (MRCItem
3358litem &139
3359pos 2
3360dimension 100
3361uid 243,0
3362)
3363*152 (MRCItem
3364litem &140
3365pos 3
3366dimension 100
3367uid 244,0
3368)
3369*153 (MRCItem
3370litem &141
3371pos 4
3372dimension 50
3373uid 245,0
3374)
3375*154 (MRCItem
3376litem &142
3377pos 5
3378dimension 50
3379uid 246,0
3380)
3381*155 (MRCItem
3382litem &143
3383pos 6
3384dimension 80
3385uid 247,0
3386)
3387]
3388)
3389fixedCol 3
3390fixedRow 2
3391name "Ports"
3392uid 235,0
3393vaOverrides [
3394]
3395)
3396]
3397)
3398uid 221,0
3399type 1
3400)
3401signalSuffix "_int"
3402clockSuffix "_cld"
3403defaultState (State
3404shape (Circle
3405va (VaSet
3406vasetType 1
3407fg "0,65535,65535"
3408lineColor "0,32768,49152"
3409lineWidth 2
3410)
3411xt "-3000,-3000,3000,3000"
3412radius 3000
3413)
3414name (Text
3415va (VaSet
3416font "Arial,10,1"
3417)
3418xt "0,0,1800,1200"
3419st "s0"
3420ju 0
3421blo "900,1000"
3422tm "ONodeName"
3423)
3424wait (TextAssociate
3425ps "CenterOffsetStrategy"
3426text (Text
3427va (VaSet
3428isHidden 1
3429fg "0,0,32768"
3430font "arial,10,1"
3431)
3432xt "1000,900,4600,2100"
3433st "wait 2"
3434blo "1000,1900"
3435tm "SmWaitText"
3436)
3437)
3438encoding (Text
3439va (VaSet
3440font "Arial,8,1"
3441)
3442blo "0,0"
3443tm "SmEncodingMgr"
3444)
3445actionBlk (SmStateActionsBlock
3446ps "CenterOffsetStrategy"
3447shape (Rectangle
3448va (VaSet
3449vasetType 1
3450isHidden 1
3451fg "65535,65535,65535"
3452bg "0,0,0"
3453lineColor "39936,56832,65280"
3454lineWidth -1
3455)
3456xt "3900,3100,4100,3300"
3457)
3458autoResize 1
3459tline (Line
3460va (VaSet
3461vasetType 3
3462isHidden 1
3463lineColor "39936,56832,65280"
3464lineWidth -1
3465)
3466pts [
3467"0,0"
3468"0,0"
3469]
3470)
3471bline (Line
3472va (VaSet
3473vasetType 3
3474isHidden 1
3475lineColor "39936,56832,65280"
3476lineWidth -1
3477)
3478pts [
3479"0,0"
3480"0,0"
3481]
3482)
3483ttri (Triangle
3484ro 90
3485va (VaSet
3486vasetType 1
3487isHidden 1
3488fg "0,32768,49152"
3489bg "0,0,0"
3490lineColor "39936,56832,65280"
3491lineWidth -1
3492)
3493xt "-450,-175,-100,175"
3494)
3495btri (Triangle
3496ro 270
3497va (VaSet
3498vasetType 1
3499isHidden 1
3500fg "0,32768,49152"
3501bg "0,0,0"
3502lineColor "39936,56832,65280"
3503lineWidth -1
3504)
3505xt "-450,-175,-100,175"
3506)
3507entryActions (MLText
3508va (VaSet
3509)
3510tm "Actions"
3511)
3512inActions (MLText
3513va (VaSet
3514)
3515tm "Actions"
3516)
3517exitActions (MLText
3518va (VaSet
3519)
3520tm "Actions"
3521)
3522)
3523caseExpr (TextAssociate
3524ps "CenterOffsetStrategy"
3525text (MLText
3526va (VaSet
3527isHidden 1
3528font "Arial,8,1"
3529)
3530xt "-1600,1000,3400,2000"
3531st "CASE: expr"
3532tm "SmCaseExpr"
3533)
3534)
3535)
3536defaultWaitState (State
3537shape (CircleInOctagon
3538va (VaSet
3539vasetType 1
3540fg "0,65535,65535"
3541lineColor "26368,26368,26368"
3542lineWidth 2
3543)
3544xt "-529,-529,6529,6529"
3545)
3546name (Text
3547va (VaSet
3548font "Arial,10,1"
3549)
3550xt "0,0,1800,1200"
3551st "s0"
3552ju 0
3553blo "900,1000"
3554tm "ONodeName"
3555)
3556wait (TextAssociate
3557ps "CenterOffsetStrategy"
3558text (Text
3559va (VaSet
3560isHidden 1
3561fg "0,0,32768"
3562font "arial,10,1"
3563)
3564xt "1000,900,4600,2100"
3565st "wait 2"
3566blo "1000,1900"
3567tm "SmWaitText"
3568)
3569)
3570encoding (Text
3571va (VaSet
3572font "Arial,8,1"
3573)
3574blo "0,0"
3575tm "SmEncodingMgr"
3576)
3577actionBlk (SmStateActionsBlock
3578ps "CenterOffsetStrategy"
3579shape (Rectangle
3580va (VaSet
3581vasetType 1
3582isHidden 1
3583fg "65535,65535,65535"
3584bg "0,0,0"
3585lineColor "39936,56832,65280"
3586lineWidth -1
3587)
3588xt "8900,6100,9100,6300"
3589)
3590autoResize 1
3591tline (Line
3592va (VaSet
3593vasetType 3
3594isHidden 1
3595lineColor "39936,56832,65280"
3596lineWidth -1
3597)
3598xt "5000,3000,5000,3000"
3599pts [
3600"5000,3000"
3601"5000,3000"
3602]
3603)
3604bline (Line
3605va (VaSet
3606vasetType 3
3607isHidden 1
3608lineColor "39936,56832,65280"
3609lineWidth -1
3610)
3611xt "5000,3000,5000,3000"
3612pts [
3613"5000,3000"
3614"5000,3000"
3615]
3616)
3617ttri (Triangle
3618ro 90
3619va (VaSet
3620vasetType 1
3621isHidden 1
3622fg "0,32768,49152"
3623bg "0,0,0"
3624lineColor "39936,56832,65280"
3625lineWidth -1
3626)
3627xt "4550,2825,4900,3175"
3628)
3629btri (Triangle
3630ro 270
3631va (VaSet
3632vasetType 1
3633isHidden 1
3634fg "0,32768,49152"
3635bg "0,0,0"
3636lineColor "39936,56832,65280"
3637lineWidth -1
3638)
3639xt "4550,2825,4900,3175"
3640)
3641entryActions (MLText
3642va (VaSet
3643)
3644xt "5000,3000,5000,3000"
3645tm "Actions"
3646)
3647inActions (MLText
3648va (VaSet
3649)
3650xt "5000,3000,5000,3000"
3651tm "Actions"
3652)
3653exitActions (MLText
3654va (VaSet
3655)
3656xt "5000,3000,5000,3000"
3657tm "Actions"
3658)
3659)
3660caseExpr (TextAssociate
3661ps "CenterOffsetStrategy"
3662text (MLText
3663va (VaSet
3664isHidden 1
3665font "Arial,8,1"
3666)
3667xt "-1600,1000,3400,2000"
3668st "CASE: expr"
3669tm "SmCaseExpr"
3670)
3671)
3672isWait 1
3673)
3674defaultCompositeState (CompositeState
3675shape (TripleCircle
3676va (VaSet
3677vasetType 1
3678fg "29952,39936,65280"
3679lineColor "0,0,32768"
3680lineWidth 2
3681)
3682xt "-3000,-3000,3000,3000"
3683radius 3000
3684)
3685name (Text
3686va (VaSet
3687font "Arial,10,1"
3688)
3689xt "-900,-600,900,600"
3690st "s0"
3691ju 0
3692blo "0,400"
3693tm "ONodeName"
3694)
3695childDiagram &0
3696)
3697defaultJunction (Junction
3698shape (Diamond
3699va (VaSet
3700vasetType 1
3701fg "59904,39936,65280"
3702)
3703xt "-1150,-1150,2150,2150"
3704)
3705symbol (Text
3706va (VaSet
3707font "Arial,10,1"
3708)
3709xt "-150,-100,1150,1100"
3710st "&"
3711ju 0
3712blo "500,900"
3713)
3714name (TextAssociate
3715ps "CenterOffsetStrategy"
3716text (Text
3717va (VaSet
3718font "Arial,8,1"
3719)
3720xt "2000,1000,2000,1000"
3721blo "2000,1000"
3722tm "JunctionName"
3723)
3724)
3725caseExpr (TextAssociate
3726ps "CenterOffsetStrategy"
3727text (MLText
3728va (VaSet
3729isHidden 1
3730font "Arial,8,1"
3731)
3732xt "-1850,2000,3150,3000"
3733st "CASE: expr"
3734tm "SmCaseExpr"
3735)
3736)
3737)
3738defaultEntryPoint (EntryPoint
3739shape (CompositeShape
3740va (VaSet
3741vasetType 1
3742fg "29952,39936,65280"
3743)
3744optionalChildren [
3745(Pentagon
3746sl 0
3747ro 270
3748xt "-875,875,1375,1875"
3749)
3750(Line
3751sl 0
3752ro 270
3753xt "1375,1375,1875,1375"
3754pts [
3755"1375,1375"
3756"1875,1375"
3757]
3758)
3759]
3760)
3761)
3762defaultInterruptPoint (InterruptPoint
3763shape (CompositeShape
3764va (VaSet
3765vasetType 1
3766fg "65535,0,0"
3767)
3768optionalChildren [
3769(Pentagon
3770sl 0
3771ro 270
3772xt "-875,875,1375,1875"
3773)
3774(Line
3775sl 0
3776ro 270
3777xt "1375,1375,1875,1375"
3778pts [
3779"1375,1375"
3780"1875,1375"
3781]
3782)
3783(CustomPolygon
3784pts [
3785"-625,1600"
3786"-625,1300"
3787"25,1425"
3788"-75,1150"
3789"1025,1350"
3790"200,1350"
3791"375,1600"
3792]
3793sl 0
3794ro 270
3795va (VaSet
3796vasetType 1
3797fg "65535,65535,0"
3798bg "65535,0,0"
3799lineColor "65535,65535,0"
3800)
3801xt "-625,1150,1025,1600"
3802)
3803]
3804)
3805)
3806defaultLink (Link
3807shape (CompositeShape
3808va (VaSet
3809vasetType 1
3810fg "65535,65535,0"
3811bg "0,0,0"
3812)
3813optionalChildren [
3814(Pentagon
3815sl 0
3816ro 270
3817xt "-375,875,1875,1875"
3818)
3819(Line
3820sl 0
3821ro 270
3822xt "-875,1375,-375,1375"
3823pts [
3824"-875,1375"
3825"-375,1375"
3826]
3827)
3828]
3829)
3830name (TextAssociate
3831ps "CenterOffsetStrategy"
3832text (Text
3833va (VaSet
3834font "Arial,8,1"
3835)
3836xt "2375,875,4375,1875"
3837st "Link"
3838blo "2375,1675"
3839tm "LinkName"
3840)
3841)
3842)
3843defaultExitPoint (ExitPoint
3844shape (CompositeShape
3845va (VaSet
3846vasetType 1
3847fg "29952,39936,65280"
3848)
3849optionalChildren [
3850(Pentagon
3851sl 0
3852ro 270
3853xt "-375,875,1875,1875"
3854)
3855(Line
3856sl 0
3857ro 270
3858xt "-875,1375,-375,1375"
3859pts [
3860"-875,1375"
3861"-375,1375"
3862]
3863)
3864]
3865)
3866)
3867defaultTransition (Transition
3868shape (Spline
3869va (VaSet
3870vasetType 3
3871)
3872pts [
3873"0,0"
3874"0,0"
3875]
3876arrow 1
3877)
3878ss 0
3879es 0
3880cond "condition"
3881tb (TransitionBlock
3882ps "CenterOffsetStrategy"
3883shape (Rectangle
3884va (VaSet
3885vasetType 1
3886fg "65535,65535,65535"
3887bg "0,0,0"
3888lineColor "0,32768,49152"
3889)
3890xt "-500,-500,3900,1500"
3891)
3892autoResize 1
3893lineShape (Line
3894va (VaSet
3895vasetType 3
3896isHidden 1
3897)
3898xt "1700,1400,1700,1400"
3899pts [
3900"1700,1400"
3901"1700,1400"
3902]
3903)
3904condition (MLText
3905va (VaSet
3906)
3907xt "0,0,3400,1000"
3908st "condition"
3909tm "Condition"
3910)
3911actions (MLText
3912va (VaSet
3913)
3914xt "1700,1800,1700,1800"
3915tm "Actions"
3916)
3917)
3918tp (TransitionPriority
3919ps "PercentageFromStartStrategy"
3920shape (Circle
3921va (VaSet
3922vasetType 1
3923fg "65535,65535,65535"
3924bg "0,0,0"
3925)
3926xt "-781,-781,781,781"
3927radius 781
3928)
3929pr (Text
3930va (VaSet
3931)
3932xt "-400,-500,400,500"
3933st "1"
3934ju 0
3935blo "0,300"
3936tm "TransitionPriority"
3937)
3938padding "100,100"
3939)
3940)
3941defaultClk (SmClockPoint
3942shape (CompositeShape
3943va (VaSet
3944vasetType 1
3945fg "65535,65535,0"
3946)
3947optionalChildren [
3948(Pentagon
3949sl 0
3950ro 270
3951xt "-625,625,1625,1625"
3952)
3953(OrthoPolyLine
3954sl 0
3955ro 270
3956va (VaSet
3957vasetType 3
3958)
3959xt "275,825,874,1425"
3960pts [
3961"275,1425"
3962"574,1425"
3963"574,825"
3964"874,825"
3965]
3966)
3967(Arc2D
3968pts [
3969"-116,1278"
3970"-371,972"
3971"-116,972"
3972]
3973sl 0
3974ro 270
3975va (VaSet
3976vasetType 1
3977transparent 1
3978)
3979xt "-371,972,-116,1278"
3980)
3981]
3982)
3983name (TextAssociate
3984ps "CenterOffsetStrategy"
3985text (Text
3986va (VaSet
3987font "arial,8,0"
3988)
3989xt "-2425,625,-1125,1625"
3990st "clk"
3991ju 2
3992blo "-1125,1425"
3993tm "SmControlSignalNameMgr"
3994)
3995)
3996cond (SmControlCondition
3997ps "CenterOffsetStrategy"
3998shape (Rectangle
3999va (VaSet
4000vasetType 1
4001fg "65535,65535,65535"
4002lineColor "0,32768,49152"
4003)
4004xt "1625,525,3825,1725"
4005)
4006autoResize 1
4007cond (MLText
4008va (VaSet
4009font "arial,8,0"
4010)
4011xt "1725,625,3725,1625"
4012st "cond"
4013tm "SmControlConditionMgr"
4014)
4015)
4016)
4017defaultEnable (SmEnablePoint
4018shape (CompositeShape
4019va (VaSet
4020vasetType 1
4021fg "65535,65535,0"
4022)
4023optionalChildren [
4024(Pentagon
4025sl 0
4026ro 270
4027xt "-625,625,1625,1625"
4028)
4029(OrthoPolyLine
4030sl 0
4031ro 270
4032va (VaSet
4033vasetType 3
4034)
4035xt "275,825,874,1425"
4036pts [
4037"874,1425"
4038"574,1425"
4039"574,825"
4040"275,825"
4041]
4042)
4043(Arc2D
4044pts [
4045"-130,1263"
4046"-415,1064"
4047"-76,1064"
4048]
4049layer 10
4050sl 0
4051ro 270
4052va (VaSet
4053vasetType 1
4054transparent 1
4055)
4056xt "-415,1064,-76,1263"
4057)
4058(Line
4059sl 0
4060ro 270
4061xt "-415,1064,-106,1064"
4062pts [
4063"-415,1064"
4064"-106,1064"
4065]
4066)
4067]
4068)
4069name (TextAssociate
4070ps "CenterOffsetStrategy"
4071text (Text
4072va (VaSet
4073font "arial,8,0"
4074)
4075xt "-3725,625,-1125,1625"
4076st "enable"
4077ju 2
4078blo "-1125,1425"
4079tm "SmControlSignalNameMgr"
4080)
4081)
4082cond (SmControlCondition
4083ps "CenterOffsetStrategy"
4084shape (Rectangle
4085va (VaSet
4086vasetType 1
4087fg "65535,65535,65535"
4088lineColor "0,32768,49152"
4089)
4090xt "1625,525,3825,1725"
4091)
4092autoResize 1
4093cond (MLText
4094va (VaSet
4095font "arial,8,0"
4096)
4097xt "1725,625,3725,1625"
4098st "cond"
4099tm "SmControlConditionMgr"
4100)
4101)
4102)
4103defaultRst (SmResetPoint
4104shape (CompositeShape
4105va (VaSet
4106vasetType 1
4107fg "65535,65535,0"
4108)
4109optionalChildren [
4110(Pentagon
4111sl 0
4112ro 270
4113xt "-625,625,1625,1625"
4114)
4115(OrthoPolyLine
4116sl 0
4117ro 270
4118va (VaSet
4119vasetType 3
4120)
4121xt "275,825,874,1425"
4122pts [
4123"874,1425"
4124"574,1425"
4125"574,825"
4126"275,825"
4127]
4128)
4129(Line
4130sl 0
4131ro 270
4132xt "-376,950,-276,1000"
4133pts [
4134"-376,1000"
4135"-276,950"
4136]
4137)
4138(Line
4139sl 0
4140ro 270
4141xt "-376,950,-376,1300"
4142pts [
4143"-376,1300"
4144"-376,950"
4145]
4146)
4147(Circle
4148layer 10
4149sl 0
4150ro 270
4151va (VaSet
4152vasetType 1
4153isHidden 1
4154fg "65535,65535,0"
4155)
4156xt "424,975,724,1275"
4157radius 150
4158)
4159]
4160)
4161cond (SmControlCondition
4162ps "CenterOffsetStrategy"
4163shape (Rectangle
4164va (VaSet
4165vasetType 1
4166fg "65535,65535,65535"
4167lineColor "0,32768,49152"
4168)
4169xt "-625,-975,1575,225"
4170)
4171autoResize 1
4172cond (MLText
4173va (VaSet
4174font "arial,8,0"
4175)
4176xt "-525,-875,1475,125"
4177st "cond"
4178tm "SmControlConditionMgr"
4179)
4180)
4181prio (TransitionPriority
4182ps "PercentageFromStartStrategy"
4183shape (Circle
4184va (VaSet
4185vasetType 1
4186fg "65535,65535,65535"
4187bg "0,0,0"
4188)
4189xt "1625,344,3187,1906"
4190radius 781
4191)
4192pr (Text
4193va (VaSet
4194)
4195xt "2006,625,2806,1625"
4196st "1"
4197ju 0
4198blo "2406,1425"
4199tm "TransitionPriority"
4200)
4201padding "100,100"
4202)
4203name (TextAssociate
4204ps "CenterOffsetStrategy"
4205text (Text
4206va (VaSet
4207font "arial,8,0"
4208)
4209xt "-1925,625,-625,1625"
4210st "rst"
4211ju 2
4212blo "-625,1425"
4213tm "SmControlSignalNameMgr"
4214)
4215)
4216actions (TextAssociate
4217ps "CenterOffsetStrategy"
4218text (MLText
4219va (VaSet
4220isHidden 1
4221font "arial,8,0"
4222)
4223xt "4750,2625,10250,3625"
4224st "< Automatic >"
4225tm "Actions"
4226)
4227)
4228)
4229defaultRecStatePt (SmRecoveryStatePoint
4230shape (CompositeShape
4231va (VaSet
4232vasetType 1
4233fg "65535,0,0"
4234)
4235optionalChildren [
4236(Circle
4237sl 0
4238xt "-900,-900,900,900"
4239radius 900
4240)
4241(Line
4242sl 0
4243va (VaSet
4244vasetType 3
4245lineColor "65535,65535,0"
4246lineWidth 1
4247)
4248xt "-426,-426,426,426"
4249pts [
4250"-426,426"
4251"426,-426"
4252]
4253)
4254(Line
4255sl 0
4256va (VaSet
4257vasetType 3
4258lineColor "65535,65535,0"
4259lineWidth 1
4260)
4261xt "-426,-426,426,426"
4262pts [
4263"426,426"
4264"-426,-426"
4265]
4266)
4267]
4268)
4269)
4270LanguageMgr "VhdlLangMgr"
4271)
4272)
4273]
4274shape (Rectangle
4275uid 157,0
4276va (VaSet
4277vasetType 1
4278fg "65535,65535,37120"
4279lineColor "0,0,32768"
4280lineWidth 2
4281)
4282xt "7000,-2000,10000,4000"
4283)
4284ttg (MlTextGroup
4285uid 158,0
4286ps "CenterOffsetStrategy"
4287stg "VerticalLayoutStrategy"
4288textVec [
4289*156 (Text
4290uid 159,0
4291va (VaSet
4292font "Arial,8,1"
4293)
4294xt "7700,0,9300,1000"
4295st "SM"
4296blo "7700,800"
4297tm "HdlTextNameMgr"
4298)
4299*157 (Text
4300uid 160,0
4301va (VaSet
4302font "Arial,8,1"
4303)
4304xt "7700,1000,8500,2000"
4305st "1"
4306blo "7700,1800"
4307tm "HdlTextNumberMgr"
4308)
4309]
4310)
4311viewicon (ZoomableIcon
4312uid 161,0
4313sl 0
4314va (VaSet
4315vasetType 1
4316fg "49152,49152,49152"
4317)
4318xt "7250,2250,8750,3750"
4319iconName "StateMachineViewIcon.png"
4320iconMaskName "StateMachineViewIcon.msk"
4321ftype 3
4322)
4323viewiconposition 0
4324)
4325*158 (HdlText
4326uid 465,0
4327optionalChildren [
4328*159 (EmbeddedText
4329uid 471,0
4330commentText (CommentText
4331uid 472,0
4332ps "CenterOffsetStrategy"
4333shape (Rectangle
4334uid 473,0
4335va (VaSet
4336vasetType 1
4337isHidden 1
4338fg "65535,65535,65535"
4339lineColor "0,0,32768"
4340lineWidth 2
4341)
4342xt "32000,10000,50000,15000"
4343)
4344text (MLText
4345uid 474,0
4346va (VaSet
4347isHidden 1
4348)
4349xt "32200,10200,38500,11200"
4350st "
4351dna <= dna_sig;
4352
4353"
4354tm "HdlTextMgr"
4355wrapOption 3
4356visibleHeight 4600
4357visibleWidth 17600
4358)
4359)
4360)
4361]
4362shape (Rectangle
4363uid 466,0
4364va (VaSet
4365vasetType 1
4366fg "65535,65535,37120"
4367lineColor "0,0,32768"
4368lineWidth 2
4369)
4370xt "24000,10000,27000,13000"
4371)
4372ttg (MlTextGroup
4373uid 467,0
4374ps "CenterOffsetStrategy"
4375stg "VerticalLayoutStrategy"
4376textVec [
4377*160 (Text
4378uid 468,0
4379va (VaSet
4380font "Arial,8,1"
4381)
4382xt "24650,10500,26350,11500"
4383st "eb1"
4384blo "24650,11300"
4385tm "HdlTextNameMgr"
4386)
4387*161 (Text
4388uid 469,0
4389va (VaSet
4390font "Arial,8,1"
4391)
4392xt "24650,11500,25450,12500"
4393st "2"
4394blo "24650,12300"
4395tm "HdlTextNumberMgr"
4396)
4397]
4398)
4399viewicon (ZoomableIcon
4400uid 470,0
4401sl 0
4402va (VaSet
4403vasetType 1
4404fg "49152,49152,49152"
4405)
4406xt "24250,11250,25750,12750"
4407iconName "TextFile.png"
4408iconMaskName "TextFile.msk"
4409ftype 21
4410)
4411viewiconposition 0
4412)
4413*162 (CommentText
4414uid 555,0
4415shape (Rectangle
4416uid 556,0
4417layer 0
4418va (VaSet
4419vasetType 1
4420isHidden 1
4421fg "65280,65280,46080"
4422lineColor "0,0,32768"
4423)
4424xt "-5000,-10000,28000,-4000"
4425)
4426text (MLText
4427uid 557,0
4428va (VaSet
4429isHidden 1
4430fg "0,0,32768"
4431font "Arial,10,0"
4432)
4433xt "-4800,-9800,27200,-4600"
4434st "
4435Created using Mentor Graphics HDL2Graphics(TM) Technology
4436on - 09:15:09 15.02.2011
4437from - C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl\\dna_gen.vhd
4438
4439"
4440tm "CommentText"
4441wrapOption 3
4442visibleHeight 5600
4443visibleWidth 32600
4444)
4445)
4446*163 (Grouping
4447uid 558,0
4448optionalChildren [
4449*164 (CommentText
4450uid 560,0
4451shape (Rectangle
4452uid 561,0
4453sl 0
4454va (VaSet
4455vasetType 1
4456fg "65280,65280,46080"
4457)
4458xt "63000,28000,80000,29000"
4459)
4460oxt "18000,70000,35000,71000"
4461text (MLText
4462uid 562,0
4463va (VaSet
4464fg "0,0,32768"
4465bg "0,0,32768"
4466)
4467xt "63200,28000,72800,29000"
4468st "
4469by %user on %dd %month %year
4470"
4471tm "CommentText"
4472wrapOption 3
4473visibleHeight 1000
4474visibleWidth 17000
4475)
4476position 1
4477ignorePrefs 1
4478titleBlock 1
4479)
4480*165 (CommentText
4481uid 563,0
4482shape (Rectangle
4483uid 564,0
4484sl 0
4485va (VaSet
4486vasetType 1
4487fg "65280,65280,46080"
4488)
4489xt "80000,24000,84000,25000"
4490)
4491oxt "35000,66000,39000,67000"
4492text (MLText
4493uid 565,0
4494va (VaSet
4495fg "0,0,32768"
4496bg "0,0,32768"
4497)
4498xt "80200,24000,83200,25000"
4499st "
4500Project:
4501"
4502tm "CommentText"
4503wrapOption 3
4504visibleHeight 1000
4505visibleWidth 4000
4506)
4507position 1
4508ignorePrefs 1
4509titleBlock 1
4510)
4511*166 (CommentText
4512uid 566,0
4513shape (Rectangle
4514uid 567,0
4515sl 0
4516va (VaSet
4517vasetType 1
4518fg "65280,65280,46080"
4519)
4520xt "63000,26000,80000,27000"
4521)
4522oxt "18000,68000,35000,69000"
4523text (MLText
4524uid 568,0
4525va (VaSet
4526fg "0,0,32768"
4527bg "0,0,32768"
4528)
4529xt "63200,26000,73200,27000"
4530st "
4531<enter diagram title here>
4532"
4533tm "CommentText"
4534wrapOption 3
4535visibleHeight 1000
4536visibleWidth 17000
4537)
4538position 1
4539ignorePrefs 1
4540titleBlock 1
4541)
4542*167 (CommentText
4543uid 569,0
4544shape (Rectangle
4545uid 570,0
4546sl 0
4547va (VaSet
4548vasetType 1
4549fg "65280,65280,46080"
4550)
4551xt "59000,26000,63000,27000"
4552)
4553oxt "14000,68000,18000,69000"
4554text (MLText
4555uid 571,0
4556va (VaSet
4557fg "0,0,32768"
4558bg "0,0,32768"
4559)
4560xt "59200,26000,61300,27000"
4561st "
4562Title:
4563"
4564tm "CommentText"
4565wrapOption 3
4566visibleHeight 1000
4567visibleWidth 4000
4568)
4569position 1
4570ignorePrefs 1
4571titleBlock 1
4572)
4573*168 (CommentText
4574uid 572,0
4575shape (Rectangle
4576uid 573,0
4577sl 0
4578va (VaSet
4579vasetType 1
4580fg "65280,65280,46080"
4581)
4582xt "80000,25000,100000,29000"
4583)
4584oxt "35000,67000,55000,71000"
4585text (MLText
4586uid 574,0
4587va (VaSet
4588fg "0,0,32768"
4589bg "0,0,32768"
4590)
4591xt "80200,25200,89400,26200"
4592st "
4593<enter comments here>
4594"
4595tm "CommentText"
4596wrapOption 3
4597visibleHeight 4000
4598visibleWidth 20000
4599)
4600ignorePrefs 1
4601titleBlock 1
4602)
4603*169 (CommentText
4604uid 575,0
4605shape (Rectangle
4606uid 576,0
4607sl 0
4608va (VaSet
4609vasetType 1
4610fg "65280,65280,46080"
4611)
4612xt "84000,24000,100000,25000"
4613)
4614oxt "39000,66000,55000,67000"
4615text (MLText
4616uid 577,0
4617va (VaSet
4618fg "0,0,32768"
4619bg "0,0,32768"
4620)
4621xt "84200,24000,88700,25000"
4622st "
4623%project_name
4624"
4625tm "CommentText"
4626wrapOption 3
4627visibleHeight 1000
4628visibleWidth 16000
4629)
4630position 1
4631ignorePrefs 1
4632titleBlock 1
4633)
4634*170 (CommentText
4635uid 578,0
4636shape (Rectangle
4637uid 579,0
4638sl 0
4639va (VaSet
4640vasetType 1
4641fg "65280,65280,46080"
4642)
4643xt "59000,24000,80000,26000"
4644)
4645oxt "14000,66000,35000,68000"
4646text (MLText
4647uid 580,0
4648va (VaSet
4649fg "32768,0,0"
4650)
4651xt "66150,24500,72850,25500"
4652st "
4653<company name>
4654"
4655ju 0
4656tm "CommentText"
4657wrapOption 3
4658visibleHeight 2000
4659visibleWidth 21000
4660)
4661position 1
4662ignorePrefs 1
4663titleBlock 1
4664)
4665*171 (CommentText
4666uid 581,0
4667shape (Rectangle
4668uid 582,0
4669sl 0
4670va (VaSet
4671vasetType 1
4672fg "65280,65280,46080"
4673)
4674xt "59000,27000,63000,28000"
4675)
4676oxt "14000,69000,18000,70000"
4677text (MLText
4678uid 583,0
4679va (VaSet
4680fg "0,0,32768"
4681bg "0,0,32768"
4682)
4683xt "59200,27000,61300,28000"
4684st "
4685Path:
4686"
4687tm "CommentText"
4688wrapOption 3
4689visibleHeight 1000
4690visibleWidth 4000
4691)
4692position 1
4693ignorePrefs 1
4694titleBlock 1
4695)
4696*172 (CommentText
4697uid 584,0
4698shape (Rectangle
4699uid 585,0
4700sl 0
4701va (VaSet
4702vasetType 1
4703fg "65280,65280,46080"
4704)
4705xt "59000,28000,63000,29000"
4706)
4707oxt "14000,70000,18000,71000"
4708text (MLText
4709uid 586,0
4710va (VaSet
4711fg "0,0,32768"
4712bg "0,0,32768"
4713)
4714xt "59200,28000,61900,29000"
4715st "
4716Edited:
4717"
4718tm "CommentText"
4719wrapOption 3
4720visibleHeight 1000
4721visibleWidth 4000
4722)
4723position 1
4724ignorePrefs 1
4725titleBlock 1
4726)
4727*173 (CommentText
4728uid 587,0
4729shape (Rectangle
4730uid 588,0
4731sl 0
4732va (VaSet
4733vasetType 1
4734fg "65280,65280,46080"
4735)
4736xt "63000,27000,80000,28000"
4737)
4738oxt "18000,69000,35000,70000"
4739text (MLText
4740uid 589,0
4741va (VaSet
4742fg "0,0,32768"
4743bg "0,0,32768"
4744)
4745xt "63200,27000,72200,28000"
4746st "
4747%library/%unit/%view
4748"
4749tm "CommentText"
4750wrapOption 3
4751visibleHeight 1000
4752visibleWidth 17000
4753)
4754position 1
4755ignorePrefs 1
4756titleBlock 1
4757)
4758]
4759shape (GroupingShape
4760uid 559,0
4761va (VaSet
4762vasetType 1
4763fg "65535,65535,65535"
4764lineStyle 2
4765lineWidth 2
4766)
4767xt "59000,24000,100000,29000"
4768)
4769oxt "14000,66000,55000,71000"
4770)
4771*174 (GlobalConnector
4772uid 590,0
4773shape (Circle
4774uid 591,0
4775va (VaSet
4776vasetType 1
4777fg "65535,65535,0"
4778)
4779xt "-2000,13000,0,15000"
4780radius 1000
4781)
4782name (Text
4783uid 592,0
4784va (VaSet
4785font "Arial,8,1"
4786)
4787xt "-1500,13500,-500,14500"
4788st "G"
4789blo "-1500,14300"
4790)
4791)
4792*175 (GlobalConnector
4793uid 599,0
4794shape (Circle
4795uid 600,0
4796va (VaSet
4797vasetType 1
4798fg "65535,65535,0"
4799)
4800xt "-2000,15000,0,17000"
4801radius 1000
4802)
4803name (Text
4804uid 601,0
4805va (VaSet
4806font "Arial,8,1"
4807)
4808xt "-1500,15500,-500,16500"
4809st "G"
4810blo "-1500,16300"
4811)
4812)
4813*176 (GlobalConnector
4814uid 608,0
4815shape (Circle
4816uid 609,0
4817va (VaSet
4818vasetType 1
4819fg "65535,65535,0"
4820)
4821xt "-2000,17000,0,19000"
4822radius 1000
4823)
4824name (Text
4825uid 610,0
4826va (VaSet
4827font "Arial,8,1"
4828)
4829xt "-1500,17500,-500,18500"
4830st "G"
4831blo "-1500,18300"
4832)
4833)
4834*177 (Wire
4835uid 29,0
4836shape (OrthoPolyLine
4837uid 30,0
4838va (VaSet
4839vasetType 3
4840)
4841xt "-3000,18000,-2000,18000"
4842pts [
4843"-3000,18000"
4844"-2000,18000"
4845]
4846)
4847start &6
4848end &176
4849ss 0
4850es 0
4851sat 32
4852eat 32
4853stc 0
4854st 0
4855si 0
4856tg (WTG
4857uid 33,0
4858ps "ConnStartEndStrategy"
4859stg "STSignalDisplayStrategy"
4860f (Text
4861uid 34,0
4862va (VaSet
4863isHidden 1
4864)
4865xt "-3000,17000,-1700,18000"
4866st "clk"
4867blo "-3000,17800"
4868tm "WireNameMgr"
4869)
4870)
4871on &7
4872)
4873*178 (Wire
4874uid 43,0
4875shape (OrthoPolyLine
4876uid 44,0
4877va (VaSet
4878vasetType 3
4879)
4880xt "6000,-1000,7000,-1000"
4881pts [
4882"6000,-1000"
4883"7000,-1000"
4884]
4885)
4886start &8
4887end &24
4888ss 0
4889sat 32
4890eat 1
4891stc 0
4892st 0
4893si 0
4894tg (WTG
4895uid 47,0
4896ps "ConnStartEndStrategy"
4897stg "STSignalDisplayStrategy"
4898f (Text
4899uid 48,0
4900va (VaSet
4901isHidden 1
4902)
4903xt "6000,-2000,7900,-1000"
4904st "start"
4905blo "6000,-1200"
4906tm "WireNameMgr"
4907)
4908)
4909on &9
4910)
4911*179 (Wire
4912uid 132,0
4913shape (OrthoPolyLine
4914uid 133,0
4915va (VaSet
4916vasetType 3
4917)
4918xt "1000,3000,35000,5000"
4919pts [
4920"29750,3000"
4921"35000,3000"
4922"35000,5000"
4923"1000,5000"
4924"1000,3000"
4925"7000,3000"
4926]
4927)
4928start &15
4929end &24
4930ss 0
4931sat 32
4932eat 1
4933stc 0
4934st 0
4935si 0
4936tg (WTG
4937uid 136,0
4938ps "ConnStartEndStrategy"
4939stg "STSignalDisplayStrategy"
4940f (Text
4941uid 137,0
4942va (VaSet
4943isHidden 1
4944)
4945xt "3000,2000,6300,3000"
4946st "dout_sig"
4947blo "3000,2800"
4948tm "WireNameMgr"
4949)
4950)
4951on &1
4952)
4953*180 (Wire
4954uid 138,0
4955shape (OrthoPolyLine
4956uid 139,0
4957va (VaSet
4958vasetType 3
4959)
4960xt "19000,2000,21250,2000"
4961pts [
4962"19000,2000"
4963"21250,2000"
4964]
4965)
4966end &16
4967sat 16
4968eat 32
4969stc 0
4970st 0
4971si 0
4972tg (WTG
4973uid 142,0
4974ps "ConnStartEndStrategy"
4975stg "STSignalDisplayStrategy"
4976f (Text
4977uid 143,0
4978va (VaSet
4979isHidden 1
4980)
4981xt "17000,1000,18300,2000"
4982st "clk"
4983blo "17000,1800"
4984tm "WireNameMgr"
4985)
4986)
4987on &7
4988)
4989*181 (Wire
4990uid 144,0
4991shape (OrthoPolyLine
4992uid 145,0
4993va (VaSet
4994vasetType 3
4995)
4996xt "19000,1000,21250,1000"
4997pts [
4998"19000,1000"
4999"21250,1000"
5000]
5001)
5002end &18
5003sat 16
5004eat 32
5005stc 0
5006st 0
5007si 0
5008tg (WTG
5009uid 148,0
5010ps "ConnStartEndStrategy"
5011stg "STSignalDisplayStrategy"
5012f (Text
5013uid 149,0
5014va (VaSet
5015isHidden 1
5016)
5017xt "15000,0,18400,1000"
5018st "read_sig"
5019blo "15000,800"
5020tm "WireNameMgr"
5021)
5022)
5023on &2
5024)
5025*182 (Wire
5026uid 150,0
5027shape (OrthoPolyLine
5028uid 151,0
5029va (VaSet
5030vasetType 3
5031)
5032xt "19000,0,21250,0"
5033pts [
5034"19000,0"
5035"21250,0"
5036]
5037)
5038end &19
5039sat 16
5040eat 32
5041stc 0
5042st 0
5043si 0
5044tg (WTG
5045uid 154,0
5046ps "ConnStartEndStrategy"
5047stg "STSignalDisplayStrategy"
5048f (Text
5049uid 155,0
5050va (VaSet
5051isHidden 1
5052)
5053xt "15000,-1000,18300,0"
5054st "shift_sig"
5055blo "15000,-200"
5056tm "WireNameMgr"
5057)
5058)
5059on &3
5060)
5061*183 (Wire
5062uid 483,0
5063shape (OrthoPolyLine
5064uid 484,0
5065va (VaSet
5066vasetType 3
5067lineWidth 2
5068)
5069xt "27000,11000,28000,11000"
5070pts [
5071"27000,11000"
5072"28000,11000"
5073]
5074)
5075start &158
5076end &10
5077es 0
5078sat 2
5079eat 32
5080sty 1
5081stc 0
5082st 0
5083si 0
5084tg (WTG
5085uid 489,0
5086ps "ConnStartEndStrategy"
5087stg "STSignalDisplayStrategy"
5088f (Text
5089uid 490,0
5090va (VaSet
5091isHidden 1
5092)
5093xt "25000,10000,26600,11000"
5094st "dna"
5095blo "25000,10800"
5096tm "WireNameMgr"
5097)
5098)
5099on &11
5100)
5101*184 (Wire
5102uid 491,0
5103shape (OrthoPolyLine
5104uid 492,0
5105va (VaSet
5106vasetType 3
5107)
5108xt "5000,0,7000,0"
5109pts [
5110"5000,0"
5111"7000,0"
5112]
5113)
5114end &24
5115sat 16
5116eat 1
5117stc 0
5118st 0
5119si 0
5120tg (WTG
5121uid 497,0
5122ps "ConnStartEndStrategy"
5123stg "STSignalDisplayStrategy"
5124f (Text
5125uid 498,0
5126va (VaSet
5127isHidden 1
5128)
5129xt "3000,-1000,4300,0"
5130st "clk"
5131blo "3000,-200"
5132tm "WireNameMgr"
5133)
5134)
5135on &7
5136)
5137*185 (Wire
5138uid 515,0
5139shape (OrthoPolyLine
5140uid 516,0
5141va (VaSet
5142vasetType 3
5143lineWidth 2
5144)
5145xt "10000,3000,24000,12000"
5146pts [
5147"10000,3000"
5148"16000,3000"
5149"16000,12000"
5150"24000,12000"
5151]
5152)
5153start &24
5154end &158
5155sat 4
5156eat 1
5157sty 1
5158stc 0
5159st 0
5160si 0
5161tg (WTG
5162uid 521,0
5163ps "ConnStartEndStrategy"
5164stg "STSignalDisplayStrategy"
5165f (Text
5166uid 522,0
5167va (VaSet
5168isHidden 1
5169)
5170xt "11000,2000,14100,3000"
5171st "dna_sig"
5172blo "11000,2800"
5173tm "WireNameMgr"
5174)
5175)
5176on &4
5177)
5178*186 (Wire
5179uid 523,0
5180shape (OrthoPolyLine
5181uid 524,0
5182va (VaSet
5183vasetType 3
5184lineWidth 2
5185)
5186xt "10000,2000,12000,2000"
5187pts [
5188"10000,2000"
5189"12000,2000"
5190]
5191)
5192start &24
5193sat 4
5194eat 16
5195sty 1
5196stc 0
5197st 0
5198si 0
5199tg (WTG
5200uid 529,0
5201ps "ConnStartEndStrategy"
5202stg "STSignalDisplayStrategy"
5203f (Text
5204uid 530,0
5205va (VaSet
5206isHidden 1
5207)
5208xt "13000,1000,16900,2000"
5209st "shift_cntr"
5210blo "13000,1800"
5211tm "WireNameMgr"
5212)
5213)
5214on &5
5215)
5216*187 (Wire
5217uid 531,0
5218shape (OrthoPolyLine
5219uid 532,0
5220va (VaSet
5221vasetType 3
5222)
5223xt "10000,1000,12000,1000"
5224pts [
5225"10000,1000"
5226"12000,1000"
5227]
5228)
5229start &24
5230sat 2
5231eat 16
5232stc 0
5233st 0
5234si 0
5235tg (WTG
5236uid 537,0
5237ps "ConnStartEndStrategy"
5238stg "STSignalDisplayStrategy"
5239f (Text
5240uid 538,0
5241va (VaSet
5242isHidden 1
5243)
5244xt "13000,0,16400,1000"
5245st "read_sig"
5246blo "13000,800"
5247tm "WireNameMgr"
5248)
5249)
5250on &2
5251)
5252*188 (Wire
5253uid 539,0
5254shape (OrthoPolyLine
5255uid 540,0
5256va (VaSet
5257vasetType 3
5258)
5259xt "10000,-1000,11000,-1000"
5260pts [
5261"10000,-1000"
5262"11000,-1000"
5263]
5264)
5265start &24
5266end &12
5267es 0
5268sat 2
5269eat 32
5270stc 0
5271st 0
5272si 0
5273tg (WTG
5274uid 545,0
5275ps "ConnStartEndStrategy"
5276stg "STSignalDisplayStrategy"
5277f (Text
5278uid 546,0
5279va (VaSet
5280isHidden 1
5281)
5282xt "9000,-2000,11200,-1000"
5283st "ready"
5284blo "9000,-1200"
5285tm "WireNameMgr"
5286)
5287)
5288on &13
5289)
5290*189 (Wire
5291uid 547,0
5292shape (OrthoPolyLine
5293uid 548,0
5294va (VaSet
5295vasetType 3
5296)
5297xt "10000,0,12000,0"
5298pts [
5299"10000,0"
5300"12000,0"
5301]
5302)
5303start &24
5304sat 2
5305eat 16
5306stc 0
5307st 0
5308si 0
5309tg (WTG
5310uid 553,0
5311ps "ConnStartEndStrategy"
5312stg "STSignalDisplayStrategy"
5313f (Text
5314uid 554,0
5315va (VaSet
5316isHidden 1
5317)
5318xt "13000,-1000,16300,0"
5319st "shift_sig"
5320blo "13000,-200"
5321tm "WireNameMgr"
5322)
5323)
5324on &3
5325)
5326*190 (Wire
5327uid 593,0
5328shape (OrthoPolyLine
5329uid 594,0
5330va (VaSet
5331vasetType 3
5332)
5333xt "-4000,14000,-2000,14000"
5334pts [
5335"-4000,14000"
5336"-2000,14000"
5337]
5338)
5339end &174
5340ss 0
5341sat 16
5342eat 32
5343stc 0
5344st 0
5345si 0
5346tg (WTG
5347uid 597,0
5348ps "ConnStartEndStrategy"
5349stg "STSignalDisplayStrategy"
5350f (Text
5351uid 598,0
5352va (VaSet
5353isHidden 1
5354)
5355xt "-7000,13000,-3600,14000"
5356st "read_sig"
5357blo "-7000,13800"
5358tm "WireNameMgr"
5359)
5360)
5361on &2
5362)
5363*191 (Wire
5364uid 602,0
5365shape (OrthoPolyLine
5366uid 603,0
5367va (VaSet
5368vasetType 3
5369)
5370xt "-4000,16000,-2000,16000"
5371pts [
5372"-4000,16000"
5373"-2000,16000"
5374]
5375)
5376end &175
5377ss 0
5378sat 16
5379eat 32
5380stc 0
5381st 0
5382si 0
5383tg (WTG
5384uid 606,0
5385ps "ConnStartEndStrategy"
5386stg "STSignalDisplayStrategy"
5387f (Text
5388uid 607,0
5389va (VaSet
5390isHidden 1
5391)
5392xt "-7000,15000,-3700,16000"
5393st "shift_sig"
5394blo "-7000,15800"
5395tm "WireNameMgr"
5396)
5397)
5398on &3
5399)
5400]
5401bg "65535,65535,65535"
5402grid (Grid
5403origin "0,0"
5404isVisible 1
5405isActive 1
5406xSpacing 1000
5407xySpacing 1000
5408xShown 1
5409yShown 1
5410color "26368,26368,26368"
5411)
5412packageList *192 (PackageList
5413uid 617,0
5414stg "VerticalLayoutStrategy"
5415textVec [
5416*193 (Text
5417uid 618,0
5418va (VaSet
5419isHidden 1
5420font "arial,8,1"
5421)
5422xt "0,24000,5400,25000"
5423st "Package List"
5424blo "0,24800"
5425)
5426*194 (MLText
5427uid 619,0
5428va (VaSet
5429isHidden 1
5430)
5431xt "0,25000,10900,28000"
5432tm "PackageList"
5433)
5434]
5435)
5436compDirBlock (MlTextGroup
5437uid 620,0
5438stg "VerticalLayoutStrategy"
5439textVec [
5440*195 (Text
5441uid 621,0
5442va (VaSet
5443isHidden 1
5444font "Arial,8,1"
5445)
5446xt "20000,24000,28100,25000"
5447st "Compiler Directives"
5448blo "20000,24800"
5449)
5450*196 (Text
5451uid 622,0
5452va (VaSet
5453isHidden 1
5454font "Arial,8,1"
5455)
5456xt "20000,25000,29600,26000"
5457st "Pre-module directives:"
5458blo "20000,25800"
5459)
5460*197 (MLText
5461uid 623,0
5462va (VaSet
5463isHidden 1
5464)
5465xt "20000,26000,27500,28000"
5466st "`resetall
5467`timescale 1ns/10ps"
5468tm "BdCompilerDirectivesTextMgr"
5469)
5470*198 (Text
5471uid 624,0
5472va (VaSet
5473isHidden 1
5474font "Arial,8,1"
5475)
5476xt "20000,28000,30100,29000"
5477st "Post-module directives:"
5478blo "20000,28800"
5479)
5480*199 (MLText
5481uid 625,0
5482va (VaSet
5483isHidden 1
5484)
5485xt "20000,24000,20000,24000"
5486tm "BdCompilerDirectivesTextMgr"
5487)
5488*200 (Text
5489uid 626,0
5490va (VaSet
5491isHidden 1
5492font "Arial,8,1"
5493)
5494xt "20000,29000,29900,30000"
5495st "End-module directives:"
5496blo "20000,29800"
5497)
5498*201 (MLText
5499uid 627,0
5500va (VaSet
5501isHidden 1
5502)
5503xt "20000,30000,20000,30000"
5504tm "BdCompilerDirectivesTextMgr"
5505)
5506]
5507associable 1
5508)
5509windowSize "0,0,1015,690"
5510viewArea "-7300,-3000,100000,29000"
5511cachedDiagramExtent "0,0,0,0"
5512pageBreakOrigin "0,0"
5513lastUid 627,0
5514defaultCommentText (CommentText
5515shape (Rectangle
5516layer 0
5517va (VaSet
5518vasetType 1
5519fg "65280,65280,46080"
5520lineColor "0,0,32768"
5521)
5522xt "0,0,15000,5000"
5523)
5524text (MLText
5525va (VaSet
5526fg "0,0,32768"
5527)
5528xt "200,200,2000,1200"
5529st "
5530Text
5531"
5532tm "CommentText"
5533wrapOption 3
5534visibleHeight 4600
5535visibleWidth 14600
5536)
5537)
5538defaultPanel (Panel
5539shape (RectFrame
5540va (VaSet
5541vasetType 1
5542fg "65535,65535,65535"
5543lineColor "32768,0,0"
5544lineWidth 3
5545)
5546xt "0,0,20000,20000"
5547)
5548title (TextAssociate
5549ps "TopLeftStrategy"
5550text (Text
5551va (VaSet
5552font "Arial,8,1"
5553)
5554xt "1000,1000,3800,2000"
5555st "Panel0"
5556blo "1000,1800"
5557tm "PanelText"
5558)
5559)
5560)
5561defaultBlk (Blk
5562shape (Rectangle
5563va (VaSet
5564vasetType 1
5565fg "39936,56832,65280"
5566lineColor "0,0,32768"
5567lineWidth 2
5568)
5569xt "0,0,8000,10000"
5570)
5571ttg (MlTextGroup
5572ps "CenterOffsetStrategy"
5573stg "VerticalLayoutStrategy"
5574textVec [
5575*202 (Text
5576va (VaSet
5577font "Arial,8,1"
5578)
5579xt "2200,3500,5800,4500"
5580st "<library>"
5581blo "2200,4300"
5582tm "BdLibraryNameMgr"
5583)
5584*203 (Text
5585va (VaSet
5586font "Arial,8,1"
5587)
5588xt "2200,4500,5600,5500"
5589st "<block>"
5590blo "2200,5300"
5591tm "BlkNameMgr"
5592)
5593*204 (Text
5594va (VaSet
5595font "Arial,8,1"
5596)
5597xt "2200,5500,4000,6500"
5598st "U_0"
5599blo "2200,6300"
5600tm "InstanceNameMgr"
5601)
5602]
5603)
5604ga (GenericAssociation
5605ps "EdgeToEdgeStrategy"
5606matrix (Matrix
5607text (MLText
5608va (VaSet
5609font "Courier New,8,0"
5610)
5611xt "2200,13500,2200,13500"
5612)
5613header ""
5614)
5615elements [
5616]
5617)
5618viewicon (ZoomableIcon
5619sl 0
5620va (VaSet
5621vasetType 1
5622fg "49152,49152,49152"
5623)
5624xt "0,0,1500,1500"
5625iconName "UnknownFile.png"
5626iconMaskName "UnknownFile.msk"
5627)
5628viewiconposition 0
5629)
5630defaultMWComponent (MWC
5631shape (Rectangle
5632va (VaSet
5633vasetType 1
5634fg "0,65535,0"
5635lineColor "0,32896,0"
5636lineWidth 2
5637)
5638xt "0,0,8000,10000"
5639)
5640ttg (MlTextGroup
5641ps "CenterOffsetStrategy"
5642stg "VerticalLayoutStrategy"
5643textVec [
5644*205 (Text
5645va (VaSet
5646font "Arial,8,1"
5647)
5648xt "550,3500,3450,4500"
5649st "Library"
5650blo "550,4300"
5651)
5652*206 (Text
5653va (VaSet
5654font "Arial,8,1"
5655)
5656xt "550,4500,7450,5500"
5657st "MWComponent"
5658blo "550,5300"
5659)
5660*207 (Text
5661va (VaSet
5662font "Arial,8,1"
5663)
5664xt "550,5500,2350,6500"
5665st "U_0"
5666blo "550,6300"
5667tm "InstanceNameMgr"
5668)
5669]
5670)
5671ga (GenericAssociation
5672ps "EdgeToEdgeStrategy"
5673matrix (Matrix
5674text (MLText
5675va (VaSet
5676font "Courier New,8,0"
5677)
5678xt "-6450,1500,-6450,1500"
5679)
5680header ""
5681)
5682elements [
5683]
5684)
5685portVis (PortSigDisplay
5686)
5687prms (Property
5688pclass "params"
5689pname "params"
5690ptn "String"
5691)
5692visOptions (mwParamsVisibilityOptions
5693)
5694)
5695defaultSaComponent (SaComponent
5696shape (Rectangle
5697va (VaSet
5698vasetType 1
5699fg "0,65535,0"
5700lineColor "0,32896,0"
5701lineWidth 2
5702)
5703xt "0,0,8000,10000"
5704)
5705ttg (MlTextGroup
5706ps "CenterOffsetStrategy"
5707stg "VerticalLayoutStrategy"
5708textVec [
5709*208 (Text
5710va (VaSet
5711font "Arial,8,1"
5712)
5713xt "900,3500,3800,4500"
5714st "Library"
5715blo "900,4300"
5716tm "BdLibraryNameMgr"
5717)
5718*209 (Text
5719va (VaSet
5720font "Arial,8,1"
5721)
5722xt "900,4500,7100,5500"
5723st "SaComponent"
5724blo "900,5300"
5725tm "CptNameMgr"
5726)
5727*210 (Text
5728va (VaSet
5729font "Arial,8,1"
5730)
5731xt "900,5500,2700,6500"
5732st "U_0"
5733blo "900,6300"
5734tm "InstanceNameMgr"
5735)
5736]
5737)
5738ga (GenericAssociation
5739ps "EdgeToEdgeStrategy"
5740matrix (Matrix
5741text (MLText
5742va (VaSet
5743font "Courier New,8,0"
5744)
5745xt "-6100,1500,-6100,1500"
5746)
5747header ""
5748)
5749elements [
5750]
5751)
5752viewicon (ZoomableIcon
5753sl 0
5754va (VaSet
5755vasetType 1
5756fg "49152,49152,49152"
5757)
5758xt "0,0,1500,1500"
5759iconName "UnknownFile.png"
5760iconMaskName "UnknownFile.msk"
5761)
5762viewiconposition 0
5763portVis (PortSigDisplay
5764)
5765archFileType "UNKNOWN"
5766)
5767defaultVhdlComponent (VhdlComponent
5768shape (Rectangle
5769va (VaSet
5770vasetType 1
5771fg "0,65535,0"
5772lineColor "0,32896,0"
5773lineWidth 2
5774)
5775xt "0,0,8000,10000"
5776)
5777ttg (MlTextGroup
5778ps "CenterOffsetStrategy"
5779stg "VerticalLayoutStrategy"
5780textVec [
5781*211 (Text
5782va (VaSet
5783font "Arial,8,1"
5784)
5785xt "500,3500,3400,4500"
5786st "Library"
5787blo "500,4300"
5788)
5789*212 (Text
5790va (VaSet
5791font "Arial,8,1"
5792)
5793xt "500,4500,7500,5500"
5794st "VhdlComponent"
5795blo "500,5300"
5796)
5797*213 (Text
5798va (VaSet
5799font "Arial,8,1"
5800)
5801xt "500,5500,2300,6500"
5802st "U_0"
5803blo "500,6300"
5804tm "InstanceNameMgr"
5805)
5806]
5807)
5808ga (GenericAssociation
5809ps "EdgeToEdgeStrategy"
5810matrix (Matrix
5811text (MLText
5812va (VaSet
5813font "Courier New,8,0"
5814)
5815xt "-6500,1500,-6500,1500"
5816)
5817header ""
5818)
5819elements [
5820]
5821)
5822portVis (PortSigDisplay
5823)
5824entityPath ""
5825archName ""
5826archPath ""
5827)
5828defaultVerilogComponent (VerilogComponent
5829shape (Rectangle
5830va (VaSet
5831vasetType 1
5832fg "0,65535,0"
5833lineColor "0,32896,0"
5834lineWidth 2
5835)
5836xt "-450,0,8450,10000"
5837)
5838ttg (MlTextGroup
5839ps "CenterOffsetStrategy"
5840stg "VerticalLayoutStrategy"
5841textVec [
5842*214 (Text
5843va (VaSet
5844font "Arial,8,1"
5845)
5846xt "50,3500,2950,4500"
5847st "Library"
5848blo "50,4300"
5849)
5850*215 (Text
5851va (VaSet
5852font "Arial,8,1"
5853)
5854xt "50,4500,7950,5500"
5855st "VerilogComponent"
5856blo "50,5300"
5857)
5858*216 (Text
5859va (VaSet
5860font "Arial,8,1"
5861)
5862xt "50,5500,1850,6500"
5863st "U_0"
5864blo "50,6300"
5865tm "InstanceNameMgr"
5866)
5867]
5868)
5869ga (GenericAssociation
5870ps "EdgeToEdgeStrategy"
5871matrix (Matrix
5872text (MLText
5873va (VaSet
5874font "Courier New,8,0"
5875)
5876xt "-6950,1500,-6950,1500"
5877)
5878header ""
5879)
5880elements [
5881]
5882)
5883entityPath ""
5884)
5885defaultHdlText (HdlText
5886shape (Rectangle
5887va (VaSet
5888vasetType 1
5889fg "65535,65535,37120"
5890lineColor "0,0,32768"
5891lineWidth 2
5892)
5893xt "0,0,8000,10000"
5894)
5895ttg (MlTextGroup
5896ps "CenterOffsetStrategy"
5897stg "VerticalLayoutStrategy"
5898textVec [
5899*217 (Text
5900va (VaSet
5901font "Arial,8,1"
5902)
5903xt "3150,4000,4850,5000"
5904st "eb1"
5905blo "3150,4800"
5906tm "HdlTextNameMgr"
5907)
5908*218 (Text
5909va (VaSet
5910font "Arial,8,1"
5911)
5912xt "3150,5000,3950,6000"
5913st "1"
5914blo "3150,5800"
5915tm "HdlTextNumberMgr"
5916)
5917]
5918)
5919viewicon (ZoomableIcon
5920sl 0
5921va (VaSet
5922vasetType 1
5923fg "49152,49152,49152"
5924)
5925xt "0,0,1500,1500"
5926iconName "UnknownFile.png"
5927iconMaskName "UnknownFile.msk"
5928)
5929viewiconposition 0
5930)
5931defaultEmbeddedText (EmbeddedText
5932commentText (CommentText
5933ps "CenterOffsetStrategy"
5934shape (Rectangle
5935va (VaSet
5936vasetType 1
5937fg "65535,65535,65535"
5938lineColor "0,0,32768"
5939lineWidth 2
5940)
5941xt "0,0,18000,5000"
5942)
5943text (MLText
5944va (VaSet
5945)
5946xt "200,200,2000,1200"
5947st "
5948Text
5949"
5950tm "HdlTextMgr"
5951wrapOption 3
5952visibleHeight 4600
5953visibleWidth 17600
5954)
5955)
5956)
5957defaultGlobalConnector (GlobalConnector
5958shape (Circle
5959va (VaSet
5960vasetType 1
5961fg "65535,65535,0"
5962)
5963xt "-1000,-1000,1000,1000"
5964radius 1000
5965)
5966name (Text
5967va (VaSet
5968font "Arial,8,1"
5969)
5970xt "-500,-500,500,500"
5971st "G"
5972blo "-500,300"
5973)
5974)
5975defaultRipper (Ripper
5976ps "OnConnectorStrategy"
5977shape (Line2D
5978pts [
5979"0,0"
5980"1000,1000"
5981]
5982va (VaSet
5983vasetType 1
5984)
5985xt "0,0,1000,1000"
5986)
5987)
5988defaultBdJunction (BdJunction
5989ps "OnConnectorStrategy"
5990shape (Circle
5991va (VaSet
5992vasetType 1
5993)
5994xt "-400,-400,400,400"
5995radius 400
5996)
5997)
5998defaultPortIoIn (PortIoIn
5999shape (CompositeShape
6000va (VaSet
6001vasetType 1
6002fg "0,0,32768"
6003)
6004optionalChildren [
6005(Pentagon
6006sl 0
6007ro 270
6008xt "-2000,-375,-500,375"
6009)
6010(Line
6011sl 0
6012ro 270
6013xt "-500,0,0,0"
6014pts [
6015"-500,0"
6016"0,0"
6017]
6018)
6019]
6020)
6021stc 0
6022sf 1
6023tg (WTG
6024ps "PortIoTextPlaceStrategy"
6025stg "STSignalDisplayStrategy"
6026f (Text
6027va (VaSet
6028)
6029xt "-1375,-1000,-1375,-1000"
6030ju 2
6031blo "-1375,-1000"
6032tm "WireNameMgr"
6033)
6034)
6035)
6036defaultPortIoOut (PortIoOut
6037shape (CompositeShape
6038va (VaSet
6039vasetType 1
6040fg "0,0,32768"
6041)
6042optionalChildren [
6043(Pentagon
6044sl 0
6045ro 270
6046xt "500,-375,2000,375"
6047)
6048(Line
6049sl 0
6050ro 270
6051xt "0,0,500,0"
6052pts [
6053"0,0"
6054"500,0"
6055]
6056)
6057]
6058)
6059stc 0
6060sf 1
6061tg (WTG
6062ps "PortIoTextPlaceStrategy"
6063stg "STSignalDisplayStrategy"
6064f (Text
6065va (VaSet
6066)
6067xt "625,-1000,625,-1000"
6068blo "625,-1000"
6069tm "WireNameMgr"
6070)
6071)
6072)
6073defaultPortIoInOut (PortIoInOut
6074shape (CompositeShape
6075va (VaSet
6076vasetType 1
6077fg "0,0,32768"
6078)
6079optionalChildren [
6080(Hexagon
6081sl 0
6082xt "500,-375,2000,375"
6083)
6084(Line
6085sl 0
6086xt "0,0,500,0"
6087pts [
6088"0,0"
6089"500,0"
6090]
6091)
6092]
6093)
6094stc 0
6095sf 1
6096tg (WTG
6097ps "PortIoTextPlaceStrategy"
6098stg "STSignalDisplayStrategy"
6099f (Text
6100va (VaSet
6101)
6102xt "0,-375,0,-375"
6103blo "0,-375"
6104tm "WireNameMgr"
6105)
6106)
6107)
6108defaultPortIoBuffer (PortIoBuffer
6109shape (CompositeShape
6110va (VaSet
6111vasetType 1
6112fg "65535,65535,65535"
6113lineColor "0,0,32768"
6114)
6115optionalChildren [
6116(Hexagon
6117sl 0
6118xt "500,-375,2000,375"
6119)
6120(Line
6121sl 0
6122xt "0,0,500,0"
6123pts [
6124"0,0"
6125"500,0"
6126]
6127)
6128]
6129)
6130stc 0
6131sf 1
6132tg (WTG
6133ps "PortIoTextPlaceStrategy"
6134stg "STSignalDisplayStrategy"
6135f (Text
6136va (VaSet
6137)
6138xt "0,-375,0,-375"
6139blo "0,-375"
6140tm "WireNameMgr"
6141)
6142)
6143)
6144defaultSignal (Wire
6145shape (OrthoPolyLine
6146va (VaSet
6147vasetType 3
6148)
6149pts [
6150"0,0"
6151"0,0"
6152]
6153)
6154ss 0
6155es 0
6156sat 32
6157eat 32
6158st 0
6159sf 1
6160si 0
6161tg (WTG
6162ps "ConnStartEndStrategy"
6163stg "STSignalDisplayStrategy"
6164f (Text
6165va (VaSet
6166)
6167xt "0,0,1900,1000"
6168st "sig0"
6169blo "0,800"
6170tm "WireNameMgr"
6171)
6172)
6173)
6174defaultBus (Wire
6175shape (OrthoPolyLine
6176va (VaSet
6177vasetType 3
6178lineWidth 2
6179)
6180pts [
6181"0,0"
6182"0,0"
6183]
6184)
6185ss 0
6186es 0
6187sat 32
6188eat 32
6189sty 1
6190st 0
6191sf 1
6192si 0
6193tg (WTG
6194ps "ConnStartEndStrategy"
6195stg "STSignalDisplayStrategy"
6196f (Text
6197va (VaSet
6198)
6199xt "0,0,2400,1000"
6200st "dbus0"
6201blo "0,800"
6202tm "WireNameMgr"
6203)
6204)
6205)
6206defaultBundle (Bundle
6207shape (OrthoPolyLine
6208va (VaSet
6209vasetType 3
6210lineColor "32768,0,0"
6211lineWidth 2
6212)
6213pts [
6214"0,0"
6215"0,0"
6216]
6217)
6218ss 0
6219es 0
6220sat 32
6221eat 32
6222textGroup (BiTextGroup
6223ps "ConnStartEndStrategy"
6224stg "VerticalLayoutStrategy"
6225first (Text
6226va (VaSet
6227)
6228xt "0,0,3000,1000"
6229st "bundle0"
6230blo "0,800"
6231tm "BundleNameMgr"
6232)
6233second (MLText
6234va (VaSet
6235)
6236xt "0,1000,1000,2000"
6237st "()"
6238tm "BundleContentsMgr"
6239)
6240)
6241bundleNet &0
6242)
6243defaultPortMapFrame (PortMapFrame
6244ps "PortMapFrameStrategy"
6245shape (RectFrame
6246va (VaSet
6247vasetType 1
6248fg "65535,65535,65535"
6249lineColor "0,0,32768"
6250lineWidth 2
6251)
6252xt "0,0,10000,12000"
6253)
6254portMapText (BiTextGroup
6255ps "BottomRightOffsetStrategy"
6256stg "VerticalLayoutStrategy"
6257first (MLText
6258va (VaSet
6259)
6260)
6261second (MLText
6262va (VaSet
6263)
6264tm "PortMapTextMgr"
6265)
6266)
6267)
6268defaultGenFrame (Frame
6269shape (RectFrame
6270va (VaSet
6271vasetType 1
6272fg "65535,65535,65535"
6273lineColor "26368,26368,26368"
6274lineStyle 2
6275lineWidth 3
6276)
6277xt "0,0,20000,20000"
6278)
6279title (TextAssociate
6280ps "TopLeftStrategy"
6281text (MLText
6282va (VaSet
6283)
6284xt "0,-1100,12600,-100"
6285st "g0: FOR i IN 0 TO n GENERATE"
6286tm "FrameTitleTextMgr"
6287)
6288)
6289seqNum (FrameSequenceNumber
6290ps "TopLeftStrategy"
6291shape (Rectangle
6292va (VaSet
6293vasetType 1
6294fg "65535,65535,65535"
6295)
6296xt "50,50,1250,1450"
6297)
6298num (Text
6299va (VaSet
6300)
6301xt "250,250,1050,1250"
6302st "1"
6303blo "250,1050"
6304tm "FrameSeqNumMgr"
6305)
6306)
6307decls (MlTextGroup
6308ps "BottomRightOffsetStrategy"
6309stg "VerticalLayoutStrategy"
6310textVec [
6311*219 (Text
6312va (VaSet
6313font "Arial,8,1"
6314)
6315xt "14100,20000,22000,21000"
6316st "Frame Declarations"
6317blo "14100,20800"
6318)
6319*220 (MLText
6320va (VaSet
6321)
6322xt "14100,21000,14100,21000"
6323tm "BdFrameDeclTextMgr"
6324)
6325]
6326)
6327)
6328defaultBlockFrame (Frame
6329shape (RectFrame
6330va (VaSet
6331vasetType 1
6332fg "65535,65535,65535"
6333lineColor "26368,26368,26368"
6334lineStyle 1
6335lineWidth 3
6336)
6337xt "0,0,20000,20000"
6338)
6339title (TextAssociate
6340ps "TopLeftStrategy"
6341text (MLText
6342va (VaSet
6343)
6344xt "0,-1100,7400,-100"
6345st "b0: BLOCK (guard)"
6346tm "FrameTitleTextMgr"
6347)
6348)
6349seqNum (FrameSequenceNumber
6350ps "TopLeftStrategy"
6351shape (Rectangle
6352va (VaSet
6353vasetType 1
6354fg "65535,65535,65535"
6355)
6356xt "50,50,1250,1450"
6357)
6358num (Text
6359va (VaSet
6360)
6361xt "250,250,1050,1250"
6362st "1"
6363blo "250,1050"
6364tm "FrameSeqNumMgr"
6365)
6366)
6367decls (MlTextGroup
6368ps "BottomRightOffsetStrategy"
6369stg "VerticalLayoutStrategy"
6370textVec [
6371*221 (Text
6372va (VaSet
6373font "Arial,8,1"
6374)
6375xt "14100,20000,22000,21000"
6376st "Frame Declarations"
6377blo "14100,20800"
6378)
6379*222 (MLText
6380va (VaSet
6381)
6382xt "14100,21000,14100,21000"
6383tm "BdFrameDeclTextMgr"
6384)
6385]
6386)
6387style 3
6388)
6389defaultSaCptPort (CptPort
6390ps "OnEdgeStrategy"
6391shape (Triangle
6392ro 90
6393va (VaSet
6394vasetType 1
6395fg "0,65535,0"
6396)
6397xt "0,0,750,750"
6398)
6399tg (CPTG
6400ps "CptPortTextPlaceStrategy"
6401stg "VerticalLayoutStrategy"
6402f (Text
6403va (VaSet
6404)
6405xt "0,750,1800,1750"
6406st "Port"
6407blo "0,1550"
6408)
6409)
6410thePort (LogicalPort
6411decl (Decl
6412n "Port"
6413t ""
6414o 0
6415)
6416)
6417)
6418defaultSaCptPortBuffer (CptPort
6419ps "OnEdgeStrategy"
6420shape (Diamond
6421va (VaSet
6422vasetType 1
6423fg "65535,65535,65535"
6424)
6425xt "0,0,750,750"
6426)
6427tg (CPTG
6428ps "CptPortTextPlaceStrategy"
6429stg "VerticalLayoutStrategy"
6430f (Text
6431va (VaSet
6432)
6433xt "0,750,1800,1750"
6434st "Port"
6435blo "0,1550"
6436)
6437)
6438thePort (LogicalPort
6439m 3
6440decl (Decl
6441n "Port"
6442t ""
6443o 0
6444)
6445)
6446)
6447defaultDeclText (MLText
6448va (VaSet
6449font "Courier New,8,0"
6450)
6451)
6452archDeclarativeBlock (BdArchDeclBlock
6453uid 1,0
6454stg "BdArchDeclBlockLS"
6455declLabel (Text
6456uid 2,0
6457va (VaSet
6458isHidden 1
6459font "Arial,8,1"
6460)
6461xt "13000,24000,18400,25000"
6462st "Declarations"
6463blo "13000,24800"
6464)
6465portLabel (Text
6466uid 3,0
6467va (VaSet
6468isHidden 1
6469font "Arial,8,1"
6470)
6471xt "13000,25000,15700,26000"
6472st "Ports:"
6473blo "13000,25800"
6474)
6475preUserLabel (Text
6476uid 4,0
6477va (VaSet
6478isHidden 1
6479font "Arial,8,1"
6480)
6481xt "13000,29200,16800,30200"
6482st "Pre User:"
6483blo "13000,30000"
6484)
6485preUserText (MLText
6486uid 5,0
6487va (VaSet
6488isHidden 1
6489font "Courier New,8,0"
6490)
6491xt "15000,30200,57000,31000"
6492st "constant DNA_FOR_SIM : bit_vector := X\"01710000E000FAD2\"; -- for simulation only
6493"
6494tm "BdDeclarativeTextMgr"
6495)
6496diagSignalLabel (Text
6497uid 6,0
6498va (VaSet
6499isHidden 1
6500font "Arial,8,1"
6501)
6502xt "13000,31000,20100,32000"
6503st "Diagram Signals:"
6504blo "13000,31800"
6505)
6506postUserLabel (Text
6507uid 7,0
6508va (VaSet
6509isHidden 1
6510font "Arial,8,1"
6511)
6512xt "13000,36000,17700,37000"
6513st "Post User:"
6514blo "13000,36800"
6515)
6516postUserText (MLText
6517uid 8,0
6518va (VaSet
6519isHidden 1
6520font "Courier New,8,0"
6521)
6522xt "13000,24000,13000,24000"
6523tm "BdDeclarativeTextMgr"
6524)
6525)
6526createCompDecls 0
6527commonDM (CommonDM
6528ldm (LogicalDM
6529ordering 1
6530suid 10,0
6531usingSuid 1
6532emptyRow *223 (LEmptyRow
6533)
6534optionalChildren [
6535*224 (RefLabelRowHdr
6536)
6537*225 (TitleRowHdr
6538)
6539*226 (FilterRowHdr
6540)
6541*227 (RefLabelColHdr
6542tm "RefLabelColHdrMgr"
6543)
6544*228 (RowExpandColHdr
6545tm "RowExpandColHdrMgr"
6546)
6547*229 (GroupColHdr
6548tm "GroupColHdrMgr"
6549)
6550*230 (NameColHdr
6551tm "BlockDiagramNameColHdrMgr"
6552)
6553*231 (ModeColHdr
6554tm "BlockDiagramModeColHdrMgr"
6555)
6556*232 (TypeColHdr
6557tm "BlockDiagramTypeColHdrMgr"
6558)
6559*233 (BoundsColHdr
6560tm "BlockDiagramBoundsColHdrMgr"
6561)
6562*234 (InitColHdr
6563tm "BlockDiagramInitColHdrMgr"
6564)
6565*235 (EolColHdr
6566tm "BlockDiagramEolColHdrMgr"
6567)
6568*236 (LeafLogPort
6569port (LogicalPort
6570m 4
6571decl (Decl
6572n "dout_sig"
6573t "STD_LOGIC"
6574o 5
6575suid 1,0
6576i "'0'"
6577)
6578)
6579uid 441,0
6580)
6581*237 (LeafLogPort
6582port (LogicalPort
6583m 4
6584decl (Decl
6585n "read_sig"
6586t "STD_LOGIC"
6587o 6
6588suid 2,0
6589i "'0'"
6590)
6591)
6592uid 443,0
6593)
6594*238 (LeafLogPort
6595port (LogicalPort
6596m 4
6597decl (Decl
6598n "shift_sig"
6599t "STD_LOGIC"
6600o 7
6601suid 3,0
6602i "'0'"
6603)
6604)
6605uid 445,0
6606)
6607*239 (LeafLogPort
6608port (LogicalPort
6609m 4
6610decl (Decl
6611n "dna_sig"
6612t "STD_LOGIC_VECTOR"
6613b "(63 downto 0)"
6614o 8
6615suid 4,0
6616i "(others => '0')"
6617)
6618)
6619uid 447,0
6620)
6621*240 (LeafLogPort
6622port (LogicalPort
6623m 4
6624decl (Decl
6625n "shift_cntr"
6626t "INTEGER"
6627b "RANGE 0 to 64"
6628o 9
6629suid 6,0
6630i "0"
6631)
6632)
6633uid 451,0
6634)
6635*241 (LeafLogPort
6636port (LogicalPort
6637decl (Decl
6638n "clk"
6639t "STD_LOGIC"
6640preAdd 0
6641posAdd 0
6642o 1
6643suid 7,0
6644)
6645)
6646uid 453,0
6647)
6648*242 (LeafLogPort
6649port (LogicalPort
6650decl (Decl
6651n "start"
6652t "STD_LOGIC"
6653preAdd 0
6654posAdd 0
6655o 2
6656suid 8,0
6657)
6658)
6659uid 455,0
6660)
6661*243 (LeafLogPort
6662port (LogicalPort
6663m 1
6664decl (Decl
6665n "dna"
6666t "STD_LOGIC_VECTOR"
6667b "(63 downto 0)"
6668preAdd 0
6669posAdd 0
6670o 3
6671suid 9,0
6672i "(others => '0')"
6673)
6674)
6675uid 457,0
6676)
6677*244 (LeafLogPort
6678port (LogicalPort
6679m 1
6680decl (Decl
6681n "ready"
6682t "STD_LOGIC"
6683preAdd 0
6684posAdd 0
6685o 4
6686suid 10,0
6687i "'0'"
6688)
6689)
6690uid 459,0
6691)
6692]
6693)
6694pdm (PhysicalDM
6695displayShortBounds 1
6696editShortBounds 1
6697optionalChildren [
6698*245 (Sheet
6699sheetRow (SheetRow
6700headerVa (MVa
6701cellColor "49152,49152,49152"
6702fontColor "0,0,0"
6703font "Tahoma,10,0"
6704)
6705cellVa (MVa
6706cellColor "65535,65535,65535"
6707fontColor "0,0,0"
6708font "Tahoma,10,0"
6709)
6710groupVa (MVa
6711cellColor "39936,56832,65280"
6712fontColor "0,0,0"
6713font "Tahoma,10,0"
6714)
6715emptyMRCItem *246 (MRCItem
6716litem &223
6717pos 3
6718dimension 20
6719)
6720optionalChildren [
6721*247 (MRCItem
6722litem &224
6723pos 0
6724dimension 20
6725)
6726*248 (MRCItem
6727litem &225
6728pos 1
6729dimension 23
6730)
6731*249 (MRCItem
6732litem &226
6733pos 2
6734hidden 1
6735dimension 20
6736)
6737*250 (MRCItem
6738litem &236
6739pos 4
6740dimension 20
6741uid 442,0
6742)
6743*251 (MRCItem
6744litem &237
6745pos 5
6746dimension 20
6747uid 444,0
6748)
6749*252 (MRCItem
6750litem &238
6751pos 6
6752dimension 20
6753uid 446,0
6754)
6755*253 (MRCItem
6756litem &239
6757pos 7
6758dimension 20
6759uid 448,0
6760)
6761*254 (MRCItem
6762litem &240
6763pos 8
6764dimension 20
6765uid 452,0
6766)
6767*255 (MRCItem
6768litem &241
6769pos 0
6770dimension 20
6771uid 454,0
6772)
6773*256 (MRCItem
6774litem &242
6775pos 1
6776dimension 20
6777uid 456,0
6778)
6779*257 (MRCItem
6780litem &243
6781pos 2
6782dimension 20
6783uid 458,0
6784)
6785*258 (MRCItem
6786litem &244
6787pos 3
6788dimension 20
6789uid 460,0
6790)
6791]
6792)
6793sheetCol (SheetCol
6794propVa (MVa
6795cellColor "0,49152,49152"
6796fontColor "0,0,0"
6797font "Tahoma,10,0"
6798textAngle 90
6799)
6800optionalChildren [
6801*259 (MRCItem
6802litem &227
6803pos 0
6804dimension 20
6805)
6806*260 (MRCItem
6807litem &229
6808pos 1
6809dimension 50
6810)
6811*261 (MRCItem
6812litem &230
6813pos 2
6814dimension 100
6815)
6816*262 (MRCItem
6817litem &231
6818pos 3
6819dimension 50
6820)
6821*263 (MRCItem
6822litem &232
6823pos 4
6824dimension 100
6825)
6826*264 (MRCItem
6827litem &233
6828pos 5
6829dimension 100
6830)
6831*265 (MRCItem
6832litem &234
6833pos 6
6834dimension 50
6835)
6836*266 (MRCItem
6837litem &235
6838pos 7
6839dimension 80
6840)
6841]
6842)
6843fixedCol 4
6844fixedRow 2
6845name "Ports"
6846vaOverrides [
6847]
6848)
6849]
6850)
6851)
6852genericsCommonDM (CommonDM
6853ldm (LogicalDM
6854emptyRow *267 (LEmptyRow
6855)
6856optionalChildren [
6857*268 (RefLabelRowHdr
6858)
6859*269 (TitleRowHdr
6860)
6861*270 (FilterRowHdr
6862)
6863*271 (RefLabelColHdr
6864tm "RefLabelColHdrMgr"
6865)
6866*272 (RowExpandColHdr
6867tm "RowExpandColHdrMgr"
6868)
6869*273 (GroupColHdr
6870tm "GroupColHdrMgr"
6871)
6872*274 (NameColHdr
6873tm "GenericNameColHdrMgr"
6874)
6875*275 (TypeColHdr
6876tm "GenericTypeColHdrMgr"
6877)
6878*276 (InitColHdr
6879tm "GenericValueColHdrMgr"
6880)
6881*277 (PragmaColHdr
6882tm "GenericPragmaColHdrMgr"
6883)
6884*278 (EolColHdr
6885tm "GenericEolColHdrMgr"
6886)
6887]
6888)
6889pdm (PhysicalDM
6890displayShortBounds 1
6891editShortBounds 1
6892optionalChildren [
6893*279 (Sheet
6894sheetRow (SheetRow
6895headerVa (MVa
6896cellColor "49152,49152,49152"
6897fontColor "0,0,0"
6898font "Tahoma,10,0"
6899)
6900cellVa (MVa
6901cellColor "65535,65535,65535"
6902fontColor "0,0,0"
6903font "Tahoma,10,0"
6904)
6905groupVa (MVa
6906cellColor "39936,56832,65280"
6907fontColor "0,0,0"
6908font "Tahoma,10,0"
6909)
6910emptyMRCItem *280 (MRCItem
6911litem &267
6912pos 3
6913dimension 20
6914)
6915optionalChildren [
6916*281 (MRCItem
6917litem &268
6918pos 0
6919dimension 20
6920)
6921*282 (MRCItem
6922litem &269
6923pos 1
6924dimension 23
6925)
6926*283 (MRCItem
6927litem &270
6928pos 2
6929hidden 1
6930dimension 20
6931)
6932]
6933)
6934sheetCol (SheetCol
6935propVa (MVa
6936cellColor "0,49152,49152"
6937fontColor "0,0,0"
6938font "Tahoma,10,0"
6939textAngle 90
6940)
6941optionalChildren [
6942*284 (MRCItem
6943litem &271
6944pos 0
6945dimension 20
6946)
6947*285 (MRCItem
6948litem &273
6949pos 1
6950dimension 50
6951)
6952*286 (MRCItem
6953litem &274
6954pos 2
6955dimension 100
6956)
6957*287 (MRCItem
6958litem &275
6959pos 3
6960dimension 100
6961)
6962*288 (MRCItem
6963litem &276
6964pos 4
6965dimension 50
6966)
6967*289 (MRCItem
6968litem &277
6969pos 5
6970dimension 50
6971)
6972*290 (MRCItem
6973litem &278
6974pos 6
6975dimension 80
6976)
6977]
6978)
6979fixedCol 3
6980fixedRow 2
6981name "Ports"
6982vaOverrides [
6983]
6984)
6985]
6986)
6987type 1
6988)
6989)
Note: See TracBrowser for help on using the repository browser.