source: firmware/FAD/FACT_FAD_lib/hds/dna_gen/symbol.sb@ 20115

Last change on this file since 20115 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 20.3 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "UNISIM"
22unitName "VComponents"
23)
24]
25libraryRefs [
26"IEEE"
27"UNISIM"
28]
29)
30version "24.1"
31appVersion "2009.2 (Build 10)"
32model (Symbol
33commonDM (CommonDM
34ldm (LogicalDM
35ordering 1
36suid 4,0
37usingSuid 1
38emptyRow *1 (LEmptyRow
39)
40uid 84,0
41optionalChildren [
42*2 (RefLabelRowHdr
43)
44*3 (TitleRowHdr
45)
46*4 (FilterRowHdr
47)
48*5 (RefLabelColHdr
49tm "RefLabelColHdrMgr"
50)
51*6 (RowExpandColHdr
52tm "RowExpandColHdrMgr"
53)
54*7 (GroupColHdr
55tm "GroupColHdrMgr"
56)
57*8 (NameColHdr
58tm "NameColHdrMgr"
59)
60*9 (ModeColHdr
61tm "ModeColHdrMgr"
62)
63*10 (TypeColHdr
64tm "TypeColHdrMgr"
65)
66*11 (BoundsColHdr
67tm "BoundsColHdrMgr"
68)
69*12 (InitColHdr
70tm "InitColHdrMgr"
71)
72*13 (EolColHdr
73tm "EolColHdrMgr"
74)
75*14 (LogPort
76port (LogicalPort
77decl (Decl
78n "clk"
79t "STD_LOGIC"
80preAdd 0
81posAdd 0
82o 1
83suid 1,0
84)
85)
86uid 71,0
87)
88*15 (LogPort
89port (LogicalPort
90m 1
91decl (Decl
92n "dna"
93t "STD_LOGIC_VECTOR"
94b "(63 downto 0)"
95preAdd 0
96posAdd 0
97o 2
98suid 3,0
99i "(others => '0')"
100)
101)
102uid 75,0
103)
104*16 (LogPort
105port (LogicalPort
106m 1
107decl (Decl
108n "ready"
109t "STD_LOGIC"
110preAdd 0
111posAdd 0
112o 3
113suid 4,0
114i "'0'"
115)
116)
117uid 77,0
118)
119]
120)
121pdm (PhysicalDM
122displayShortBounds 1
123editShortBounds 1
124uid 97,0
125optionalChildren [
126*17 (Sheet
127sheetRow (SheetRow
128headerVa (MVa
129cellColor "49152,49152,49152"
130fontColor "0,0,0"
131font "Tahoma,10,0"
132)
133cellVa (MVa
134cellColor "65535,65535,65535"
135fontColor "0,0,0"
136font "Tahoma,10,0"
137)
138groupVa (MVa
139cellColor "39936,56832,65280"
140fontColor "0,0,0"
141font "Tahoma,10,0"
142)
143emptyMRCItem *18 (MRCItem
144litem &1
145pos 3
146dimension 20
147)
148uid 99,0
149optionalChildren [
150*19 (MRCItem
151litem &2
152pos 0
153dimension 20
154uid 100,0
155)
156*20 (MRCItem
157litem &3
158pos 1
159dimension 23
160uid 101,0
161)
162*21 (MRCItem
163litem &4
164pos 2
165hidden 1
166dimension 20
167uid 102,0
168)
169*22 (MRCItem
170litem &14
171pos 0
172dimension 20
173uid 72,0
174)
175*23 (MRCItem
176litem &15
177pos 1
178dimension 20
179uid 76,0
180)
181*24 (MRCItem
182litem &16
183pos 2
184dimension 20
185uid 78,0
186)
187]
188)
189sheetCol (SheetCol
190propVa (MVa
191cellColor "0,49152,49152"
192fontColor "0,0,0"
193font "Tahoma,10,0"
194textAngle 90
195)
196uid 103,0
197optionalChildren [
198*25 (MRCItem
199litem &5
200pos 0
201dimension 20
202uid 104,0
203)
204*26 (MRCItem
205litem &7
206pos 1
207dimension 50
208uid 105,0
209)
210*27 (MRCItem
211litem &8
212pos 2
213dimension 100
214uid 106,0
215)
216*28 (MRCItem
217litem &9
218pos 3
219dimension 50
220uid 107,0
221)
222*29 (MRCItem
223litem &10
224pos 4
225dimension 100
226uid 108,0
227)
228*30 (MRCItem
229litem &11
230pos 5
231dimension 100
232uid 109,0
233)
234*31 (MRCItem
235litem &12
236pos 6
237dimension 50
238uid 110,0
239)
240*32 (MRCItem
241litem &13
242pos 7
243dimension 80
244uid 111,0
245)
246]
247)
248fixedCol 4
249fixedRow 2
250name "Ports"
251uid 98,0
252vaOverrides [
253]
254)
255]
256)
257uid 83,0
258)
259genericsCommonDM (CommonDM
260ldm (LogicalDM
261emptyRow *33 (LEmptyRow
262)
263uid 113,0
264optionalChildren [
265*34 (RefLabelRowHdr
266)
267*35 (TitleRowHdr
268)
269*36 (FilterRowHdr
270)
271*37 (RefLabelColHdr
272tm "RefLabelColHdrMgr"
273)
274*38 (RowExpandColHdr
275tm "RowExpandColHdrMgr"
276)
277*39 (GroupColHdr
278tm "GroupColHdrMgr"
279)
280*40 (NameColHdr
281tm "GenericNameColHdrMgr"
282)
283*41 (TypeColHdr
284tm "GenericTypeColHdrMgr"
285)
286*42 (InitColHdr
287tm "GenericValueColHdrMgr"
288)
289*43 (PragmaColHdr
290tm "GenericPragmaColHdrMgr"
291)
292*44 (EolColHdr
293tm "GenericEolColHdrMgr"
294)
295]
296)
297pdm (PhysicalDM
298displayShortBounds 1
299editShortBounds 1
300uid 125,0
301optionalChildren [
302*45 (Sheet
303sheetRow (SheetRow
304headerVa (MVa
305cellColor "49152,49152,49152"
306fontColor "0,0,0"
307font "Tahoma,10,0"
308)
309cellVa (MVa
310cellColor "65535,65535,65535"
311fontColor "0,0,0"
312font "Tahoma,10,0"
313)
314groupVa (MVa
315cellColor "39936,56832,65280"
316fontColor "0,0,0"
317font "Tahoma,10,0"
318)
319emptyMRCItem *46 (MRCItem
320litem &33
321pos 3
322dimension 20
323)
324uid 127,0
325optionalChildren [
326*47 (MRCItem
327litem &34
328pos 0
329dimension 20
330uid 128,0
331)
332*48 (MRCItem
333litem &35
334pos 1
335dimension 23
336uid 129,0
337)
338*49 (MRCItem
339litem &36
340pos 2
341hidden 1
342dimension 20
343uid 130,0
344)
345]
346)
347sheetCol (SheetCol
348propVa (MVa
349cellColor "0,49152,49152"
350fontColor "0,0,0"
351font "Tahoma,10,0"
352textAngle 90
353)
354uid 131,0
355optionalChildren [
356*50 (MRCItem
357litem &37
358pos 0
359dimension 20
360uid 132,0
361)
362*51 (MRCItem
363litem &39
364pos 1
365dimension 50
366uid 133,0
367)
368*52 (MRCItem
369litem &40
370pos 2
371dimension 100
372uid 134,0
373)
374*53 (MRCItem
375litem &41
376pos 3
377dimension 100
378uid 135,0
379)
380*54 (MRCItem
381litem &42
382pos 4
383dimension 50
384uid 136,0
385)
386*55 (MRCItem
387litem &43
388pos 5
389dimension 50
390uid 137,0
391)
392*56 (MRCItem
393litem &44
394pos 6
395dimension 80
396uid 138,0
397)
398]
399)
400fixedCol 3
401fixedRow 2
402name "Ports"
403uid 126,0
404vaOverrides [
405]
406)
407]
408)
409uid 112,0
410type 1
411)
412VExpander (VariableExpander
413vvMap [
414(vvPair
415variable "HDLDir"
416value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
417)
418(vvPair
419variable "HDSDir"
420value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
421)
422(vvPair
423variable "SideDataDesignDir"
424value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\dna_gen\\symbol.sb.info"
425)
426(vvPair
427variable "SideDataUserDir"
428value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\dna_gen\\symbol.sb.user"
429)
430(vvPair
431variable "SourceDir"
432value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
433)
434(vvPair
435variable "appl"
436value "HDL Designer"
437)
438(vvPair
439variable "arch_name"
440value "symbol"
441)
442(vvPair
443variable "config"
444value "%(unit)_%(view)_config"
445)
446(vvPair
447variable "d"
448value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\dna_gen"
449)
450(vvPair
451variable "d_logical"
452value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\dna_gen"
453)
454(vvPair
455variable "date"
456value "22.02.2011"
457)
458(vvPair
459variable "day"
460value "Di"
461)
462(vvPair
463variable "day_long"
464value "Dienstag"
465)
466(vvPair
467variable "dd"
468value "22"
469)
470(vvPair
471variable "entity_name"
472value "dna_gen"
473)
474(vvPair
475variable "ext"
476value "<TBD>"
477)
478(vvPair
479variable "f"
480value "symbol.sb"
481)
482(vvPair
483variable "f_logical"
484value "symbol.sb"
485)
486(vvPair
487variable "f_noext"
488value "symbol"
489)
490(vvPair
491variable "group"
492value "UNKNOWN"
493)
494(vvPair
495variable "host"
496value "E5B-LABOR6"
497)
498(vvPair
499variable "language"
500value "VHDL"
501)
502(vvPair
503variable "library"
504value "FACT_FAD_lib"
505)
506(vvPair
507variable "library_downstream_HdsLintPlugin"
508value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
509)
510(vvPair
511variable "library_downstream_ISEPARInvoke"
512value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
513)
514(vvPair
515variable "library_downstream_ImpactInvoke"
516value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
517)
518(vvPair
519variable "library_downstream_ModelSimCompiler"
520value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
521)
522(vvPair
523variable "library_downstream_XSTDataPrep"
524value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
525)
526(vvPair
527variable "mm"
528value "02"
529)
530(vvPair
531variable "module_name"
532value "dna_gen"
533)
534(vvPair
535variable "month"
536value "Feb"
537)
538(vvPair
539variable "month_long"
540value "Februar"
541)
542(vvPair
543variable "p"
544value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\dna_gen\\symbol.sb"
545)
546(vvPair
547variable "p_logical"
548value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\dna_gen\\symbol.sb"
549)
550(vvPair
551variable "package_name"
552value "<Undefined Variable>"
553)
554(vvPair
555variable "project_name"
556value "FACT_FAD"
557)
558(vvPair
559variable "series"
560value "HDL Designer Series"
561)
562(vvPair
563variable "task_DesignCompilerPath"
564value "<TBD>"
565)
566(vvPair
567variable "task_LeonardoPath"
568value "<TBD>"
569)
570(vvPair
571variable "task_ModelSimPath"
572value "C:\\modeltech_6.6a\\win32"
573)
574(vvPair
575variable "task_NC-SimPath"
576value "<TBD>"
577)
578(vvPair
579variable "task_PrecisionRTLPath"
580value "<TBD>"
581)
582(vvPair
583variable "task_QuestaSimPath"
584value "<TBD>"
585)
586(vvPair
587variable "task_VCSPath"
588value "<TBD>"
589)
590(vvPair
591variable "this_ext"
592value "sb"
593)
594(vvPair
595variable "this_file"
596value "symbol"
597)
598(vvPair
599variable "this_file_logical"
600value "symbol"
601)
602(vvPair
603variable "time"
604value "12:03:00"
605)
606(vvPair
607variable "unit"
608value "dna_gen"
609)
610(vvPair
611variable "user"
612value "dneise"
613)
614(vvPair
615variable "version"
616value "2009.2 (Build 10)"
617)
618(vvPair
619variable "view"
620value "symbol"
621)
622(vvPair
623variable "year"
624value "2011"
625)
626(vvPair
627variable "yy"
628value "11"
629)
630]
631)
632LanguageMgr "VhdlLangMgr"
633uid 82,0
634optionalChildren [
635*57 (SymbolBody
636uid 8,0
637optionalChildren [
638*58 (CptPort
639uid 48,0
640ps "OnEdgeStrategy"
641shape (Triangle
642uid 49,0
643ro 90
644va (VaSet
645vasetType 1
646fg "0,65535,0"
647)
648xt "38250,3625,39000,4375"
649)
650tg (CPTG
651uid 50,0
652ps "CptPortTextPlaceStrategy"
653stg "VerticalLayoutStrategy"
654f (Text
655uid 51,0
656va (VaSet
657)
658xt "40000,3500,41300,4500"
659st "clk"
660blo "40000,4300"
661tm "CptPortNameMgr"
662)
663)
664dt (MLText
665uid 52,0
666va (VaSet
667font "Courier New,8,0"
668)
669xt "2000,14000,17000,14800"
670st "clk : IN STD_LOGIC ;
671"
672)
673thePort (LogicalPort
674decl (Decl
675n "clk"
676t "STD_LOGIC"
677preAdd 0
678posAdd 0
679o 1
680suid 1,0
681)
682)
683)
684*59 (CptPort
685uid 58,0
686ps "OnEdgeStrategy"
687shape (Triangle
688uid 59,0
689ro 90
690va (VaSet
691vasetType 1
692fg "0,65535,0"
693)
694xt "49000,3625,49750,4375"
695)
696tg (CPTG
697uid 60,0
698ps "CptPortTextPlaceStrategy"
699stg "RightVerticalLayoutStrategy"
700f (Text
701uid 61,0
702va (VaSet
703)
704xt "46400,3500,48000,4500"
705st "dna"
706ju 2
707blo "48000,4300"
708tm "CptPortNameMgr"
709)
710)
711dt (MLText
712uid 62,0
713va (VaSet
714font "Courier New,8,0"
715)
716xt "2000,14800,37000,15600"
717st "dna : OUT STD_LOGIC_VECTOR (63 downto 0) := (others => '0') ;
718"
719)
720thePort (LogicalPort
721m 1
722decl (Decl
723n "dna"
724t "STD_LOGIC_VECTOR"
725b "(63 downto 0)"
726preAdd 0
727posAdd 0
728o 2
729suid 3,0
730i "(others => '0')"
731)
732)
733)
734*60 (CptPort
735uid 63,0
736ps "OnEdgeStrategy"
737shape (Triangle
738uid 64,0
739ro 90
740va (VaSet
741vasetType 1
742fg "0,65535,0"
743)
744xt "49000,5625,49750,6375"
745)
746tg (CPTG
747uid 65,0
748ps "CptPortTextPlaceStrategy"
749stg "RightVerticalLayoutStrategy"
750f (Text
751uid 66,0
752va (VaSet
753)
754xt "45800,5500,48000,6500"
755st "ready"
756ju 2
757blo "48000,6300"
758tm "CptPortNameMgr"
759)
760)
761dt (MLText
762uid 67,0
763va (VaSet
764font "Courier New,8,0"
765)
766xt "2000,15600,30000,16400"
767st "ready : OUT STD_LOGIC := '0'
768"
769)
770thePort (LogicalPort
771m 1
772decl (Decl
773n "ready"
774t "STD_LOGIC"
775preAdd 0
776posAdd 0
777o 3
778suid 4,0
779i "'0'"
780)
781)
782)
783]
784shape (Rectangle
785uid 9,0
786va (VaSet
787vasetType 1
788fg "0,65535,0"
789lineColor "0,32896,0"
790lineWidth 2
791)
792xt "39000,2000,49000,12000"
793)
794biTextGroup (BiTextGroup
795uid 10,0
796ps "CenterOffsetStrategy"
797stg "VerticalLayoutStrategy"
798first (Text
799uid 11,0
800va (VaSet
801font "Arial,8,1"
802)
803xt "42200,6000,48400,7000"
804st "FACT_FAD_lib"
805blo "42200,6800"
806)
807second (Text
808uid 12,0
809va (VaSet
810font "Arial,8,1"
811)
812xt "42200,7000,45800,8000"
813st "dna_gen"
814blo "42200,7800"
815)
816)
817gi *61 (GenericInterface
818uid 13,0
819ps "CenterOffsetStrategy"
820matrix (Matrix
821uid 14,0
822text (MLText
823uid 15,0
824va (VaSet
825font "Courier New,8,0"
826)
827xt "39000,200,50500,1000"
828st "Generic Declarations"
829)
830header "Generic Declarations"
831showHdrWhenContentsEmpty 1
832)
833elements [
834]
835)
836portInstanceVisAsIs 1
837portInstanceVis (PortSigDisplay
838sIVOD 1
839)
840portVis (PortSigDisplay
841sTC 0
842)
843)
844*62 (Grouping
845uid 16,0
846optionalChildren [
847*63 (CommentText
848uid 18,0
849shape (Rectangle
850uid 19,0
851sl 0
852va (VaSet
853vasetType 1
854fg "65280,65280,46080"
855)
856xt "43000,20000,60000,21000"
857)
858oxt "18000,70000,35000,71000"
859text (MLText
860uid 20,0
861va (VaSet
862fg "0,0,32768"
863bg "0,0,32768"
864)
865xt "43200,20000,52800,21000"
866st "
867by %user on %dd %month %year
868"
869tm "CommentText"
870wrapOption 3
871visibleHeight 1000
872visibleWidth 17000
873)
874position 1
875ignorePrefs 1
876titleBlock 1
877)
878*64 (CommentText
879uid 21,0
880shape (Rectangle
881uid 22,0
882sl 0
883va (VaSet
884vasetType 1
885fg "65280,65280,46080"
886)
887xt "60000,16000,64000,17000"
888)
889oxt "35000,66000,39000,67000"
890text (MLText
891uid 23,0
892va (VaSet
893fg "0,0,32768"
894bg "0,0,32768"
895)
896xt "60200,16000,63200,17000"
897st "
898Project:
899"
900tm "CommentText"
901wrapOption 3
902visibleHeight 1000
903visibleWidth 4000
904)
905position 1
906ignorePrefs 1
907titleBlock 1
908)
909*65 (CommentText
910uid 24,0
911shape (Rectangle
912uid 25,0
913sl 0
914va (VaSet
915vasetType 1
916fg "65280,65280,46080"
917)
918xt "43000,18000,60000,19000"
919)
920oxt "18000,68000,35000,69000"
921text (MLText
922uid 26,0
923va (VaSet
924fg "0,0,32768"
925bg "0,0,32768"
926)
927xt "43200,18000,53200,19000"
928st "
929<enter diagram title here>
930"
931tm "CommentText"
932wrapOption 3
933visibleHeight 1000
934visibleWidth 17000
935)
936position 1
937ignorePrefs 1
938titleBlock 1
939)
940*66 (CommentText
941uid 27,0
942shape (Rectangle
943uid 28,0
944sl 0
945va (VaSet
946vasetType 1
947fg "65280,65280,46080"
948)
949xt "39000,18000,43000,19000"
950)
951oxt "14000,68000,18000,69000"
952text (MLText
953uid 29,0
954va (VaSet
955fg "0,0,32768"
956bg "0,0,32768"
957)
958xt "39200,18000,41300,19000"
959st "
960Title:
961"
962tm "CommentText"
963wrapOption 3
964visibleHeight 1000
965visibleWidth 4000
966)
967position 1
968ignorePrefs 1
969titleBlock 1
970)
971*67 (CommentText
972uid 30,0
973shape (Rectangle
974uid 31,0
975sl 0
976va (VaSet
977vasetType 1
978fg "65280,65280,46080"
979)
980xt "60000,17000,80000,21000"
981)
982oxt "35000,67000,55000,71000"
983text (MLText
984uid 32,0
985va (VaSet
986fg "0,0,32768"
987bg "0,0,32768"
988)
989xt "60200,17200,69400,18200"
990st "
991<enter comments here>
992"
993tm "CommentText"
994wrapOption 3
995visibleHeight 4000
996visibleWidth 20000
997)
998ignorePrefs 1
999titleBlock 1
1000)
1001*68 (CommentText
1002uid 33,0
1003shape (Rectangle
1004uid 34,0
1005sl 0
1006va (VaSet
1007vasetType 1
1008fg "65280,65280,46080"
1009)
1010xt "64000,16000,80000,17000"
1011)
1012oxt "39000,66000,55000,67000"
1013text (MLText
1014uid 35,0
1015va (VaSet
1016fg "0,0,32768"
1017bg "0,0,32768"
1018)
1019xt "64200,16000,68700,17000"
1020st "
1021%project_name
1022"
1023tm "CommentText"
1024wrapOption 3
1025visibleHeight 1000
1026visibleWidth 16000
1027)
1028position 1
1029ignorePrefs 1
1030titleBlock 1
1031)
1032*69 (CommentText
1033uid 36,0
1034shape (Rectangle
1035uid 37,0
1036sl 0
1037va (VaSet
1038vasetType 1
1039fg "65280,65280,46080"
1040)
1041xt "39000,16000,60000,18000"
1042)
1043oxt "14000,66000,35000,68000"
1044text (MLText
1045uid 38,0
1046va (VaSet
1047fg "32768,0,0"
1048)
1049xt "46150,16500,52850,17500"
1050st "
1051<company name>
1052"
1053ju 0
1054tm "CommentText"
1055wrapOption 3
1056visibleHeight 2000
1057visibleWidth 21000
1058)
1059position 1
1060ignorePrefs 1
1061titleBlock 1
1062)
1063*70 (CommentText
1064uid 39,0
1065shape (Rectangle
1066uid 40,0
1067sl 0
1068va (VaSet
1069vasetType 1
1070fg "65280,65280,46080"
1071)
1072xt "39000,19000,43000,20000"
1073)
1074oxt "14000,69000,18000,70000"
1075text (MLText
1076uid 41,0
1077va (VaSet
1078fg "0,0,32768"
1079bg "0,0,32768"
1080)
1081xt "39200,19000,41300,20000"
1082st "
1083Path:
1084"
1085tm "CommentText"
1086wrapOption 3
1087visibleHeight 1000
1088visibleWidth 4000
1089)
1090position 1
1091ignorePrefs 1
1092titleBlock 1
1093)
1094*71 (CommentText
1095uid 42,0
1096shape (Rectangle
1097uid 43,0
1098sl 0
1099va (VaSet
1100vasetType 1
1101fg "65280,65280,46080"
1102)
1103xt "39000,20000,43000,21000"
1104)
1105oxt "14000,70000,18000,71000"
1106text (MLText
1107uid 44,0
1108va (VaSet
1109fg "0,0,32768"
1110bg "0,0,32768"
1111)
1112xt "39200,20000,41900,21000"
1113st "
1114Edited:
1115"
1116tm "CommentText"
1117wrapOption 3
1118visibleHeight 1000
1119visibleWidth 4000
1120)
1121position 1
1122ignorePrefs 1
1123titleBlock 1
1124)
1125*72 (CommentText
1126uid 45,0
1127shape (Rectangle
1128uid 46,0
1129sl 0
1130va (VaSet
1131vasetType 1
1132fg "65280,65280,46080"
1133)
1134xt "43000,19000,60000,20000"
1135)
1136oxt "18000,69000,35000,70000"
1137text (MLText
1138uid 47,0
1139va (VaSet
1140fg "0,0,32768"
1141bg "0,0,32768"
1142)
1143xt "43200,19000,55300,20000"
1144st "
1145%library/%unit/%view
1146"
1147tm "CommentText"
1148wrapOption 3
1149visibleHeight 1000
1150visibleWidth 17000
1151)
1152position 1
1153ignorePrefs 1
1154titleBlock 1
1155)
1156]
1157shape (GroupingShape
1158uid 17,0
1159va (VaSet
1160vasetType 1
1161fg "65535,65535,65535"
1162lineStyle 2
1163lineWidth 2
1164)
1165xt "39000,16000,80000,21000"
1166)
1167oxt "14000,66000,55000,71000"
1168)
1169*73 (CommentText
1170uid 68,0
1171shape (Rectangle
1172uid 69,0
1173layer 0
1174va (VaSet
1175vasetType 1
1176fg "65280,65280,46080"
1177lineColor "0,0,32768"
1178)
1179xt "0,-6000,33000,0"
1180)
1181text (MLText
1182uid 70,0
1183va (VaSet
1184fg "0,0,32768"
1185font "Arial,10,0"
1186)
1187xt "200,-5800,32200,-600"
1188st "
1189Created using Mentor Graphics HDL2Graphics(TM) Technology
1190on - 09:15:09 15.02.2011
1191from - C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl\\dna_gen.vhd
1192
1193"
1194tm "CommentText"
1195wrapOption 3
1196visibleHeight 5600
1197visibleWidth 32600
1198)
1199)
1200]
1201bg "65535,65535,65535"
1202grid (Grid
1203origin "0,0"
1204isVisible 1
1205isActive 1
1206xSpacing 1000
1207xySpacing 1000
1208xShown 1
1209yShown 1
1210color "26368,26368,26368"
1211)
1212packageList *74 (PackageList
1213uid 79,0
1214stg "VerticalLayoutStrategy"
1215textVec [
1216*75 (Text
1217uid 80,0
1218va (VaSet
1219font "arial,8,1"
1220)
1221xt "0,1000,5400,2000"
1222st "Package List"
1223blo "0,1800"
1224)
1225*76 (MLText
1226uid 81,0
1227va (VaSet
1228)
1229xt "0,2000,22500,11000"
1230st "library IEEE;
1231use IEEE.STD_LOGIC_1164.ALL;
1232use IEEE.STD_LOGIC_ARITH.ALL;
1233use IEEE.STD_LOGIC_UNSIGNED.ALL;
1234
1235---- Uncomment the following library declaration if instantiating
1236---- any Xilinx primitives in this code.
1237library UNISIM;
1238use UNISIM.VComponents.all;"
1239tm "PackageList"
1240)
1241]
1242)
1243windowSize "0,0,1015,690"
1244viewArea "0,0,0,0"
1245cachedDiagramExtent "0,0,0,0"
1246pageBreakOrigin "0,0"
1247defaultCommentText (CommentText
1248shape (Rectangle
1249layer 0
1250va (VaSet
1251vasetType 1
1252fg "65280,65280,46080"
1253lineColor "0,0,32768"
1254)
1255xt "0,0,15000,5000"
1256)
1257text (MLText
1258va (VaSet
1259fg "0,0,32768"
1260)
1261xt "200,200,2000,1200"
1262st "
1263Text
1264"
1265tm "CommentText"
1266wrapOption 3
1267visibleHeight 4600
1268visibleWidth 14600
1269)
1270)
1271defaultPanel (Panel
1272shape (RectFrame
1273va (VaSet
1274vasetType 1
1275fg "65535,65535,65535"
1276lineColor "32768,0,0"
1277lineWidth 3
1278)
1279xt "0,0,20000,20000"
1280)
1281title (TextAssociate
1282ps "TopLeftStrategy"
1283text (Text
1284va (VaSet
1285font "Arial,8,1"
1286)
1287xt "1000,1000,3800,2000"
1288st "Panel0"
1289blo "1000,1800"
1290tm "PanelText"
1291)
1292)
1293)
1294parentGraphicsRef (HdmGraphicsRef
1295libraryName ""
1296entityName ""
1297viewName ""
1298)
1299defaultSymbolBody (SymbolBody
1300shape (Rectangle
1301va (VaSet
1302vasetType 1
1303fg "0,65535,0"
1304lineColor "0,32896,0"
1305lineWidth 2
1306)
1307xt "15000,6000,33000,26000"
1308)
1309biTextGroup (BiTextGroup
1310ps "CenterOffsetStrategy"
1311stg "VerticalLayoutStrategy"
1312first (Text
1313va (VaSet
1314font "Arial,8,1"
1315)
1316xt "22200,15000,25800,16000"
1317st "<library>"
1318blo "22200,15800"
1319)
1320second (Text
1321va (VaSet
1322font "Arial,8,1"
1323)
1324xt "22200,16000,24800,17000"
1325st "<cell>"
1326blo "22200,16800"
1327)
1328)
1329gi *77 (GenericInterface
1330ps "CenterOffsetStrategy"
1331matrix (Matrix
1332text (MLText
1333va (VaSet
1334font "Courier New,8,0"
1335)
1336xt "0,12000,11500,12800"
1337st "Generic Declarations"
1338)
1339header "Generic Declarations"
1340showHdrWhenContentsEmpty 1
1341)
1342elements [
1343]
1344)
1345portInstanceVisAsIs 1
1346portInstanceVis (PortSigDisplay
1347sIVOD 1
1348)
1349portVis (PortSigDisplay
1350sIVOD 1
1351)
1352)
1353defaultCptPort (CptPort
1354ps "OnEdgeStrategy"
1355shape (Triangle
1356ro 90
1357va (VaSet
1358vasetType 1
1359fg "0,65535,0"
1360)
1361xt "0,0,750,750"
1362)
1363tg (CPTG
1364ps "CptPortTextPlaceStrategy"
1365stg "VerticalLayoutStrategy"
1366f (Text
1367va (VaSet
1368)
1369xt "0,750,1400,1750"
1370st "In0"
1371blo "0,1550"
1372tm "CptPortNameMgr"
1373)
1374)
1375dt (MLText
1376va (VaSet
1377font "Courier New,8,0"
1378)
1379)
1380thePort (LogicalPort
1381decl (Decl
1382n "In0"
1383t "std_logic_vector"
1384b "(15 DOWNTO 0)"
1385o 0
1386)
1387)
1388)
1389defaultCptPortBuffer (CptPort
1390ps "OnEdgeStrategy"
1391shape (Diamond
1392va (VaSet
1393vasetType 1
1394fg "65535,65535,65535"
1395bg "0,0,0"
1396)
1397xt "0,0,750,750"
1398)
1399tg (CPTG
1400ps "CptPortTextPlaceStrategy"
1401stg "VerticalLayoutStrategy"
1402f (Text
1403va (VaSet
1404)
1405xt "0,750,2800,1750"
1406st "Buffer0"
1407blo "0,1550"
1408tm "CptPortNameMgr"
1409)
1410)
1411dt (MLText
1412va (VaSet
1413font "Courier New,8,0"
1414)
1415)
1416thePort (LogicalPort
1417m 3
1418decl (Decl
1419n "Buffer0"
1420t "std_logic_vector"
1421b "(15 DOWNTO 0)"
1422o 0
1423)
1424)
1425)
1426DeclarativeBlock *78 (SymDeclBlock
1427uid 1,0
1428stg "SymDeclLayoutStrategy"
1429declLabel (Text
1430uid 2,0
1431va (VaSet
1432font "Arial,8,1"
1433)
1434xt "0,12000,5400,13000"
1435st "Declarations"
1436blo "0,12800"
1437)
1438portLabel (Text
1439uid 3,0
1440va (VaSet
1441font "Arial,8,1"
1442)
1443xt "0,13000,2700,14000"
1444st "Ports:"
1445blo "0,13800"
1446)
1447externalLabel (Text
1448uid 4,0
1449va (VaSet
1450font "Arial,8,1"
1451)
1452xt "0,16400,2400,17400"
1453st "User:"
1454blo "0,17200"
1455)
1456internalLabel (Text
1457uid 6,0
1458va (VaSet
1459isHidden 1
1460font "Arial,8,1"
1461)
1462xt "0,12000,5800,13000"
1463st "Internal User:"
1464blo "0,12800"
1465)
1466externalText (MLText
1467uid 5,0
1468va (VaSet
1469font "Courier New,8,0"
1470)
1471xt "2000,17400,2000,17400"
1472tm "SyDeclarativeTextMgr"
1473)
1474internalText (MLText
1475uid 7,0
1476va (VaSet
1477isHidden 1
1478font "Courier New,8,0"
1479)
1480xt "0,12000,0,12000"
1481tm "SyDeclarativeTextMgr"
1482)
1483)
1484lastUid 161,0
1485activeModelName "Symbol:CDM"
1486)
Note: See TracBrowser for help on using the repository browser.