DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" ) (DmPackageRef library "IEEE" unitName "NUMERIC_STD" ) (DmPackageRef library "FACT_FAD_lib" unitName "fad_definitions" ) ] libraryRefs [ "ieee" "FACT_FAD_lib" ] ) version "24.1" appVersion "2009.1 (Build 12)" model (Symbol commonDM (CommonDM ldm (LogicalDM ordering 1 suid 19,0 usingSuid 1 emptyRow *1 (LEmptyRow ) uid 157,0 optionalChildren [ *2 (RefLabelRowHdr ) *3 (TitleRowHdr ) *4 (FilterRowHdr ) *5 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *6 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *7 (GroupColHdr tm "GroupColHdrMgr" ) *8 (NameColHdr tm "NameColHdrMgr" ) *9 (ModeColHdr tm "ModeColHdrMgr" ) *10 (TypeColHdr tm "TypeColHdrMgr" ) *11 (BoundsColHdr tm "BoundsColHdrMgr" ) *12 (InitColHdr tm "InitColHdrMgr" ) *13 (EolColHdr tm "EolColHdrMgr" ) *14 (LogPort port (LogicalPort decl (Decl n "CLK" t "std_logic" preAdd 0 posAdd 0 o 1 suid 1,0 ) ) uid 124,0 ) *15 (LogPort port (LogicalPort m 1 decl (Decl n "PSCLK" t "std_logic" prec "-- interface to: clock_generator_variable_PS_struct.vhd" preAdd 0 posAdd 0 o 3 suid 2,0 ) ) uid 126,0 ) *16 (LogPort port (LogicalPort m 1 decl (Decl n "PSEN" t "std_logic" preAdd 0 posAdd 0 o 4 suid 3,0 i "'0'" ) ) uid 128,0 ) *17 (LogPort port (LogicalPort m 1 decl (Decl n "PSINCDEC" t "std_logic" eolc "-- default is 'incrementing'" preAdd 0 posAdd 0 o 5 suid 4,0 i "'1'" ) ) uid 130,0 ) *18 (LogPort port (LogicalPort decl (Decl n "PSDONE" t "std_logic" eolc "-- will pulse once, if phase shifting was done." preAdd 0 posAdd 0 o 6 suid 5,0 ) ) uid 132,0 ) *19 (LogPort port (LogicalPort decl (Decl n "LOCKED" t "std_logic" eolc "-- when is this going high?" preAdd 0 posAdd 0 o 7 suid 6,0 ) ) uid 134,0 ) *20 (LogPort port (LogicalPort decl (Decl n "shift_phase" t "std_logic" prec "-- interface to: w5300_modul.vhd" preAdd 0 posAdd 0 o 8 suid 8,0 ) ) uid 138,0 ) *21 (LogPort port (LogicalPort decl (Decl n "direction" t "std_logic" eolc "-- corresponds to 'PSINCDEC'" preAdd 0 posAdd 0 o 9 suid 9,0 ) ) uid 140,0 ) *22 (LogPort port (LogicalPort m 1 decl (Decl n "shifting" t "std_logic" prec "-- status:" preAdd 0 posAdd 0 o 11 suid 10,0 i "'0'" ) ) uid 142,0 ) *23 (LogPort port (LogicalPort m 1 decl (Decl n "ready" t "std_logic" preAdd 0 posAdd 0 o 12 suid 11,0 i "'0'" ) ) uid 144,0 ) *24 (LogPort port (LogicalPort m 1 decl (Decl n "offset" t "std_logic_vector" b "(7 DOWNTO 0)" preAdd 0 posAdd 0 o 15 suid 12,0 i "(OTHERS => '0')" ) ) uid 146,0 ) *25 (LogPort port (LogicalPort m 1 decl (Decl n "rst" t "std_logic" eolc "--asynch in of DCM" posAdd 0 o 2 suid 15,0 i "'0'" ) ) uid 286,0 ) *26 (LogPort port (LogicalPort decl (Decl n "reset_DCM" t "std_logic" eolc "-- asynch in: orders us, to reset the DCM" posAdd 0 o 10 suid 17,0 ) ) uid 369,0 ) *27 (LogPort port (LogicalPort m 1 decl (Decl n "locked_status_o" t "std_logic" o 13 suid 18,0 ) ) uid 428,0 ) *28 (LogPort port (LogicalPort m 1 decl (Decl n "ready_status_o" t "std_logic" o 14 suid 19,0 ) ) uid 430,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 170,0 optionalChildren [ *29 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *30 (MRCItem litem &1 pos 3 dimension 20 ) uid 172,0 optionalChildren [ *31 (MRCItem litem &2 pos 0 dimension 20 uid 173,0 ) *32 (MRCItem litem &3 pos 1 dimension 23 uid 174,0 ) *33 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 175,0 ) *34 (MRCItem litem &14 pos 0 dimension 20 uid 125,0 ) *35 (MRCItem litem &15 pos 1 dimension 20 uid 127,0 ) *36 (MRCItem litem &16 pos 2 dimension 20 uid 129,0 ) *37 (MRCItem litem &17 pos 3 dimension 20 uid 131,0 ) *38 (MRCItem litem &18 pos 4 dimension 20 uid 133,0 ) *39 (MRCItem litem &19 pos 5 dimension 20 uid 135,0 ) *40 (MRCItem litem &20 pos 6 dimension 20 uid 139,0 ) *41 (MRCItem litem &21 pos 7 dimension 20 uid 141,0 ) *42 (MRCItem litem &22 pos 8 dimension 20 uid 143,0 ) *43 (MRCItem litem &23 pos 9 dimension 20 uid 145,0 ) *44 (MRCItem litem &24 pos 10 dimension 20 uid 147,0 ) *45 (MRCItem litem &25 pos 11 dimension 20 uid 287,0 ) *46 (MRCItem litem &26 pos 12 dimension 20 uid 370,0 ) *47 (MRCItem litem &27 pos 13 dimension 20 uid 429,0 ) *48 (MRCItem litem &28 pos 14 dimension 20 uid 431,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 176,0 optionalChildren [ *49 (MRCItem litem &5 pos 0 dimension 20 uid 177,0 ) *50 (MRCItem litem &7 pos 1 dimension 50 uid 178,0 ) *51 (MRCItem litem &8 pos 2 dimension 100 uid 179,0 ) *52 (MRCItem litem &9 pos 3 dimension 50 uid 180,0 ) *53 (MRCItem litem &10 pos 4 dimension 100 uid 181,0 ) *54 (MRCItem litem &11 pos 5 dimension 100 uid 182,0 ) *55 (MRCItem litem &12 pos 6 dimension 50 uid 183,0 ) *56 (MRCItem litem &13 pos 7 dimension 80 uid 184,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 171,0 vaOverrides [ ] ) ] ) uid 156,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *57 (LEmptyRow ) uid 186,0 optionalChildren [ *58 (RefLabelRowHdr ) *59 (TitleRowHdr ) *60 (FilterRowHdr ) *61 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *62 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *63 (GroupColHdr tm "GroupColHdrMgr" ) *64 (NameColHdr tm "GenericNameColHdrMgr" ) *65 (TypeColHdr tm "GenericTypeColHdrMgr" ) *66 (InitColHdr tm "GenericValueColHdrMgr" ) *67 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *68 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 198,0 optionalChildren [ *69 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *70 (MRCItem litem &57 pos 3 dimension 20 ) uid 200,0 optionalChildren [ *71 (MRCItem litem &58 pos 0 dimension 20 uid 201,0 ) *72 (MRCItem litem &59 pos 1 dimension 23 uid 202,0 ) *73 (MRCItem litem &60 pos 2 hidden 1 dimension 20 uid 203,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 204,0 optionalChildren [ *74 (MRCItem litem &61 pos 0 dimension 20 uid 205,0 ) *75 (MRCItem litem &63 pos 1 dimension 50 uid 206,0 ) *76 (MRCItem litem &64 pos 2 dimension 100 uid 207,0 ) *77 (MRCItem litem &65 pos 3 dimension 100 uid 208,0 ) *78 (MRCItem litem &66 pos 4 dimension 50 uid 209,0 ) *79 (MRCItem litem &67 pos 5 dimension 50 uid 210,0 ) *80 (MRCItem litem &68 pos 6 dimension 80 uid 211,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 199,0 vaOverrides [ ] ) ] ) uid 185,0 type 1 ) VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl" ) (vvPair variable "HDSDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\phase_shifter\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\phase_shifter\\symbol.sb.user" ) (vvPair variable "SourceDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "symbol" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\phase_shifter" ) (vvPair variable "d_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\phase_shifter" ) (vvPair variable "date" value "03.03.2011" ) (vvPair variable "day" value "Do" ) (vvPair variable "day_long" value "Donnerstag" ) (vvPair variable "dd" value "03" ) (vvPair variable "entity_name" value "phase_shifter" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "symbol.sb" ) (vvPair variable "f_logical" value "symbol.sb" ) (vvPair variable "f_noext" value "symbol" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "IHP110" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_lib" ) (vvPair variable "library_downstream_HdsLintPlugin" value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "mm" value "03" ) (vvPair variable "module_name" value "phase_shifter" ) (vvPair variable "month" value "Mrz" ) (vvPair variable "month_long" value "März" ) (vvPair variable "p" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\phase_shifter\\symbol.sb" ) (vvPair variable "p_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\phase_shifter\\symbol.sb" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "D:\\modeltech_6.5e\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "sb" ) (vvPair variable "this_file" value "symbol" ) (vvPair variable "this_file_logical" value "symbol" ) (vvPair variable "time" value "09:46:07" ) (vvPair variable "unit" value "phase_shifter" ) (vvPair variable "user" value "daqct3" ) (vvPair variable "version" value "2009.1 (Build 12)" ) (vvPair variable "view" value "symbol" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" uid 155,0 optionalChildren [ *81 (SymbolBody uid 8,0 optionalChildren [ *82 (CptPort uid 48,0 ps "OnEdgeStrategy" shape (Triangle uid 49,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "49250,8625,50000,9375" ) tg (CPTG uid 50,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 51,0 va (VaSet ) xt "51000,8500,52800,9500" st "CLK" blo "51000,9300" tm "CptPortNameMgr" ) ) dt (MLText uid 52,0 va (VaSet font "Courier New,8,0" ) xt "2000,8000,22000,8800" st "CLK : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "CLK" t "std_logic" preAdd 0 posAdd 0 o 1 suid 1,0 ) ) ) *83 (CptPort uid 53,0 ps "OnEdgeStrategy" shape (Triangle uid 54,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,8625,66750,9375" ) tg (CPTG uid 55,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 56,0 va (VaSet ) xt "62200,8500,65000,9500" st "PSCLK" ju 2 blo "65000,9300" tm "CptPortNameMgr" ) ) dt (MLText uid 57,0 va (VaSet font "Courier New,8,0" ) xt "2000,9600,31500,11200" st "-- interface to: clock_generator_variable_PS_struct.vhd PSCLK : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "PSCLK" t "std_logic" prec "-- interface to: clock_generator_variable_PS_struct.vhd" preAdd 0 posAdd 0 o 3 suid 2,0 ) ) ) *84 (CptPort uid 58,0 ps "OnEdgeStrategy" shape (Triangle uid 59,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,10625,66750,11375" ) tg (CPTG uid 60,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 61,0 va (VaSet ) xt "62500,10500,65000,11500" st "PSEN" ju 2 blo "65000,11300" tm "CptPortNameMgr" ) ) dt (MLText uid 62,0 va (VaSet font "Courier New,8,0" ) xt "2000,11200,35500,12000" st "PSEN : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "PSEN" t "std_logic" preAdd 0 posAdd 0 o 4 suid 3,0 i "'0'" ) ) ) *85 (CptPort uid 63,0 ps "OnEdgeStrategy" shape (Triangle uid 64,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,12625,66750,13375" ) tg (CPTG uid 65,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 66,0 va (VaSet ) xt "60500,12500,65000,13500" st "PSINCDEC" ju 2 blo "65000,13300" tm "CptPortNameMgr" ) ) dt (MLText uid 67,0 va (VaSet font "Courier New,8,0" ) xt "2000,12000,50000,12800" st "PSINCDEC : OUT std_logic := '1' ; -- default is 'incrementing' " ) thePort (LogicalPort m 1 decl (Decl n "PSINCDEC" t "std_logic" eolc "-- default is 'incrementing'" preAdd 0 posAdd 0 o 5 suid 4,0 i "'1'" ) ) ) *86 (CptPort uid 68,0 ps "OnEdgeStrategy" shape (Triangle uid 69,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "49250,10625,50000,11375" ) tg (CPTG uid 70,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 71,0 va (VaSet ) xt "51000,10500,54700,11500" st "PSDONE" blo "51000,11300" tm "CptPortNameMgr" ) ) dt (MLText uid 72,0 va (VaSet font "Courier New,8,0" ) xt "2000,12800,46500,13600" st "PSDONE : IN std_logic ; -- will pulse once, if phase shifting was done. " ) thePort (LogicalPort decl (Decl n "PSDONE" t "std_logic" eolc "-- will pulse once, if phase shifting was done." preAdd 0 posAdd 0 o 6 suid 5,0 ) ) ) *87 (CptPort uid 73,0 ps "OnEdgeStrategy" shape (Triangle uid 74,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "49250,12625,50000,13375" ) tg (CPTG uid 75,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 76,0 va (VaSet ) xt "51000,12500,54500,13500" st "LOCKED" blo "51000,13300" tm "CptPortNameMgr" ) ) dt (MLText uid 77,0 va (VaSet font "Courier New,8,0" ) xt "2000,13600,36500,14400" st "LOCKED : IN std_logic ; -- when is this going high? " ) thePort (LogicalPort decl (Decl n "LOCKED" t "std_logic" eolc "-- when is this going high?" preAdd 0 posAdd 0 o 7 suid 6,0 ) ) ) *88 (CptPort uid 83,0 ps "OnEdgeStrategy" shape (Triangle uid 84,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "49250,16625,50000,17375" ) tg (CPTG uid 85,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 86,0 va (VaSet ) xt "51000,16500,56400,17500" st "shift_phase" blo "51000,17300" tm "CptPortNameMgr" ) ) dt (MLText uid 87,0 va (VaSet font "Courier New,8,0" ) xt "2000,14400,22000,16000" st "-- interface to: w5300_modul.vhd shift_phase : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "shift_phase" t "std_logic" prec "-- interface to: w5300_modul.vhd" preAdd 0 posAdd 0 o 8 suid 8,0 ) ) ) *89 (CptPort uid 88,0 ps "OnEdgeStrategy" shape (Triangle uid 89,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "49250,18625,50000,19375" ) tg (CPTG uid 90,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 91,0 va (VaSet ) xt "51000,18500,54700,19500" st "direction" blo "51000,19300" tm "CptPortNameMgr" ) ) dt (MLText uid 92,0 va (VaSet font "Courier New,8,0" ) xt "2000,16000,37000,16800" st "direction : IN std_logic ; -- corresponds to 'PSINCDEC' " ) thePort (LogicalPort decl (Decl n "direction" t "std_logic" eolc "-- corresponds to 'PSINCDEC'" preAdd 0 posAdd 0 o 9 suid 9,0 ) ) ) *90 (CptPort uid 93,0 ps "OnEdgeStrategy" shape (Triangle uid 94,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,14625,66750,15375" ) tg (CPTG uid 95,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 96,0 va (VaSet ) xt "61700,14500,65000,15500" st "shifting" ju 2 blo "65000,15300" tm "CptPortNameMgr" ) ) dt (MLText uid 97,0 va (VaSet font "Courier New,8,0" ) xt "2000,17600,35500,19200" st "-- status: shifting : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "shifting" t "std_logic" prec "-- status:" preAdd 0 posAdd 0 o 11 suid 10,0 i "'0'" ) ) ) *91 (CptPort uid 98,0 ps "OnEdgeStrategy" shape (Triangle uid 99,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,16625,66750,17375" ) tg (CPTG uid 100,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 101,0 va (VaSet ) xt "62500,16500,65000,17500" st "ready" ju 2 blo "65000,17300" tm "CptPortNameMgr" ) ) dt (MLText uid 102,0 va (VaSet font "Courier New,8,0" ) xt "2000,19200,35500,20000" st "ready : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "ready" t "std_logic" preAdd 0 posAdd 0 o 12 suid 11,0 i "'0'" ) ) ) *92 (CptPort uid 103,0 ps "OnEdgeStrategy" shape (Triangle uid 104,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,18625,66750,19375" ) tg (CPTG uid 105,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 106,0 va (VaSet ) xt "62200,18500,65000,19500" st "offset" ju 2 blo "65000,19300" tm "CptPortNameMgr" ) ) dt (MLText uid 107,0 va (VaSet font "Courier New,8,0" ) xt "2000,21600,40500,22400" st "offset : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') " ) thePort (LogicalPort m 1 decl (Decl n "offset" t "std_logic_vector" b "(7 DOWNTO 0)" preAdd 0 posAdd 0 o 15 suid 12,0 i "(OTHERS => '0')" ) ) ) *93 (CommentText uid 121,0 ps "EdgeToEdgeStrategy" shape (Rectangle uid 122,0 layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "50000,2000,65000,6400" ) oxt "50000,2000,65000,6000" text (MLText uid 123,0 va (VaSet fg "0,0,32768" ) xt "50200,2200,61600,6200" st " " tm "CommentText" wrapOption 3 visibleHeight 4400 visibleWidth 15000 ) included 1 excludeCommentLeader 1 ) *94 (CptPort uid 281,0 ps "OnEdgeStrategy" shape (Triangle uid 394,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "49250,19625,50000,20375" ) tg (CPTG uid 283,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 284,0 va (VaSet ) xt "51000,19500,52600,20500" st "rst" blo "51000,20300" tm "CptPortNameMgr" ) ) dt (MLText uid 285,0 va (VaSet font "Courier New,8,0" ) xt "2000,8800,45000,9600" st "rst : OUT std_logic := '0' ; --asynch in of DCM " ) thePort (LogicalPort m 1 decl (Decl n "rst" t "std_logic" eolc "--asynch in of DCM" posAdd 0 o 2 suid 15,0 i "'0'" ) ) ) *95 (CptPort uid 362,0 ps "OnEdgeStrategy" shape (Triangle uid 363,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "49250,21625,50000,22375" ) tg (CPTG uid 364,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 365,0 va (VaSet ) xt "51000,21500,55700,22500" st "reset_DCM" blo "51000,22300" tm "CptPortNameMgr" ) ) dt (MLText uid 366,0 va (VaSet font "Courier New,8,0" ) xt "2000,16800,43500,17600" st "reset_DCM : IN std_logic ; -- asynch in: orders us, to reset the DCM " ) thePort (LogicalPort decl (Decl n "reset_DCM" t "std_logic" eolc "-- asynch in: orders us, to reset the DCM" posAdd 0 o 10 suid 17,0 ) ) ) *96 (CptPort uid 418,0 ps "OnEdgeStrategy" shape (Triangle uid 419,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,19625,66750,20375" ) tg (CPTG uid 420,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 421,0 va (VaSet ) xt "57900,19500,65000,20500" st "locked_status_o" ju 2 blo "65000,20300" tm "CptPortNameMgr" ) ) dt (MLText uid 422,0 va (VaSet font "Courier New,8,0" ) xt "2000,20000,22000,20800" st "locked_status_o : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "locked_status_o" t "std_logic" o 13 suid 18,0 ) ) ) *97 (CptPort uid 423,0 ps "OnEdgeStrategy" shape (Triangle uid 424,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "66000,20625,66750,21375" ) tg (CPTG uid 425,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 426,0 va (VaSet ) xt "58200,20500,65000,21500" st "ready_status_o" ju 2 blo "65000,21300" tm "CptPortNameMgr" ) ) dt (MLText uid 427,0 va (VaSet font "Courier New,8,0" ) xt "2000,20800,22000,21600" st "ready_status_o : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "ready_status_o" t "std_logic" o 14 suid 19,0 ) ) ) ] shape (Rectangle uid 9,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "50000,7000,66000,25000" ) oxt "50000,7000,63000,25000" biTextGroup (BiTextGroup uid 10,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text uid 11,0 va (VaSet font "Arial,8,1" ) xt "54700,15000,60900,16000" st "FACT_FAD_lib" blo "54700,15800" ) second (Text uid 12,0 va (VaSet font "Arial,8,1" ) xt "54700,16000,60500,17000" st "phase_shifter" blo "54700,16800" ) ) gi *98 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix uid 14,0 text (MLText uid 15,0 va (VaSet font "Courier New,8,0" ) xt "50000,5200,61500,6000" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sTC 0 ) ) *99 (Grouping uid 16,0 optionalChildren [ *100 (CommentText uid 18,0 shape (Rectangle uid 19,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "54000,33000,71000,34000" ) oxt "18000,70000,35000,71000" text (MLText uid 20,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "54200,33000,63800,34000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *101 (CommentText uid 21,0 shape (Rectangle uid 22,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "71000,29000,75000,30000" ) oxt "35000,66000,39000,67000" text (MLText uid 23,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "71200,29000,74200,30000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *102 (CommentText uid 24,0 shape (Rectangle uid 25,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "54000,31000,71000,32000" ) oxt "18000,68000,35000,69000" text (MLText uid 26,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "54200,31000,64200,32000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *103 (CommentText uid 27,0 shape (Rectangle uid 28,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "50000,31000,54000,32000" ) oxt "14000,68000,18000,69000" text (MLText uid 29,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "50200,31000,52300,32000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *104 (CommentText uid 30,0 shape (Rectangle uid 31,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "71000,30000,91000,34000" ) oxt "35000,67000,55000,71000" text (MLText uid 32,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "71200,30200,80400,31200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *105 (CommentText uid 33,0 shape (Rectangle uid 34,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "75000,29000,91000,30000" ) oxt "39000,66000,55000,67000" text (MLText uid 35,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "75200,29000,79700,30000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *106 (CommentText uid 36,0 shape (Rectangle uid 37,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "50000,29000,71000,31000" ) oxt "14000,66000,35000,68000" text (MLText uid 38,0 va (VaSet fg "32768,0,0" ) xt "57150,29500,63850,30500" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *107 (CommentText uid 39,0 shape (Rectangle uid 40,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "50000,32000,54000,33000" ) oxt "14000,69000,18000,70000" text (MLText uid 41,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "50200,32000,52300,33000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *108 (CommentText uid 42,0 shape (Rectangle uid 43,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "50000,33000,54000,34000" ) oxt "14000,70000,18000,71000" text (MLText uid 44,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "50200,33000,52900,34000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *109 (CommentText uid 45,0 shape (Rectangle uid 46,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "54000,32000,71000,33000" ) oxt "18000,69000,35000,70000" text (MLText uid 47,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "54200,32000,67900,33000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 17,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "50000,29000,91000,34000" ) oxt "14000,66000,55000,71000" ) *110 (CommentText uid 118,0 shape (Rectangle uid 119,0 layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,-6000,33000,0" ) text (MLText uid 120,0 va (VaSet fg "0,0,32768" font "Arial,10,0" ) xt "200,-5800,32200,-600" st " Created using Mentor Graphics HDL2Graphics(TM) Technology on - 10:55:50 02.08.2010 from - C:\\FPGA_projects\\fact_repos\\FPGA\\FAD\\stable\\FACT_FAD\\FACT_FAD_lib\\hdl\\phase_shifter.vhd " tm "CommentText" wrapOption 3 visibleHeight 5600 visibleWidth 32600 ) ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *111 (PackageList uid 152,0 stg "VerticalLayoutStrategy" textVec [ *112 (Text uid 153,0 va (VaSet font "arial,8,1" ) xt "0,4000,5400,5000" st "Package List" blo "0,4800" ) *113 (MLText uid 154,0 va (VaSet ) xt "0,5000,15600,11000" st "library ieee; use ieee.std_logic_1164.all; use IEEE.NUMERIC_STD.all; library FACT_FAD_lib; use FACT_FAD_lib.fad_definitions.all;" tm "PackageList" ) ] ) windowSize "0,0,1015,690" viewArea "0,0,0,0" cachedDiagramExtent "0,0,0,0" pageBreakOrigin "0,0" defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2000,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) parentGraphicsRef (HdmGraphicsRef libraryName "" entityName "" viewName "" ) defaultSymbolBody (SymbolBody shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,6000,33000,26000" ) biTextGroup (BiTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet font "Arial,8,1" ) xt "22200,15000,25800,16000" st "" blo "22200,15800" ) second (Text va (VaSet font "Arial,8,1" ) xt "22200,16000,24800,17000" st "" blo "22200,16800" ) ) gi *114 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "0,12000,11500,12800" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) defaultCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1400,1750" st "In0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "In0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) defaultCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,2800,1750" st "Buffer0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 3 decl (Decl n "Buffer0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) DeclarativeBlock *115 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text uid 2,0 va (VaSet font "Arial,8,1" ) xt "0,6000,5400,7000" st "Declarations" blo "0,6800" ) portLabel (Text uid 3,0 va (VaSet font "Arial,8,1" ) xt "0,7000,2700,8000" st "Ports:" blo "0,7800" ) externalLabel (Text uid 4,0 va (VaSet font "Arial,8,1" ) xt "0,22400,2400,23400" st "User:" blo "0,23200" ) internalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "0,6000,5800,7000" st "Internal User:" blo "0,6800" ) externalText (MLText uid 5,0 va (VaSet font "Courier New,8,0" ) xt "2000,23400,2000,23400" tm "SyDeclarativeTextMgr" ) internalText (MLText uid 7,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "0,6000,0,6000" tm "SyDeclarativeTextMgr" ) ) lastUid 431,0 activeModelName "Symbol:CDM" )