source: firmware/FAD/FACT_FAD_lib/hds/spi_interface/symbol.sb.bak@ 13147

Last change on this file since 13147 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 24.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "FACT_FAD_lib"
15unitName "fad_definitions"
16)
17]
18libraryRefs [
19"ieee"
20"FACT_FAD_lib"
21]
22)
23version "24.1"
24appVersion "2009.1 (Build 12)"
25model (Symbol
26commonDM (CommonDM
27ldm (LogicalDM
28suid 17,0
29usingSuid 1
30emptyRow *1 (LEmptyRow
31)
32uid 53,0
33optionalChildren [
34*2 (RefLabelRowHdr
35)
36*3 (TitleRowHdr
37)
38*4 (FilterRowHdr
39)
40*5 (RefLabelColHdr
41tm "RefLabelColHdrMgr"
42)
43*6 (RowExpandColHdr
44tm "RowExpandColHdrMgr"
45)
46*7 (GroupColHdr
47tm "GroupColHdrMgr"
48)
49*8 (NameColHdr
50tm "NameColHdrMgr"
51)
52*9 (ModeColHdr
53tm "ModeColHdrMgr"
54)
55*10 (TypeColHdr
56tm "TypeColHdrMgr"
57)
58*11 (BoundsColHdr
59tm "BoundsColHdrMgr"
60)
61*12 (InitColHdr
62tm "InitColHdrMgr"
63)
64*13 (EolColHdr
65tm "EolColHdrMgr"
66)
67*14 (LogPort
68port (LogicalPort
69m 1
70decl (Decl
71n "sclk"
72t "std_logic"
73o 6
74suid 1,0
75)
76)
77uid 109,0
78)
79*15 (LogPort
80port (LogicalPort
81m 2
82decl (Decl
83n "sio"
84t "std_logic"
85preAdd 0
86posAdd 0
87o 10
88suid 3,0
89)
90)
91uid 113,0
92)
93*16 (LogPort
94port (LogicalPort
95decl (Decl
96n "dac_array"
97t "dac_array_type"
98o 3
99suid 10,0
100)
101)
102uid 196,0
103)
104*17 (LogPort
105port (LogicalPort
106m 1
107decl (Decl
108n "config_ready"
109t "std_logic"
110o 4
111suid 11,0
112)
113)
114uid 318,0
115)
116*18 (LogPort
117port (LogicalPort
118decl (Decl
119n "config_start"
120t "std_logic"
121o 2
122suid 12,0
123)
124)
125uid 320,0
126)
127*19 (LogPort
128port (LogicalPort
129m 1
130decl (Decl
131n "sensor_array"
132t "sensor_array_type"
133o 7
134suid 13,0
135)
136)
137uid 816,0
138)
139*20 (LogPort
140port (LogicalPort
141m 1
142decl (Decl
143n "sensor_ready"
144t "std_logic"
145o 9
146suid 14,0
147)
148)
149uid 818,0
150)
151*21 (LogPort
152port (LogicalPort
153m 1
154decl (Decl
155n "dac_cs"
156t "std_logic"
157o 5
158suid 15,0
159)
160)
161uid 1014,0
162)
163*22 (LogPort
164port (LogicalPort
165m 1
166decl (Decl
167n "sensor_cs"
168t "std_logic_vector"
169b "(3 DOWNTO 0)"
170o 8
171suid 16,0
172)
173)
174uid 1016,0
175)
176*23 (LogPort
177port (LogicalPort
178decl (Decl
179n "clk_50MHz"
180t "std_logic"
181preAdd 0
182posAdd 0
183o 1
184suid 17,0
185)
186)
187uid 1120,0
188)
189]
190)
191pdm (PhysicalDM
192displayShortBounds 1
193editShortBounds 1
194uid 66,0
195optionalChildren [
196*24 (Sheet
197sheetRow (SheetRow
198headerVa (MVa
199cellColor "49152,49152,49152"
200fontColor "0,0,0"
201font "Tahoma,10,0"
202)
203cellVa (MVa
204cellColor "65535,65535,65535"
205fontColor "0,0,0"
206font "Tahoma,10,0"
207)
208groupVa (MVa
209cellColor "39936,56832,65280"
210fontColor "0,0,0"
211font "Tahoma,10,0"
212)
213emptyMRCItem *25 (MRCItem
214litem &1
215pos 10
216dimension 20
217)
218uid 68,0
219optionalChildren [
220*26 (MRCItem
221litem &2
222pos 0
223dimension 20
224uid 69,0
225)
226*27 (MRCItem
227litem &3
228pos 1
229dimension 23
230uid 70,0
231)
232*28 (MRCItem
233litem &4
234pos 2
235hidden 1
236dimension 20
237uid 71,0
238)
239*29 (MRCItem
240litem &14
241pos 0
242dimension 20
243uid 108,0
244)
245*30 (MRCItem
246litem &15
247pos 2
248dimension 20
249uid 112,0
250)
251*31 (MRCItem
252litem &16
253pos 5
254dimension 20
255uid 195,0
256)
257*32 (MRCItem
258litem &17
259pos 7
260dimension 20
261uid 317,0
262)
263*33 (MRCItem
264litem &18
265pos 6
266dimension 20
267uid 319,0
268)
269*34 (MRCItem
270litem &19
271pos 8
272dimension 20
273uid 815,0
274)
275*35 (MRCItem
276litem &20
277pos 9
278dimension 20
279uid 817,0
280)
281*36 (MRCItem
282litem &21
283pos 3
284dimension 20
285uid 1013,0
286)
287*37 (MRCItem
288litem &22
289pos 4
290dimension 20
291uid 1015,0
292)
293*38 (MRCItem
294litem &23
295pos 1
296dimension 20
297uid 1119,0
298)
299]
300)
301sheetCol (SheetCol
302propVa (MVa
303cellColor "0,49152,49152"
304fontColor "0,0,0"
305font "Tahoma,10,0"
306textAngle 90
307)
308uid 72,0
309optionalChildren [
310*39 (MRCItem
311litem &5
312pos 0
313dimension 20
314uid 73,0
315)
316*40 (MRCItem
317litem &7
318pos 1
319dimension 50
320uid 74,0
321)
322*41 (MRCItem
323litem &8
324pos 2
325dimension 100
326uid 75,0
327)
328*42 (MRCItem
329litem &9
330pos 3
331dimension 50
332uid 76,0
333)
334*43 (MRCItem
335litem &10
336pos 4
337dimension 100
338uid 77,0
339)
340*44 (MRCItem
341litem &11
342pos 5
343dimension 100
344uid 78,0
345)
346*45 (MRCItem
347litem &12
348pos 6
349dimension 50
350uid 79,0
351)
352*46 (MRCItem
353litem &13
354pos 7
355dimension 80
356uid 80,0
357)
358]
359)
360fixedCol 4
361fixedRow 2
362name "Ports"
363uid 67,0
364vaOverrides [
365]
366)
367]
368)
369uid 52,0
370)
371genericsCommonDM (CommonDM
372ldm (LogicalDM
373emptyRow *47 (LEmptyRow
374)
375uid 82,0
376optionalChildren [
377*48 (RefLabelRowHdr
378)
379*49 (TitleRowHdr
380)
381*50 (FilterRowHdr
382)
383*51 (RefLabelColHdr
384tm "RefLabelColHdrMgr"
385)
386*52 (RowExpandColHdr
387tm "RowExpandColHdrMgr"
388)
389*53 (GroupColHdr
390tm "GroupColHdrMgr"
391)
392*54 (NameColHdr
393tm "GenericNameColHdrMgr"
394)
395*55 (TypeColHdr
396tm "GenericTypeColHdrMgr"
397)
398*56 (InitColHdr
399tm "GenericValueColHdrMgr"
400)
401*57 (PragmaColHdr
402tm "GenericPragmaColHdrMgr"
403)
404*58 (EolColHdr
405tm "GenericEolColHdrMgr"
406)
407]
408)
409pdm (PhysicalDM
410displayShortBounds 1
411editShortBounds 1
412uid 94,0
413optionalChildren [
414*59 (Sheet
415sheetRow (SheetRow
416headerVa (MVa
417cellColor "49152,49152,49152"
418fontColor "0,0,0"
419font "Tahoma,10,0"
420)
421cellVa (MVa
422cellColor "65535,65535,65535"
423fontColor "0,0,0"
424font "Tahoma,10,0"
425)
426groupVa (MVa
427cellColor "39936,56832,65280"
428fontColor "0,0,0"
429font "Tahoma,10,0"
430)
431emptyMRCItem *60 (MRCItem
432litem &47
433pos 0
434dimension 20
435)
436uid 96,0
437optionalChildren [
438*61 (MRCItem
439litem &48
440pos 0
441dimension 20
442uid 97,0
443)
444*62 (MRCItem
445litem &49
446pos 1
447dimension 23
448uid 98,0
449)
450*63 (MRCItem
451litem &50
452pos 2
453hidden 1
454dimension 20
455uid 99,0
456)
457]
458)
459sheetCol (SheetCol
460propVa (MVa
461cellColor "0,49152,49152"
462fontColor "0,0,0"
463font "Tahoma,10,0"
464textAngle 90
465)
466uid 100,0
467optionalChildren [
468*64 (MRCItem
469litem &51
470pos 0
471dimension 20
472uid 101,0
473)
474*65 (MRCItem
475litem &53
476pos 1
477dimension 50
478uid 102,0
479)
480*66 (MRCItem
481litem &54
482pos 2
483dimension 100
484uid 103,0
485)
486*67 (MRCItem
487litem &55
488pos 3
489dimension 100
490uid 104,0
491)
492*68 (MRCItem
493litem &56
494pos 4
495dimension 50
496uid 105,0
497)
498*69 (MRCItem
499litem &57
500pos 5
501dimension 50
502uid 106,0
503)
504*70 (MRCItem
505litem &58
506pos 6
507dimension 80
508uid 107,0
509)
510]
511)
512fixedCol 3
513fixedRow 2
514name "Ports"
515uid 95,0
516vaOverrides [
517]
518)
519]
520)
521uid 81,0
522type 1
523)
524VExpander (VariableExpander
525vvMap [
526(vvPair
527variable "HDLDir"
528value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hdl"
529)
530(vvPair
531variable "HDSDir"
532value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds"
533)
534(vvPair
535variable "SideDataDesignDir"
536value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\spi_unit\\symbol.sb.info"
537)
538(vvPair
539variable "SideDataUserDir"
540value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\spi_unit\\symbol.sb.user"
541)
542(vvPair
543variable "SourceDir"
544value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds"
545)
546(vvPair
547variable "appl"
548value "HDL Designer"
549)
550(vvPair
551variable "arch_name"
552value "symbol"
553)
554(vvPair
555variable "config"
556value "%(unit)_config"
557)
558(vvPair
559variable "d"
560value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\spi_unit"
561)
562(vvPair
563variable "d_logical"
564value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\spi_unit"
565)
566(vvPair
567variable "date"
568value "30.04.2010"
569)
570(vvPair
571variable "day"
572value "Fr"
573)
574(vvPair
575variable "day_long"
576value "Freitag"
577)
578(vvPair
579variable "dd"
580value "30"
581)
582(vvPair
583variable "entity_name"
584value "spi_unit"
585)
586(vvPair
587variable "ext"
588value "<TBD>"
589)
590(vvPair
591variable "f"
592value "symbol.sb"
593)
594(vvPair
595variable "f_logical"
596value "symbol.sb"
597)
598(vvPair
599variable "f_noext"
600value "symbol"
601)
602(vvPair
603variable "group"
604value "UNKNOWN"
605)
606(vvPair
607variable "host"
608value "EEPC8"
609)
610(vvPair
611variable "language"
612value "VHDL"
613)
614(vvPair
615variable "library"
616value "FACT_FAD_lib"
617)
618(vvPair
619variable "library_downstream_ISEPARInvoke"
620value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
621)
622(vvPair
623variable "library_downstream_ImpactInvoke"
624value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
625)
626(vvPair
627variable "library_downstream_ModelSimCompiler"
628value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
629)
630(vvPair
631variable "library_downstream_XSTDataPrep"
632value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
633)
634(vvPair
635variable "mm"
636value "04"
637)
638(vvPair
639variable "module_name"
640value "spi_unit"
641)
642(vvPair
643variable "month"
644value "Apr"
645)
646(vvPair
647variable "month_long"
648value "April"
649)
650(vvPair
651variable "p"
652value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\spi_unit\\symbol.sb"
653)
654(vvPair
655variable "p_logical"
656value "D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hds\\spi_unit\\symbol.sb"
657)
658(vvPair
659variable "package_name"
660value "<Undefined Variable>"
661)
662(vvPair
663variable "project_name"
664value "FACT_FAD"
665)
666(vvPair
667variable "series"
668value "HDL Designer Series"
669)
670(vvPair
671variable "task_DesignCompilerPath"
672value "<TBD>"
673)
674(vvPair
675variable "task_LeonardoPath"
676value "<TBD>"
677)
678(vvPair
679variable "task_ModelSimPath"
680value "$HDS_HOME/../Modeltech/win32"
681)
682(vvPair
683variable "task_NC-SimPath"
684value "<TBD>"
685)
686(vvPair
687variable "task_PrecisionRTLPath"
688value "$HDS_HOME/../Precision/Mgc_home/bin"
689)
690(vvPair
691variable "task_QuestaSimPath"
692value "<TBD>"
693)
694(vvPair
695variable "task_VCSPath"
696value "<TBD>"
697)
698(vvPair
699variable "this_ext"
700value "sb"
701)
702(vvPair
703variable "this_file"
704value "symbol"
705)
706(vvPair
707variable "this_file_logical"
708value "symbol"
709)
710(vvPair
711variable "time"
712value "13:54:34"
713)
714(vvPair
715variable "unit"
716value "spi_unit"
717)
718(vvPair
719variable "user"
720value "Benjamin Krumm"
721)
722(vvPair
723variable "version"
724value "2009.1 (Build 12)"
725)
726(vvPair
727variable "view"
728value "symbol"
729)
730(vvPair
731variable "year"
732value "2010"
733)
734(vvPair
735variable "yy"
736value "10"
737)
738]
739)
740LanguageMgr "VhdlLangMgr"
741uid 51,0
742optionalChildren [
743*71 (SymbolBody
744uid 8,0
745optionalChildren [
746*72 (CptPort
747uid 126,0
748ps "OnEdgeStrategy"
749shape (Triangle
750uid 127,0
751ro 90
752va (VaSet
753vasetType 1
754fg "0,65535,0"
755)
756xt "30000,12625,30750,13375"
757)
758tg (CPTG
759uid 128,0
760ps "CptPortTextPlaceStrategy"
761stg "RightVerticalLayoutStrategy"
762f (Text
763uid 129,0
764va (VaSet
765)
766xt "27300,12500,29000,13500"
767st "sclk"
768ju 2
769blo "29000,13300"
770tm "CptPortNameMgr"
771)
772)
773dt (MLText
774uid 131,0
775va (VaSet
776font "Courier New,8,0"
777)
778xt "44000,6000,62500,6800"
779st "sclk : OUT std_logic ;"
780)
781thePort (LogicalPort
782m 1
783decl (Decl
784n "sclk"
785t "std_logic"
786o 6
787suid 1,0
788)
789)
790)
791*73 (CptPort
792uid 137,0
793ps "OnEdgeStrategy"
794shape (Diamond
795uid 138,0
796ro 90
797va (VaSet
798vasetType 1
799fg "0,65535,0"
800)
801xt "30000,13625,30750,14375"
802)
803tg (CPTG
804uid 139,0
805ps "CptPortTextPlaceStrategy"
806stg "RightVerticalLayoutStrategy"
807f (Text
808uid 140,0
809va (VaSet
810)
811xt "27600,13500,29000,14500"
812st "sio"
813ju 2
814blo "29000,14300"
815tm "CptPortNameMgr"
816)
817)
818dt (MLText
819uid 141,0
820va (VaSet
821font "Courier New,8,0"
822)
823xt "44000,9200,61500,10000"
824st "sio : INOUT std_logic "
825)
826thePort (LogicalPort
827m 2
828decl (Decl
829n "sio"
830t "std_logic"
831preAdd 0
832posAdd 0
833o 10
834suid 3,0
835)
836)
837)
838*74 (CptPort
839uid 197,0
840ps "OnEdgeStrategy"
841shape (Triangle
842uid 198,0
843ro 90
844va (VaSet
845vasetType 1
846fg "0,65535,0"
847)
848xt "14250,15625,15000,16375"
849)
850tg (CPTG
851uid 199,0
852ps "CptPortTextPlaceStrategy"
853stg "VerticalLayoutStrategy"
854f (Text
855uid 200,0
856va (VaSet
857)
858xt "16000,15500,19700,16500"
859st "dac_array"
860blo "16000,16300"
861tm "CptPortNameMgr"
862)
863)
864dt (MLText
865uid 201,0
866va (VaSet
867font "Courier New,8,0"
868)
869xt "44000,3600,65000,4400"
870st "dac_array : IN dac_array_type ;"
871)
872thePort (LogicalPort
873decl (Decl
874n "dac_array"
875t "dac_array_type"
876o 3
877suid 10,0
878)
879)
880)
881*75 (CptPort
882uid 321,0
883ps "OnEdgeStrategy"
884shape (Triangle
885uid 377,0
886ro 270
887va (VaSet
888vasetType 1
889fg "0,65535,0"
890)
891xt "14250,21625,15000,22375"
892)
893tg (CPTG
894uid 323,0
895ps "CptPortTextPlaceStrategy"
896stg "VerticalLayoutStrategy"
897f (Text
898uid 324,0
899va (VaSet
900)
901xt "16000,21500,21100,22500"
902st "config_ready"
903blo "16000,22300"
904tm "CptPortNameMgr"
905)
906)
907dt (MLText
908uid 325,0
909va (VaSet
910font "Courier New,8,0"
911)
912xt "44000,4400,62500,5200"
913st "config_ready : OUT std_logic ;"
914)
915thePort (LogicalPort
916m 1
917decl (Decl
918n "config_ready"
919t "std_logic"
920o 4
921suid 11,0
922)
923)
924)
925*76 (CptPort
926uid 326,0
927ps "OnEdgeStrategy"
928shape (Triangle
929uid 327,0
930ro 90
931va (VaSet
932vasetType 1
933fg "0,65535,0"
934)
935xt "14250,20625,15000,21375"
936)
937tg (CPTG
938uid 328,0
939ps "CptPortTextPlaceStrategy"
940stg "VerticalLayoutStrategy"
941f (Text
942uid 329,0
943va (VaSet
944)
945xt "16000,20500,20800,21500"
946st "config_start"
947blo "16000,21300"
948tm "CptPortNameMgr"
949)
950)
951dt (MLText
952uid 330,0
953va (VaSet
954font "Courier New,8,0"
955)
956xt "44000,2800,62500,3600"
957st "config_start : IN std_logic ;"
958)
959thePort (LogicalPort
960decl (Decl
961n "config_start"
962t "std_logic"
963o 2
964suid 12,0
965)
966)
967)
968*77 (CptPort
969uid 819,0
970ps "OnEdgeStrategy"
971shape (Triangle
972uid 820,0
973ro 270
974va (VaSet
975vasetType 1
976fg "0,65535,0"
977)
978xt "14250,16625,15000,17375"
979)
980tg (CPTG
981uid 821,0
982ps "CptPortTextPlaceStrategy"
983stg "VerticalLayoutStrategy"
984f (Text
985uid 822,0
986va (VaSet
987)
988xt "16000,16500,21200,17500"
989st "sensor_array"
990blo "16000,17300"
991tm "CptPortNameMgr"
992)
993)
994dt (MLText
995uid 823,0
996va (VaSet
997font "Courier New,8,0"
998)
999xt "44000,6800,67000,7600"
1000st "sensor_array : OUT sensor_array_type ;"
1001)
1002thePort (LogicalPort
1003m 1
1004decl (Decl
1005n "sensor_array"
1006t "sensor_array_type"
1007o 7
1008suid 13,0
1009)
1010)
1011)
1012*78 (CptPort
1013uid 824,0
1014ps "OnEdgeStrategy"
1015shape (Triangle
1016uid 825,0
1017ro 270
1018va (VaSet
1019vasetType 1
1020fg "0,65535,0"
1021)
1022xt "14250,22625,15000,23375"
1023)
1024tg (CPTG
1025uid 826,0
1026ps "CptPortTextPlaceStrategy"
1027stg "VerticalLayoutStrategy"
1028f (Text
1029uid 827,0
1030va (VaSet
1031)
1032xt "16000,22500,21300,23500"
1033st "sensor_ready"
1034blo "16000,23300"
1035tm "CptPortNameMgr"
1036)
1037)
1038dt (MLText
1039uid 828,0
1040va (VaSet
1041font "Courier New,8,0"
1042)
1043xt "44000,8400,62500,9200"
1044st "sensor_ready : OUT std_logic ;"
1045)
1046thePort (LogicalPort
1047m 1
1048decl (Decl
1049n "sensor_ready"
1050t "std_logic"
1051o 9
1052suid 14,0
1053)
1054)
1055)
1056*79 (CptPort
1057uid 1017,0
1058ps "OnEdgeStrategy"
1059shape (Triangle
1060uid 1018,0
1061ro 90
1062va (VaSet
1063vasetType 1
1064fg "0,65535,0"
1065)
1066xt "30000,15625,30750,16375"
1067)
1068tg (CPTG
1069uid 1019,0
1070ps "CptPortTextPlaceStrategy"
1071stg "RightVerticalLayoutStrategy"
1072f (Text
1073uid 1020,0
1074va (VaSet
1075)
1076xt "26200,15500,29000,16500"
1077st "dac_cs"
1078ju 2
1079blo "29000,16300"
1080tm "CptPortNameMgr"
1081)
1082)
1083dt (MLText
1084uid 1021,0
1085va (VaSet
1086font "Courier New,8,0"
1087)
1088xt "44000,5200,62500,6000"
1089st "dac_cs : OUT std_logic ;"
1090)
1091thePort (LogicalPort
1092m 1
1093decl (Decl
1094n "dac_cs"
1095t "std_logic"
1096o 5
1097suid 15,0
1098)
1099)
1100)
1101*80 (CptPort
1102uid 1022,0
1103ps "OnEdgeStrategy"
1104shape (Triangle
1105uid 1023,0
1106ro 90
1107va (VaSet
1108vasetType 1
1109fg "0,65535,0"
1110)
1111xt "30000,16625,30750,17375"
1112)
1113tg (CPTG
1114uid 1024,0
1115ps "CptPortTextPlaceStrategy"
1116stg "RightVerticalLayoutStrategy"
1117f (Text
1118uid 1025,0
1119va (VaSet
1120)
1121xt "22500,16500,29000,17500"
1122st "sensor_cs : (3:0)"
1123ju 2
1124blo "29000,17300"
1125tm "CptPortNameMgr"
1126)
1127)
1128dt (MLText
1129uid 1026,0
1130va (VaSet
1131font "Courier New,8,0"
1132)
1133xt "44000,7600,72500,8400"
1134st "sensor_cs : OUT std_logic_vector (3 DOWNTO 0) ;"
1135)
1136thePort (LogicalPort
1137m 1
1138decl (Decl
1139n "sensor_cs"
1140t "std_logic_vector"
1141b "(3 DOWNTO 0)"
1142o 8
1143suid 16,0
1144)
1145)
1146)
1147*81 (CptPort
1148uid 1121,0
1149ps "OnEdgeStrategy"
1150shape (Triangle
1151uid 1122,0
1152ro 90
1153va (VaSet
1154vasetType 1
1155fg "0,65535,0"
1156)
1157xt "14250,12625,15000,13375"
1158)
1159tg (CPTG
1160uid 1123,0
1161ps "CptPortTextPlaceStrategy"
1162stg "VerticalLayoutStrategy"
1163f (Text
1164uid 1124,0
1165va (VaSet
1166)
1167xt "16000,12500,20200,13500"
1168st "clk_50MHz"
1169blo "16000,13300"
1170tm "CptPortNameMgr"
1171)
1172)
1173dt (MLText
1174uid 1125,0
1175va (VaSet
1176font "Courier New,8,0"
1177)
1178xt "44000,2000,62500,2800"
1179st "clk_50MHz : IN std_logic ;"
1180)
1181thePort (LogicalPort
1182decl (Decl
1183n "clk_50MHz"
1184t "std_logic"
1185preAdd 0
1186posAdd 0
1187o 1
1188suid 17,0
1189)
1190)
1191)
1192]
1193shape (Rectangle
1194va (VaSet
1195vasetType 1
1196fg "0,65535,0"
1197lineColor "0,32896,0"
1198lineWidth 2
1199)
1200xt "15000,12000,30000,26000"
1201)
1202biTextGroup (BiTextGroup
1203uid 10,0
1204ps "CenterOffsetStrategy"
1205stg "VerticalLayoutStrategy"
1206first (Text
1207uid 11,0
1208va (VaSet
1209font "Arial,8,1"
1210)
1211xt "15200,26000,21400,27000"
1212st "FACT_FAD_lib"
1213blo "15200,26800"
1214)
1215second (Text
1216uid 12,0
1217va (VaSet
1218font "Arial,8,1"
1219)
1220xt "15200,27000,18600,28000"
1221st "spi_unit"
1222blo "15200,27800"
1223)
1224)
1225gi *82 (GenericInterface
1226uid 13,0
1227ps "CenterOffsetStrategy"
1228matrix (Matrix
1229uid 14,0
1230text (MLText
1231uid 15,0
1232va (VaSet
1233font "Courier New,8,0"
1234)
1235xt "0,12000,11500,12800"
1236st "Generic Declarations"
1237)
1238header "Generic Declarations"
1239showHdrWhenContentsEmpty 1
1240)
1241elements [
1242]
1243)
1244portInstanceVisAsIs 1
1245portInstanceVis (PortSigDisplay
1246sIVOD 1
1247)
1248portVis (PortSigDisplay
1249sIVOD 1
1250)
1251)
1252*83 (Grouping
1253uid 16,0
1254optionalChildren [
1255*84 (CommentText
1256uid 18,0
1257shape (Rectangle
1258uid 19,0
1259sl 0
1260va (VaSet
1261vasetType 1
1262fg "65280,65280,46080"
1263)
1264xt "36000,48000,53000,49000"
1265)
1266oxt "18000,70000,35000,71000"
1267text (MLText
1268uid 20,0
1269va (VaSet
1270fg "0,0,32768"
1271bg "0,0,32768"
1272)
1273xt "36200,48000,49200,49000"
1274st "
1275by %user on %dd %month %year
1276"
1277tm "CommentText"
1278wrapOption 3
1279visibleHeight 1000
1280visibleWidth 17000
1281)
1282position 1
1283ignorePrefs 1
1284titleBlock 1
1285)
1286*85 (CommentText
1287uid 21,0
1288shape (Rectangle
1289uid 22,0
1290sl 0
1291va (VaSet
1292vasetType 1
1293fg "65280,65280,46080"
1294)
1295xt "53000,44000,57000,45000"
1296)
1297oxt "35000,66000,39000,67000"
1298text (MLText
1299uid 23,0
1300va (VaSet
1301fg "0,0,32768"
1302bg "0,0,32768"
1303)
1304xt "53200,44000,56200,45000"
1305st "
1306Project:
1307"
1308tm "CommentText"
1309wrapOption 3
1310visibleHeight 1000
1311visibleWidth 4000
1312)
1313position 1
1314ignorePrefs 1
1315titleBlock 1
1316)
1317*86 (CommentText
1318uid 24,0
1319shape (Rectangle
1320uid 25,0
1321sl 0
1322va (VaSet
1323vasetType 1
1324fg "65280,65280,46080"
1325)
1326xt "36000,46000,53000,47000"
1327)
1328oxt "18000,68000,35000,69000"
1329text (MLText
1330uid 26,0
1331va (VaSet
1332fg "0,0,32768"
1333bg "0,0,32768"
1334)
1335xt "36200,46000,46200,47000"
1336st "
1337<enter diagram title here>
1338"
1339tm "CommentText"
1340wrapOption 3
1341visibleHeight 1000
1342visibleWidth 17000
1343)
1344position 1
1345ignorePrefs 1
1346titleBlock 1
1347)
1348*87 (CommentText
1349uid 27,0
1350shape (Rectangle
1351uid 28,0
1352sl 0
1353va (VaSet
1354vasetType 1
1355fg "65280,65280,46080"
1356)
1357xt "32000,46000,36000,47000"
1358)
1359oxt "14000,68000,18000,69000"
1360text (MLText
1361uid 29,0
1362va (VaSet
1363fg "0,0,32768"
1364bg "0,0,32768"
1365)
1366xt "32200,46000,34300,47000"
1367st "
1368Title:
1369"
1370tm "CommentText"
1371wrapOption 3
1372visibleHeight 1000
1373visibleWidth 4000
1374)
1375position 1
1376ignorePrefs 1
1377titleBlock 1
1378)
1379*88 (CommentText
1380uid 30,0
1381shape (Rectangle
1382uid 31,0
1383sl 0
1384va (VaSet
1385vasetType 1
1386fg "65280,65280,46080"
1387)
1388xt "53000,45000,73000,49000"
1389)
1390oxt "35000,67000,55000,71000"
1391text (MLText
1392uid 32,0
1393va (VaSet
1394fg "0,0,32768"
1395bg "0,0,32768"
1396)
1397xt "53200,45200,62400,46200"
1398st "
1399<enter comments here>
1400"
1401tm "CommentText"
1402wrapOption 3
1403visibleHeight 4000
1404visibleWidth 20000
1405)
1406ignorePrefs 1
1407titleBlock 1
1408)
1409*89 (CommentText
1410uid 33,0
1411shape (Rectangle
1412uid 34,0
1413sl 0
1414va (VaSet
1415vasetType 1
1416fg "65280,65280,46080"
1417)
1418xt "57000,44000,73000,45000"
1419)
1420oxt "39000,66000,55000,67000"
1421text (MLText
1422uid 35,0
1423va (VaSet
1424fg "0,0,32768"
1425bg "0,0,32768"
1426)
1427xt "57200,44000,61700,45000"
1428st "
1429%project_name
1430"
1431tm "CommentText"
1432wrapOption 3
1433visibleHeight 1000
1434visibleWidth 16000
1435)
1436position 1
1437ignorePrefs 1
1438titleBlock 1
1439)
1440*90 (CommentText
1441uid 36,0
1442shape (Rectangle
1443uid 37,0
1444sl 0
1445va (VaSet
1446vasetType 1
1447fg "65280,65280,46080"
1448)
1449xt "32000,44000,53000,46000"
1450)
1451oxt "14000,66000,35000,68000"
1452text (MLText
1453uid 38,0
1454va (VaSet
1455fg "32768,0,0"
1456)
1457xt "39700,44000,45300,46000"
1458st "
1459TU Dortmund
1460Physik / EE
1461"
1462ju 0
1463tm "CommentText"
1464wrapOption 3
1465visibleHeight 2000
1466visibleWidth 21000
1467)
1468position 1
1469ignorePrefs 1
1470titleBlock 1
1471)
1472*91 (CommentText
1473uid 39,0
1474shape (Rectangle
1475uid 40,0
1476sl 0
1477va (VaSet
1478vasetType 1
1479fg "65280,65280,46080"
1480)
1481xt "32000,47000,36000,48000"
1482)
1483oxt "14000,69000,18000,70000"
1484text (MLText
1485uid 41,0
1486va (VaSet
1487fg "0,0,32768"
1488bg "0,0,32768"
1489)
1490xt "32200,47000,34300,48000"
1491st "
1492Path:
1493"
1494tm "CommentText"
1495wrapOption 3
1496visibleHeight 1000
1497visibleWidth 4000
1498)
1499position 1
1500ignorePrefs 1
1501titleBlock 1
1502)
1503*92 (CommentText
1504uid 42,0
1505shape (Rectangle
1506uid 43,0
1507sl 0
1508va (VaSet
1509vasetType 1
1510fg "65280,65280,46080"
1511)
1512xt "32000,48000,36000,49000"
1513)
1514oxt "14000,70000,18000,71000"
1515text (MLText
1516uid 44,0
1517va (VaSet
1518fg "0,0,32768"
1519bg "0,0,32768"
1520)
1521xt "32200,48000,34900,49000"
1522st "
1523Edited:
1524"
1525tm "CommentText"
1526wrapOption 3
1527visibleHeight 1000
1528visibleWidth 4000
1529)
1530position 1
1531ignorePrefs 1
1532titleBlock 1
1533)
1534*93 (CommentText
1535uid 45,0
1536shape (Rectangle
1537uid 46,0
1538sl 0
1539va (VaSet
1540vasetType 1
1541fg "65280,65280,46080"
1542)
1543xt "36000,47000,53000,48000"
1544)
1545oxt "18000,69000,35000,70000"
1546text (MLText
1547uid 47,0
1548va (VaSet
1549fg "0,0,32768"
1550bg "0,0,32768"
1551)
1552xt "36200,47000,48000,48000"
1553st "
1554%library/%unit/%view
1555"
1556tm "CommentText"
1557wrapOption 3
1558visibleHeight 1000
1559visibleWidth 17000
1560)
1561position 1
1562ignorePrefs 1
1563titleBlock 1
1564)
1565]
1566shape (GroupingShape
1567uid 17,0
1568va (VaSet
1569vasetType 1
1570fg "65535,65535,65535"
1571lineStyle 2
1572lineWidth 2
1573)
1574xt "32000,44000,73000,49000"
1575)
1576oxt "14000,66000,55000,71000"
1577)
1578]
1579bg "65535,65535,65535"
1580grid (Grid
1581origin "0,0"
1582isVisible 1
1583isActive 1
1584xSpacing 1000
1585xySpacing 1000
1586xShown 1
1587yShown 1
1588color "26368,26368,26368"
1589)
1590packageList *94 (PackageList
1591uid 48,0
1592stg "VerticalLayoutStrategy"
1593textVec [
1594*95 (Text
1595uid 49,0
1596va (VaSet
1597font "Arial,8,1"
1598)
1599xt "0,0,5400,1000"
1600st "Package List"
1601blo "0,800"
1602)
1603*96 (MLText
1604uid 50,0
1605va (VaSet
1606)
1607xt "0,1000,14500,6000"
1608st "LIBRARY ieee;
1609USE ieee.std_logic_1164.all;
1610USE ieee.std_logic_arith.all;
1611LIBRARY FACT_FAD_lib;
1612USE FACT_FAD_lib.fad_definitions.all;"
1613tm "PackageList"
1614)
1615]
1616)
1617windowSize "264,255,1281,945"
1618viewArea "-30300,-21800,35851,20779"
1619cachedDiagramExtent "0,0,73000,49000"
1620hasePageBreakOrigin 1
1621pageBreakOrigin "0,0"
1622defaultCommentText (CommentText
1623shape (Rectangle
1624layer 0
1625va (VaSet
1626vasetType 1
1627fg "65280,65280,46080"
1628lineColor "0,0,32768"
1629)
1630xt "0,0,15000,5000"
1631)
1632text (MLText
1633va (VaSet
1634fg "0,0,32768"
1635)
1636xt "200,200,2000,1200"
1637st "
1638Text
1639"
1640tm "CommentText"
1641wrapOption 3
1642visibleHeight 4600
1643visibleWidth 14600
1644)
1645)
1646defaultPanel (Panel
1647shape (RectFrame
1648va (VaSet
1649vasetType 1
1650fg "65535,65535,65535"
1651lineColor "32768,0,0"
1652lineWidth 3
1653)
1654xt "0,0,20000,20000"
1655)
1656title (TextAssociate
1657ps "TopLeftStrategy"
1658text (Text
1659va (VaSet
1660font "Arial,8,1"
1661)
1662xt "1000,1000,3800,2000"
1663st "Panel0"
1664blo "1000,1800"
1665tm "PanelText"
1666)
1667)
1668)
1669parentGraphicsRef (HdmGraphicsRef
1670libraryName ""
1671entityName ""
1672viewName ""
1673)
1674defaultSymbolBody (SymbolBody
1675shape (Rectangle
1676va (VaSet
1677vasetType 1
1678fg "0,65535,0"
1679lineColor "0,32896,0"
1680lineWidth 2
1681)
1682xt "15000,6000,33000,26000"
1683)
1684biTextGroup (BiTextGroup
1685ps "CenterOffsetStrategy"
1686stg "VerticalLayoutStrategy"
1687first (Text
1688va (VaSet
1689font "Arial,8,1"
1690)
1691xt "22200,15000,25800,16000"
1692st "<library>"
1693blo "22200,15800"
1694)
1695second (Text
1696va (VaSet
1697font "Arial,8,1"
1698)
1699xt "22200,16000,24800,17000"
1700st "<cell>"
1701blo "22200,16800"
1702)
1703)
1704gi *97 (GenericInterface
1705ps "CenterOffsetStrategy"
1706matrix (Matrix
1707text (MLText
1708va (VaSet
1709font "Courier New,8,0"
1710)
1711xt "0,12000,11500,12800"
1712st "Generic Declarations"
1713)
1714header "Generic Declarations"
1715showHdrWhenContentsEmpty 1
1716)
1717elements [
1718]
1719)
1720portInstanceVisAsIs 1
1721portInstanceVis (PortSigDisplay
1722sIVOD 1
1723)
1724portVis (PortSigDisplay
1725sIVOD 1
1726)
1727)
1728defaultCptPort (CptPort
1729ps "OnEdgeStrategy"
1730shape (Triangle
1731ro 90
1732va (VaSet
1733vasetType 1
1734fg "0,65535,0"
1735)
1736xt "0,0,750,750"
1737)
1738tg (CPTG
1739ps "CptPortTextPlaceStrategy"
1740stg "VerticalLayoutStrategy"
1741f (Text
1742va (VaSet
1743)
1744xt "0,750,1400,1750"
1745st "In0"
1746blo "0,1550"
1747tm "CptPortNameMgr"
1748)
1749)
1750dt (MLText
1751va (VaSet
1752font "Courier New,8,0"
1753)
1754)
1755thePort (LogicalPort
1756decl (Decl
1757n "In0"
1758t "std_logic_vector"
1759b "(15 DOWNTO 0)"
1760o 0
1761)
1762)
1763)
1764defaultCptPortBuffer (CptPort
1765ps "OnEdgeStrategy"
1766shape (Diamond
1767va (VaSet
1768vasetType 1
1769fg "65535,65535,65535"
1770bg "0,0,0"
1771)
1772xt "0,0,750,750"
1773)
1774tg (CPTG
1775ps "CptPortTextPlaceStrategy"
1776stg "VerticalLayoutStrategy"
1777f (Text
1778va (VaSet
1779)
1780xt "0,750,2800,1750"
1781st "Buffer0"
1782blo "0,1550"
1783tm "CptPortNameMgr"
1784)
1785)
1786dt (MLText
1787va (VaSet
1788font "Courier New,8,0"
1789)
1790)
1791thePort (LogicalPort
1792m 3
1793decl (Decl
1794n "Buffer0"
1795t "std_logic_vector"
1796b "(15 DOWNTO 0)"
1797o 0
1798)
1799)
1800)
1801DeclarativeBlock *98 (SymDeclBlock
1802uid 1,0
1803stg "SymDeclLayoutStrategy"
1804declLabel (Text
1805uid 2,0
1806va (VaSet
1807font "Arial,8,1"
1808)
1809xt "42000,0,47400,1000"
1810st "Declarations"
1811blo "42000,800"
1812)
1813portLabel (Text
1814uid 3,0
1815va (VaSet
1816font "Arial,8,1"
1817)
1818xt "42000,1000,44700,2000"
1819st "Ports:"
1820blo "42000,1800"
1821)
1822externalLabel (Text
1823uid 4,0
1824va (VaSet
1825font "Arial,8,1"
1826)
1827xt "42000,10000,44400,11000"
1828st "User:"
1829blo "42000,10800"
1830)
1831internalLabel (Text
1832uid 6,0
1833va (VaSet
1834isHidden 1
1835font "Arial,8,1"
1836)
1837xt "42000,0,47800,1000"
1838st "Internal User:"
1839blo "42000,800"
1840)
1841externalText (MLText
1842uid 5,0
1843va (VaSet
1844font "Courier New,8,0"
1845)
1846xt "44000,11000,44000,11000"
1847tm "SyDeclarativeTextMgr"
1848)
1849internalText (MLText
1850uid 7,0
1851va (VaSet
1852isHidden 1
1853font "Courier New,8,0"
1854)
1855xt "42000,0,42000,0"
1856tm "SyDeclarativeTextMgr"
1857)
1858)
1859lastUid 1148,0
1860okToSyncOnLoad 1
1861OkToSyncGenericsOnLoad 1
1862activeModelName "Symbol:CDM"
1863)
Note: See TracBrowser for help on using the repository browser.