DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" ) (DmPackageRef library "ieee" unitName "std_logic_arith" ) (DmPackageRef library "FACT_FAD_lib" unitName "fad_definitions" ) ] libraryRefs [ "ieee" "FACT_FAD_lib" ] ) version "24.1" appVersion "2009.1 (Build 12)" model (Symbol commonDM (CommonDM ldm (LogicalDM suid 24,0 usingSuid 1 emptyRow *1 (LEmptyRow ) uid 53,0 optionalChildren [ *2 (RefLabelRowHdr ) *3 (TitleRowHdr ) *4 (FilterRowHdr ) *5 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *6 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *7 (GroupColHdr tm "GroupColHdrMgr" ) *8 (NameColHdr tm "NameColHdrMgr" ) *9 (ModeColHdr tm "ModeColHdrMgr" ) *10 (TypeColHdr tm "TypeColHdrMgr" ) *11 (BoundsColHdr tm "BoundsColHdrMgr" ) *12 (InitColHdr tm "InitColHdrMgr" ) *13 (EolColHdr tm "EolColHdrMgr" ) *14 (LogPort port (LogicalPort m 1 decl (Decl n "sclk" t "std_logic" o 9 suid 1,0 ) ) uid 109,0 ) *15 (LogPort port (LogicalPort decl (Decl n "dac_array" t "dac_array_type" o 3 suid 10,0 ) ) uid 196,0 ) *16 (LogPort port (LogicalPort m 1 decl (Decl n "config_ready" t "std_logic" o 5 suid 11,0 ) ) uid 318,0 ) *17 (LogPort port (LogicalPort decl (Decl n "config_start" t "std_logic" o 2 suid 12,0 ) ) uid 320,0 ) *18 (LogPort port (LogicalPort m 1 decl (Decl n "sensor_array" t "sensor_array_type" o 10 suid 13,0 ) ) uid 816,0 ) *19 (LogPort port (LogicalPort m 1 decl (Decl n "sensor_ready" t "std_logic" o 12 suid 14,0 ) ) uid 818,0 ) *20 (LogPort port (LogicalPort m 1 decl (Decl n "dac_cs" t "std_logic" o 7 suid 15,0 ) ) uid 1014,0 ) *21 (LogPort port (LogicalPort m 1 decl (Decl n "sensor_cs" t "std_logic_vector" b "(3 DOWNTO 0)" o 11 suid 16,0 ) ) uid 1016,0 ) *22 (LogPort port (LogicalPort decl (Decl n "clk_50MHz" t "std_logic" preAdd 0 posAdd 0 o 1 suid 17,0 ) ) uid 1120,0 ) *23 (LogPort port (LogicalPort m 1 decl (Decl n "mosi" t "std_logic" o 8 suid 19,0 i "'0'" ) ) uid 1228,0 ) *24 (LogPort port (LogicalPort m 2 decl (Decl n "miso" t "std_logic" preAdd 0 posAdd 0 o 13 suid 20,0 ) ) uid 1282,0 ) *25 (LogPort port (LogicalPort lang 10 m 1 decl (Decl n "current_dac_array" t "dac_array_type" o 6 suid 21,0 i "( others => 0)" ) ) uid 1519,0 ) *26 (LogPort port (LogicalPort decl (Decl n "sclk_enable_i" t "std_logic" o 4 suid 22,0 ) ) uid 1596,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 66,0 optionalChildren [ *27 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *28 (MRCItem litem &1 pos 10 dimension 20 ) uid 68,0 optionalChildren [ *29 (MRCItem litem &2 pos 0 dimension 20 uid 69,0 ) *30 (MRCItem litem &3 pos 1 dimension 23 uid 70,0 ) *31 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 71,0 ) *32 (MRCItem litem &14 pos 0 dimension 20 uid 108,0 ) *33 (MRCItem litem &15 pos 4 dimension 20 uid 195,0 ) *34 (MRCItem litem &16 pos 6 dimension 20 uid 317,0 ) *35 (MRCItem litem &17 pos 5 dimension 20 uid 319,0 ) *36 (MRCItem litem &18 pos 7 dimension 20 uid 815,0 ) *37 (MRCItem litem &19 pos 8 dimension 20 uid 817,0 ) *38 (MRCItem litem &20 pos 2 dimension 20 uid 1013,0 ) *39 (MRCItem litem &21 pos 3 dimension 20 uid 1015,0 ) *40 (MRCItem litem &22 pos 1 dimension 20 uid 1119,0 ) *41 (MRCItem litem &23 pos 9 dimension 20 uid 1227,0 ) *42 (MRCItem litem &24 pos 10 dimension 20 uid 1281,0 ) *43 (MRCItem litem &25 pos 11 dimension 20 uid 1518,0 ) *44 (MRCItem litem &26 pos 12 dimension 20 uid 1595,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 72,0 optionalChildren [ *45 (MRCItem litem &5 pos 0 dimension 20 uid 73,0 ) *46 (MRCItem litem &7 pos 1 dimension 50 uid 74,0 ) *47 (MRCItem litem &8 pos 2 dimension 100 uid 75,0 ) *48 (MRCItem litem &9 pos 3 dimension 50 uid 76,0 ) *49 (MRCItem litem &10 pos 4 dimension 100 uid 77,0 ) *50 (MRCItem litem &11 pos 5 dimension 100 uid 78,0 ) *51 (MRCItem litem &12 pos 6 dimension 50 uid 79,0 ) *52 (MRCItem litem &13 pos 7 dimension 80 uid 80,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 67,0 vaOverrides [ ] ) ] ) uid 52,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *53 (LEmptyRow ) uid 82,0 optionalChildren [ *54 (RefLabelRowHdr ) *55 (TitleRowHdr ) *56 (FilterRowHdr ) *57 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *58 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *59 (GroupColHdr tm "GroupColHdrMgr" ) *60 (NameColHdr tm "GenericNameColHdrMgr" ) *61 (TypeColHdr tm "GenericTypeColHdrMgr" ) *62 (InitColHdr tm "GenericValueColHdrMgr" ) *63 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *64 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 94,0 optionalChildren [ *65 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *66 (MRCItem litem &53 pos 0 dimension 20 ) uid 96,0 optionalChildren [ *67 (MRCItem litem &54 pos 0 dimension 20 uid 97,0 ) *68 (MRCItem litem &55 pos 1 dimension 23 uid 98,0 ) *69 (MRCItem litem &56 pos 2 hidden 1 dimension 20 uid 99,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 100,0 optionalChildren [ *70 (MRCItem litem &57 pos 0 dimension 20 uid 101,0 ) *71 (MRCItem litem &59 pos 1 dimension 50 uid 102,0 ) *72 (MRCItem litem &60 pos 2 dimension 100 uid 103,0 ) *73 (MRCItem litem &61 pos 3 dimension 100 uid 104,0 ) *74 (MRCItem litem &62 pos 4 dimension 50 uid 105,0 ) *75 (MRCItem litem &63 pos 5 dimension 50 uid 106,0 ) *76 (MRCItem litem &64 pos 6 dimension 80 uid 107,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 95,0 vaOverrides [ ] ) ] ) uid 81,0 type 1 ) VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl" ) (vvPair variable "HDSDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb.user" ) (vvPair variable "SourceDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "symbol" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface" ) (vvPair variable "d_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface" ) (vvPair variable "date" value "09.06.2011" ) (vvPair variable "day" value "Do" ) (vvPair variable "day_long" value "Donnerstag" ) (vvPair variable "dd" value "09" ) (vvPair variable "entity_name" value "spi_interface" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "symbol.sb" ) (vvPair variable "f_logical" value "symbol.sb" ) (vvPair variable "f_noext" value "symbol" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "IHP110" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_lib" ) (vvPair variable "library_downstream_HdsLintPlugin" value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise" ) (vvPair variable "mm" value "06" ) (vvPair variable "module_name" value "spi_interface" ) (vvPair variable "month" value "Jun" ) (vvPair variable "month_long" value "Juni" ) (vvPair variable "p" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb" ) (vvPair variable "p_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "D:\\modeltech_6.5e\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "sb" ) (vvPair variable "this_file" value "symbol" ) (vvPair variable "this_file_logical" value "symbol" ) (vvPair variable "time" value "16:55:17" ) (vvPair variable "unit" value "spi_interface" ) (vvPair variable "user" value "daqct3" ) (vvPair variable "version" value "2009.1 (Build 12)" ) (vvPair variable "view" value "symbol" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" uid 51,0 optionalChildren [ *77 (SymbolBody uid 8,0 optionalChildren [ *78 (CptPort uid 126,0 ps "OnEdgeStrategy" shape (Triangle uid 127,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "33000,12625,33750,13375" ) tg (CPTG uid 128,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 129,0 va (VaSet ) xt "30100,12500,32000,13500" st "sclk" ju 2 blo "32000,13300" tm "CptPortNameMgr" ) ) dt (MLText uid 131,0 va (VaSet font "Courier New,8,0" ) xt "44000,8400,65000,9200" st "sclk : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "sclk" t "std_logic" o 9 suid 1,0 ) ) ) *79 (CptPort uid 197,0 ps "OnEdgeStrategy" shape (Triangle uid 198,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,15625,15000,16375" ) tg (CPTG uid 199,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 200,0 va (VaSet ) xt "16000,15500,19700,16500" st "dac_array" blo "16000,16300" tm "CptPortNameMgr" ) ) dt (MLText uid 201,0 va (VaSet font "Courier New,8,0" ) xt "44000,3600,68000,4400" st "dac_array : IN dac_array_type ; " ) thePort (LogicalPort decl (Decl n "dac_array" t "dac_array_type" o 3 suid 10,0 ) ) ) *80 (CptPort uid 321,0 ps "OnEdgeStrategy" shape (Triangle uid 377,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,21625,15000,22375" ) tg (CPTG uid 323,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 324,0 va (VaSet ) xt "16000,21500,21100,22500" st "config_ready" blo "16000,22300" tm "CptPortNameMgr" ) ) dt (MLText uid 325,0 va (VaSet font "Courier New,8,0" ) xt "44000,5200,65000,6000" st "config_ready : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "config_ready" t "std_logic" o 5 suid 11,0 ) ) ) *81 (CptPort uid 326,0 ps "OnEdgeStrategy" shape (Triangle uid 327,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,20625,15000,21375" ) tg (CPTG uid 328,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 329,0 va (VaSet ) xt "16000,20500,20800,21500" st "config_start" blo "16000,21300" tm "CptPortNameMgr" ) ) dt (MLText uid 330,0 va (VaSet font "Courier New,8,0" ) xt "44000,2800,65000,3600" st "config_start : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "config_start" t "std_logic" o 2 suid 12,0 ) ) ) *82 (CptPort uid 819,0 ps "OnEdgeStrategy" shape (Triangle uid 820,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,16625,15000,17375" ) tg (CPTG uid 821,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 822,0 va (VaSet ) xt "16000,16500,21800,17500" st "sensor_array" blo "16000,17300" tm "CptPortNameMgr" ) ) dt (MLText uid 823,0 va (VaSet font "Courier New,8,0" ) xt "44000,9200,69500,10000" st "sensor_array : OUT sensor_array_type ; " ) thePort (LogicalPort m 1 decl (Decl n "sensor_array" t "sensor_array_type" o 10 suid 13,0 ) ) ) *83 (CptPort uid 824,0 ps "OnEdgeStrategy" shape (Triangle uid 825,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,22625,15000,23375" ) tg (CPTG uid 826,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 827,0 va (VaSet ) xt "16000,22500,21900,23500" st "sensor_ready" blo "16000,23300" tm "CptPortNameMgr" ) ) dt (MLText uid 828,0 va (VaSet font "Courier New,8,0" ) xt "44000,10800,65000,11600" st "sensor_ready : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "sensor_ready" t "std_logic" o 12 suid 14,0 ) ) ) *84 (CptPort uid 1017,0 ps "OnEdgeStrategy" shape (Triangle uid 1018,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "33000,15625,33750,16375" ) tg (CPTG uid 1019,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1020,0 va (VaSet ) xt "29200,15500,32000,16500" st "dac_cs" ju 2 blo "32000,16300" tm "CptPortNameMgr" ) ) dt (MLText uid 1021,0 va (VaSet font "Courier New,8,0" ) xt "44000,6800,65000,7600" st "dac_cs : OUT std_logic ; " ) thePort (LogicalPort m 1 decl (Decl n "dac_cs" t "std_logic" o 7 suid 15,0 ) ) ) *85 (CptPort uid 1022,0 ps "OnEdgeStrategy" shape (Triangle uid 1023,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "33000,16625,33750,17375" ) tg (CPTG uid 1024,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1025,0 va (VaSet ) xt "25000,16500,32000,17500" st "sensor_cs : (3:0)" ju 2 blo "32000,17300" tm "CptPortNameMgr" ) ) dt (MLText uid 1026,0 va (VaSet font "Courier New,8,0" ) xt "44000,10000,75000,10800" st "sensor_cs : OUT std_logic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort m 1 decl (Decl n "sensor_cs" t "std_logic_vector" b "(3 DOWNTO 0)" o 11 suid 16,0 ) ) ) *86 (CptPort uid 1121,0 ps "OnEdgeStrategy" shape (Triangle uid 1122,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,12625,15000,13375" ) tg (CPTG uid 1123,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1124,0 va (VaSet ) xt "16000,12500,20200,13500" st "clk_50MHz" blo "16000,13300" tm "CptPortNameMgr" ) ) dt (MLText uid 1125,0 va (VaSet font "Courier New,8,0" ) xt "44000,2000,65000,2800" st "clk_50MHz : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "clk_50MHz" t "std_logic" preAdd 0 posAdd 0 o 1 suid 17,0 ) ) ) *87 (CptPort uid 1229,0 ps "OnEdgeStrategy" shape (Triangle uid 1230,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "33000,20625,33750,21375" ) tg (CPTG uid 1231,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1232,0 va (VaSet ) xt "30000,20500,32000,21500" st "mosi" ju 2 blo "32000,21300" tm "CptPortNameMgr" ) t (Text uid 1233,0 va (VaSet ) xt "30500,21500,32000,22500" st "'0'" ju 2 blo "32000,22300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1234,0 va (VaSet font "Courier New,8,0" ) xt "44000,7600,71500,8400" st "mosi : OUT std_logic := '0' ; " ) thePort (LogicalPort m 1 decl (Decl n "mosi" t "std_logic" o 8 suid 19,0 i "'0'" ) ) ) *88 (CptPort uid 1283,0 ps "OnEdgeStrategy" shape (Diamond uid 1284,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "33000,22625,33750,23375" ) tg (CPTG uid 1285,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1286,0 va (VaSet ) xt "30000,22500,32000,23500" st "miso" ju 2 blo "32000,23300" tm "CptPortNameMgr" ) ) dt (MLText uid 1287,0 va (VaSet font "Courier New,8,0" ) xt "44000,11600,64000,12400" st "miso : INOUT std_logic " ) thePort (LogicalPort m 2 decl (Decl n "miso" t "std_logic" preAdd 0 posAdd 0 o 13 suid 20,0 ) ) ) *89 (CptPort uid 1520,0 ps "OnEdgeStrategy" shape (Triangle uid 1521,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "33000,24625,33750,25375" ) tg (CPTG uid 1522,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 1523,0 va (VaSet ) xt "25100,24500,32000,25500" st "current_dac_array" ju 2 blo "32000,25300" tm "CptPortNameMgr" ) t (Text uid 1524,0 va (VaSet ) xt "26500,25500,32000,26500" st "( others => 0)" ju 2 blo "32000,26300" tm "InitValueDelayMgr" ) ) dt (MLText uid 1525,0 va (VaSet font "Courier New,8,0" ) xt "44000,6000,77000,6800" st "current_dac_array : OUT dac_array_type := ( others => 0) ; " ) thePort (LogicalPort lang 10 m 1 decl (Decl n "current_dac_array" t "dac_array_type" o 6 suid 21,0 i "( others => 0)" ) ) ) *90 (CptPort uid 1597,0 ps "OnEdgeStrategy" shape (Triangle uid 1598,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "14250,24625,15000,25375" ) tg (CPTG uid 1599,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 1600,0 va (VaSet ) xt "16000,24500,21300,25500" st "sclk_enable_i" blo "16000,25300" tm "CptPortNameMgr" ) ) dt (MLText uid 1601,0 va (VaSet font "Courier New,8,0" ) xt "44000,4400,65000,5200" st "sclk_enable_i : IN std_logic ; " ) thePort (LogicalPort decl (Decl n "sclk_enable_i" t "std_logic" o 4 suid 22,0 ) ) ) ] shape (Rectangle uid 1172,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,12000,33000,28000" ) oxt "15000,12000,30000,26000" biTextGroup (BiTextGroup uid 10,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text uid 11,0 va (VaSet font "Arial,8,1" ) xt "15200,26000,21400,27000" st "FACT_FAD_lib" blo "15200,26800" ) second (Text uid 12,0 va (VaSet font "Arial,8,1" ) xt "15200,27000,20700,28000" st "spi_interface" blo "15200,27800" ) ) gi *91 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix uid 14,0 text (MLText uid 15,0 va (VaSet font "Courier New,8,0" ) xt "0,12000,11500,12800" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) *92 (Grouping uid 16,0 optionalChildren [ *93 (CommentText uid 18,0 shape (Rectangle uid 19,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,48000,53000,49000" ) oxt "18000,70000,35000,71000" text (MLText uid 20,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,48000,47000,49000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *94 (CommentText uid 21,0 shape (Rectangle uid 22,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "53000,44000,57000,45000" ) oxt "35000,66000,39000,67000" text (MLText uid 23,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "53200,44000,56500,45000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *95 (CommentText uid 24,0 shape (Rectangle uid 25,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,46000,53000,47000" ) oxt "18000,68000,35000,69000" text (MLText uid 26,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,46000,47100,47000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *96 (CommentText uid 27,0 shape (Rectangle uid 28,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,46000,36000,47000" ) oxt "14000,68000,18000,69000" text (MLText uid 29,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,46000,34500,47000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *97 (CommentText uid 30,0 shape (Rectangle uid 31,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "53000,45000,73000,49000" ) oxt "35000,67000,55000,71000" text (MLText uid 32,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "53200,45200,63000,46200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *98 (CommentText uid 33,0 shape (Rectangle uid 34,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "57000,44000,73000,45000" ) oxt "39000,66000,55000,67000" text (MLText uid 35,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "57200,44000,61900,45000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *99 (CommentText uid 36,0 shape (Rectangle uid 37,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,44000,53000,46000" ) oxt "14000,66000,35000,68000" text (MLText uid 38,0 va (VaSet fg "32768,0,0" ) xt "39450,44000,45550,46000" st " TU Dortmund Physik / EE " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *100 (CommentText uid 39,0 shape (Rectangle uid 40,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,47000,36000,48000" ) oxt "14000,69000,18000,70000" text (MLText uid 41,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,47000,34500,48000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *101 (CommentText uid 42,0 shape (Rectangle uid 43,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "32000,48000,36000,49000" ) oxt "14000,70000,18000,71000" text (MLText uid 44,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "32200,48000,35300,49000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *102 (CommentText uid 45,0 shape (Rectangle uid 46,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "36000,47000,53000,48000" ) oxt "18000,69000,35000,70000" text (MLText uid 47,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "36200,47000,51500,48000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 17,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "32000,44000,73000,49000" ) oxt "14000,66000,55000,71000" ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *103 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ *104 (Text uid 49,0 va (VaSet font "Arial,8,1" ) xt "0,0,5400,1000" st "Package List" blo "0,800" ) *105 (MLText uid 50,0 va (VaSet ) xt "0,1000,16100,6000" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; LIBRARY FACT_FAD_lib; USE FACT_FAD_lib.fad_definitions.all;" tm "PackageList" ) ] ) windowSize "264,255,1282,945" viewArea "-9965,-7607,56352,40201" cachedDiagramExtent "0,0,73000,49000" hasePageBreakOrigin 1 pageBreakOrigin "0,0" defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2400,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) parentGraphicsRef (HdmGraphicsRef libraryName "" entityName "" viewName "" ) defaultSymbolBody (SymbolBody shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,6000,33000,26000" ) biTextGroup (BiTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet font "Arial,8,1" ) xt "22200,15000,25800,16000" st "" blo "22200,15800" ) second (Text va (VaSet font "Arial,8,1" ) xt "22200,16000,24800,17000" st "" blo "22200,16800" ) ) gi *106 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "0,12000,11500,12800" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) defaultCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1400,1750" st "In0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "In0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) defaultCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,2800,1750" st "Buffer0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 3 decl (Decl n "Buffer0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) DeclarativeBlock *107 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text uid 2,0 va (VaSet font "Arial,8,1" ) xt "42000,0,47400,1000" st "Declarations" blo "42000,800" ) portLabel (Text uid 3,0 va (VaSet font "Arial,8,1" ) xt "42000,1000,44700,2000" st "Ports:" blo "42000,1800" ) externalLabel (Text uid 4,0 va (VaSet font "Arial,8,1" ) xt "42000,12400,44400,13400" st "User:" blo "42000,13200" ) internalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "42000,0,47800,1000" st "Internal User:" blo "42000,800" ) externalText (MLText uid 5,0 va (VaSet font "Courier New,8,0" ) xt "44000,13400,44000,13400" tm "SyDeclarativeTextMgr" ) internalText (MLText uid 7,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) lastUid 2052,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol" )