source: firmware/FAD/FACT_FAD_lib/hds/spi_interface/symbol.sb@ 14788

Last change on this file since 14788 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 27.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "FACT_FAD_lib"
15unitName "fad_definitions"
16)
17]
18libraryRefs [
19"ieee"
20"FACT_FAD_lib"
21]
22)
23version "24.1"
24appVersion "2009.1 (Build 12)"
25model (Symbol
26commonDM (CommonDM
27ldm (LogicalDM
28suid 24,0
29usingSuid 1
30emptyRow *1 (LEmptyRow
31)
32uid 53,0
33optionalChildren [
34*2 (RefLabelRowHdr
35)
36*3 (TitleRowHdr
37)
38*4 (FilterRowHdr
39)
40*5 (RefLabelColHdr
41tm "RefLabelColHdrMgr"
42)
43*6 (RowExpandColHdr
44tm "RowExpandColHdrMgr"
45)
46*7 (GroupColHdr
47tm "GroupColHdrMgr"
48)
49*8 (NameColHdr
50tm "NameColHdrMgr"
51)
52*9 (ModeColHdr
53tm "ModeColHdrMgr"
54)
55*10 (TypeColHdr
56tm "TypeColHdrMgr"
57)
58*11 (BoundsColHdr
59tm "BoundsColHdrMgr"
60)
61*12 (InitColHdr
62tm "InitColHdrMgr"
63)
64*13 (EolColHdr
65tm "EolColHdrMgr"
66)
67*14 (LogPort
68port (LogicalPort
69m 1
70decl (Decl
71n "sclk"
72t "std_logic"
73o 9
74suid 1,0
75)
76)
77uid 109,0
78)
79*15 (LogPort
80port (LogicalPort
81decl (Decl
82n "dac_array"
83t "dac_array_type"
84o 3
85suid 10,0
86)
87)
88uid 196,0
89)
90*16 (LogPort
91port (LogicalPort
92m 1
93decl (Decl
94n "config_ready"
95t "std_logic"
96o 5
97suid 11,0
98)
99)
100uid 318,0
101)
102*17 (LogPort
103port (LogicalPort
104decl (Decl
105n "config_start"
106t "std_logic"
107o 2
108suid 12,0
109)
110)
111uid 320,0
112)
113*18 (LogPort
114port (LogicalPort
115m 1
116decl (Decl
117n "sensor_array"
118t "sensor_array_type"
119o 10
120suid 13,0
121)
122)
123uid 816,0
124)
125*19 (LogPort
126port (LogicalPort
127m 1
128decl (Decl
129n "sensor_ready"
130t "std_logic"
131o 12
132suid 14,0
133)
134)
135uid 818,0
136)
137*20 (LogPort
138port (LogicalPort
139m 1
140decl (Decl
141n "dac_cs"
142t "std_logic"
143o 7
144suid 15,0
145)
146)
147uid 1014,0
148)
149*21 (LogPort
150port (LogicalPort
151m 1
152decl (Decl
153n "sensor_cs"
154t "std_logic_vector"
155b "(3 DOWNTO 0)"
156o 11
157suid 16,0
158)
159)
160uid 1016,0
161)
162*22 (LogPort
163port (LogicalPort
164decl (Decl
165n "clk_50MHz"
166t "std_logic"
167preAdd 0
168posAdd 0
169o 1
170suid 17,0
171)
172)
173uid 1120,0
174)
175*23 (LogPort
176port (LogicalPort
177m 1
178decl (Decl
179n "mosi"
180t "std_logic"
181o 8
182suid 19,0
183i "'0'"
184)
185)
186uid 1228,0
187)
188*24 (LogPort
189port (LogicalPort
190m 2
191decl (Decl
192n "miso"
193t "std_logic"
194preAdd 0
195posAdd 0
196o 13
197suid 20,0
198)
199)
200uid 1282,0
201)
202*25 (LogPort
203port (LogicalPort
204lang 10
205m 1
206decl (Decl
207n "current_dac_array"
208t "dac_array_type"
209o 6
210suid 21,0
211i "( others => 0)"
212)
213)
214uid 1519,0
215)
216*26 (LogPort
217port (LogicalPort
218decl (Decl
219n "sclk_enable_i"
220t "std_logic"
221o 4
222suid 22,0
223)
224)
225uid 1596,0
226)
227]
228)
229pdm (PhysicalDM
230displayShortBounds 1
231editShortBounds 1
232uid 66,0
233optionalChildren [
234*27 (Sheet
235sheetRow (SheetRow
236headerVa (MVa
237cellColor "49152,49152,49152"
238fontColor "0,0,0"
239font "Tahoma,10,0"
240)
241cellVa (MVa
242cellColor "65535,65535,65535"
243fontColor "0,0,0"
244font "Tahoma,10,0"
245)
246groupVa (MVa
247cellColor "39936,56832,65280"
248fontColor "0,0,0"
249font "Tahoma,10,0"
250)
251emptyMRCItem *28 (MRCItem
252litem &1
253pos 10
254dimension 20
255)
256uid 68,0
257optionalChildren [
258*29 (MRCItem
259litem &2
260pos 0
261dimension 20
262uid 69,0
263)
264*30 (MRCItem
265litem &3
266pos 1
267dimension 23
268uid 70,0
269)
270*31 (MRCItem
271litem &4
272pos 2
273hidden 1
274dimension 20
275uid 71,0
276)
277*32 (MRCItem
278litem &14
279pos 0
280dimension 20
281uid 108,0
282)
283*33 (MRCItem
284litem &15
285pos 4
286dimension 20
287uid 195,0
288)
289*34 (MRCItem
290litem &16
291pos 6
292dimension 20
293uid 317,0
294)
295*35 (MRCItem
296litem &17
297pos 5
298dimension 20
299uid 319,0
300)
301*36 (MRCItem
302litem &18
303pos 7
304dimension 20
305uid 815,0
306)
307*37 (MRCItem
308litem &19
309pos 8
310dimension 20
311uid 817,0
312)
313*38 (MRCItem
314litem &20
315pos 2
316dimension 20
317uid 1013,0
318)
319*39 (MRCItem
320litem &21
321pos 3
322dimension 20
323uid 1015,0
324)
325*40 (MRCItem
326litem &22
327pos 1
328dimension 20
329uid 1119,0
330)
331*41 (MRCItem
332litem &23
333pos 9
334dimension 20
335uid 1227,0
336)
337*42 (MRCItem
338litem &24
339pos 10
340dimension 20
341uid 1281,0
342)
343*43 (MRCItem
344litem &25
345pos 11
346dimension 20
347uid 1518,0
348)
349*44 (MRCItem
350litem &26
351pos 12
352dimension 20
353uid 1595,0
354)
355]
356)
357sheetCol (SheetCol
358propVa (MVa
359cellColor "0,49152,49152"
360fontColor "0,0,0"
361font "Tahoma,10,0"
362textAngle 90
363)
364uid 72,0
365optionalChildren [
366*45 (MRCItem
367litem &5
368pos 0
369dimension 20
370uid 73,0
371)
372*46 (MRCItem
373litem &7
374pos 1
375dimension 50
376uid 74,0
377)
378*47 (MRCItem
379litem &8
380pos 2
381dimension 100
382uid 75,0
383)
384*48 (MRCItem
385litem &9
386pos 3
387dimension 50
388uid 76,0
389)
390*49 (MRCItem
391litem &10
392pos 4
393dimension 100
394uid 77,0
395)
396*50 (MRCItem
397litem &11
398pos 5
399dimension 100
400uid 78,0
401)
402*51 (MRCItem
403litem &12
404pos 6
405dimension 50
406uid 79,0
407)
408*52 (MRCItem
409litem &13
410pos 7
411dimension 80
412uid 80,0
413)
414]
415)
416fixedCol 4
417fixedRow 2
418name "Ports"
419uid 67,0
420vaOverrides [
421]
422)
423]
424)
425uid 52,0
426)
427genericsCommonDM (CommonDM
428ldm (LogicalDM
429emptyRow *53 (LEmptyRow
430)
431uid 82,0
432optionalChildren [
433*54 (RefLabelRowHdr
434)
435*55 (TitleRowHdr
436)
437*56 (FilterRowHdr
438)
439*57 (RefLabelColHdr
440tm "RefLabelColHdrMgr"
441)
442*58 (RowExpandColHdr
443tm "RowExpandColHdrMgr"
444)
445*59 (GroupColHdr
446tm "GroupColHdrMgr"
447)
448*60 (NameColHdr
449tm "GenericNameColHdrMgr"
450)
451*61 (TypeColHdr
452tm "GenericTypeColHdrMgr"
453)
454*62 (InitColHdr
455tm "GenericValueColHdrMgr"
456)
457*63 (PragmaColHdr
458tm "GenericPragmaColHdrMgr"
459)
460*64 (EolColHdr
461tm "GenericEolColHdrMgr"
462)
463]
464)
465pdm (PhysicalDM
466displayShortBounds 1
467editShortBounds 1
468uid 94,0
469optionalChildren [
470*65 (Sheet
471sheetRow (SheetRow
472headerVa (MVa
473cellColor "49152,49152,49152"
474fontColor "0,0,0"
475font "Tahoma,10,0"
476)
477cellVa (MVa
478cellColor "65535,65535,65535"
479fontColor "0,0,0"
480font "Tahoma,10,0"
481)
482groupVa (MVa
483cellColor "39936,56832,65280"
484fontColor "0,0,0"
485font "Tahoma,10,0"
486)
487emptyMRCItem *66 (MRCItem
488litem &53
489pos 0
490dimension 20
491)
492uid 96,0
493optionalChildren [
494*67 (MRCItem
495litem &54
496pos 0
497dimension 20
498uid 97,0
499)
500*68 (MRCItem
501litem &55
502pos 1
503dimension 23
504uid 98,0
505)
506*69 (MRCItem
507litem &56
508pos 2
509hidden 1
510dimension 20
511uid 99,0
512)
513]
514)
515sheetCol (SheetCol
516propVa (MVa
517cellColor "0,49152,49152"
518fontColor "0,0,0"
519font "Tahoma,10,0"
520textAngle 90
521)
522uid 100,0
523optionalChildren [
524*70 (MRCItem
525litem &57
526pos 0
527dimension 20
528uid 101,0
529)
530*71 (MRCItem
531litem &59
532pos 1
533dimension 50
534uid 102,0
535)
536*72 (MRCItem
537litem &60
538pos 2
539dimension 100
540uid 103,0
541)
542*73 (MRCItem
543litem &61
544pos 3
545dimension 100
546uid 104,0
547)
548*74 (MRCItem
549litem &62
550pos 4
551dimension 50
552uid 105,0
553)
554*75 (MRCItem
555litem &63
556pos 5
557dimension 50
558uid 106,0
559)
560*76 (MRCItem
561litem &64
562pos 6
563dimension 80
564uid 107,0
565)
566]
567)
568fixedCol 3
569fixedRow 2
570name "Ports"
571uid 95,0
572vaOverrides [
573]
574)
575]
576)
577uid 81,0
578type 1
579)
580VExpander (VariableExpander
581vvMap [
582(vvPair
583variable "HDLDir"
584value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
585)
586(vvPair
587variable "HDSDir"
588value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
589)
590(vvPair
591variable "SideDataDesignDir"
592value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb.info"
593)
594(vvPair
595variable "SideDataUserDir"
596value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb.user"
597)
598(vvPair
599variable "SourceDir"
600value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
601)
602(vvPair
603variable "appl"
604value "HDL Designer"
605)
606(vvPair
607variable "arch_name"
608value "symbol"
609)
610(vvPair
611variable "config"
612value "%(unit)_%(view)_config"
613)
614(vvPair
615variable "d"
616value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface"
617)
618(vvPair
619variable "d_logical"
620value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface"
621)
622(vvPair
623variable "date"
624value "09.06.2011"
625)
626(vvPair
627variable "day"
628value "Do"
629)
630(vvPair
631variable "day_long"
632value "Donnerstag"
633)
634(vvPair
635variable "dd"
636value "09"
637)
638(vvPair
639variable "entity_name"
640value "spi_interface"
641)
642(vvPair
643variable "ext"
644value "<TBD>"
645)
646(vvPair
647variable "f"
648value "symbol.sb"
649)
650(vvPair
651variable "f_logical"
652value "symbol.sb"
653)
654(vvPair
655variable "f_noext"
656value "symbol"
657)
658(vvPair
659variable "group"
660value "UNKNOWN"
661)
662(vvPair
663variable "host"
664value "IHP110"
665)
666(vvPair
667variable "language"
668value "VHDL"
669)
670(vvPair
671variable "library"
672value "FACT_FAD_lib"
673)
674(vvPair
675variable "library_downstream_HdsLintPlugin"
676value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
677)
678(vvPair
679variable "library_downstream_ISEPARInvoke"
680value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
681)
682(vvPair
683variable "library_downstream_ImpactInvoke"
684value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
685)
686(vvPair
687variable "library_downstream_ModelSimCompiler"
688value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
689)
690(vvPair
691variable "library_downstream_XSTDataPrep"
692value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
693)
694(vvPair
695variable "mm"
696value "06"
697)
698(vvPair
699variable "module_name"
700value "spi_interface"
701)
702(vvPair
703variable "month"
704value "Jun"
705)
706(vvPair
707variable "month_long"
708value "Juni"
709)
710(vvPair
711variable "p"
712value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb"
713)
714(vvPair
715variable "p_logical"
716value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\spi_interface\\symbol.sb"
717)
718(vvPair
719variable "package_name"
720value "<Undefined Variable>"
721)
722(vvPair
723variable "project_name"
724value "FACT_FAD"
725)
726(vvPair
727variable "series"
728value "HDL Designer Series"
729)
730(vvPair
731variable "task_DesignCompilerPath"
732value "<TBD>"
733)
734(vvPair
735variable "task_LeonardoPath"
736value "<TBD>"
737)
738(vvPair
739variable "task_ModelSimPath"
740value "D:\\modeltech_6.5e\\win32"
741)
742(vvPair
743variable "task_NC-SimPath"
744value "<TBD>"
745)
746(vvPair
747variable "task_PrecisionRTLPath"
748value "<TBD>"
749)
750(vvPair
751variable "task_QuestaSimPath"
752value "<TBD>"
753)
754(vvPair
755variable "task_VCSPath"
756value "<TBD>"
757)
758(vvPair
759variable "this_ext"
760value "sb"
761)
762(vvPair
763variable "this_file"
764value "symbol"
765)
766(vvPair
767variable "this_file_logical"
768value "symbol"
769)
770(vvPair
771variable "time"
772value "16:55:17"
773)
774(vvPair
775variable "unit"
776value "spi_interface"
777)
778(vvPair
779variable "user"
780value "daqct3"
781)
782(vvPair
783variable "version"
784value "2009.1 (Build 12)"
785)
786(vvPair
787variable "view"
788value "symbol"
789)
790(vvPair
791variable "year"
792value "2011"
793)
794(vvPair
795variable "yy"
796value "11"
797)
798]
799)
800LanguageMgr "VhdlLangMgr"
801uid 51,0
802optionalChildren [
803*77 (SymbolBody
804uid 8,0
805optionalChildren [
806*78 (CptPort
807uid 126,0
808ps "OnEdgeStrategy"
809shape (Triangle
810uid 127,0
811ro 90
812va (VaSet
813vasetType 1
814fg "0,65535,0"
815)
816xt "33000,12625,33750,13375"
817)
818tg (CPTG
819uid 128,0
820ps "CptPortTextPlaceStrategy"
821stg "RightVerticalLayoutStrategy"
822f (Text
823uid 129,0
824va (VaSet
825)
826xt "30100,12500,32000,13500"
827st "sclk"
828ju 2
829blo "32000,13300"
830tm "CptPortNameMgr"
831)
832)
833dt (MLText
834uid 131,0
835va (VaSet
836font "Courier New,8,0"
837)
838xt "44000,8400,65000,9200"
839st "sclk : OUT std_logic ;
840"
841)
842thePort (LogicalPort
843m 1
844decl (Decl
845n "sclk"
846t "std_logic"
847o 9
848suid 1,0
849)
850)
851)
852*79 (CptPort
853uid 197,0
854ps "OnEdgeStrategy"
855shape (Triangle
856uid 198,0
857ro 90
858va (VaSet
859vasetType 1
860fg "0,65535,0"
861)
862xt "14250,15625,15000,16375"
863)
864tg (CPTG
865uid 199,0
866ps "CptPortTextPlaceStrategy"
867stg "VerticalLayoutStrategy"
868f (Text
869uid 200,0
870va (VaSet
871)
872xt "16000,15500,19700,16500"
873st "dac_array"
874blo "16000,16300"
875tm "CptPortNameMgr"
876)
877)
878dt (MLText
879uid 201,0
880va (VaSet
881font "Courier New,8,0"
882)
883xt "44000,3600,68000,4400"
884st "dac_array : IN dac_array_type ;
885"
886)
887thePort (LogicalPort
888decl (Decl
889n "dac_array"
890t "dac_array_type"
891o 3
892suid 10,0
893)
894)
895)
896*80 (CptPort
897uid 321,0
898ps "OnEdgeStrategy"
899shape (Triangle
900uid 377,0
901ro 270
902va (VaSet
903vasetType 1
904fg "0,65535,0"
905)
906xt "14250,21625,15000,22375"
907)
908tg (CPTG
909uid 323,0
910ps "CptPortTextPlaceStrategy"
911stg "VerticalLayoutStrategy"
912f (Text
913uid 324,0
914va (VaSet
915)
916xt "16000,21500,21100,22500"
917st "config_ready"
918blo "16000,22300"
919tm "CptPortNameMgr"
920)
921)
922dt (MLText
923uid 325,0
924va (VaSet
925font "Courier New,8,0"
926)
927xt "44000,5200,65000,6000"
928st "config_ready : OUT std_logic ;
929"
930)
931thePort (LogicalPort
932m 1
933decl (Decl
934n "config_ready"
935t "std_logic"
936o 5
937suid 11,0
938)
939)
940)
941*81 (CptPort
942uid 326,0
943ps "OnEdgeStrategy"
944shape (Triangle
945uid 327,0
946ro 90
947va (VaSet
948vasetType 1
949fg "0,65535,0"
950)
951xt "14250,20625,15000,21375"
952)
953tg (CPTG
954uid 328,0
955ps "CptPortTextPlaceStrategy"
956stg "VerticalLayoutStrategy"
957f (Text
958uid 329,0
959va (VaSet
960)
961xt "16000,20500,20800,21500"
962st "config_start"
963blo "16000,21300"
964tm "CptPortNameMgr"
965)
966)
967dt (MLText
968uid 330,0
969va (VaSet
970font "Courier New,8,0"
971)
972xt "44000,2800,65000,3600"
973st "config_start : IN std_logic ;
974"
975)
976thePort (LogicalPort
977decl (Decl
978n "config_start"
979t "std_logic"
980o 2
981suid 12,0
982)
983)
984)
985*82 (CptPort
986uid 819,0
987ps "OnEdgeStrategy"
988shape (Triangle
989uid 820,0
990ro 270
991va (VaSet
992vasetType 1
993fg "0,65535,0"
994)
995xt "14250,16625,15000,17375"
996)
997tg (CPTG
998uid 821,0
999ps "CptPortTextPlaceStrategy"
1000stg "VerticalLayoutStrategy"
1001f (Text
1002uid 822,0
1003va (VaSet
1004)
1005xt "16000,16500,21800,17500"
1006st "sensor_array"
1007blo "16000,17300"
1008tm "CptPortNameMgr"
1009)
1010)
1011dt (MLText
1012uid 823,0
1013va (VaSet
1014font "Courier New,8,0"
1015)
1016xt "44000,9200,69500,10000"
1017st "sensor_array : OUT sensor_array_type ;
1018"
1019)
1020thePort (LogicalPort
1021m 1
1022decl (Decl
1023n "sensor_array"
1024t "sensor_array_type"
1025o 10
1026suid 13,0
1027)
1028)
1029)
1030*83 (CptPort
1031uid 824,0
1032ps "OnEdgeStrategy"
1033shape (Triangle
1034uid 825,0
1035ro 270
1036va (VaSet
1037vasetType 1
1038fg "0,65535,0"
1039)
1040xt "14250,22625,15000,23375"
1041)
1042tg (CPTG
1043uid 826,0
1044ps "CptPortTextPlaceStrategy"
1045stg "VerticalLayoutStrategy"
1046f (Text
1047uid 827,0
1048va (VaSet
1049)
1050xt "16000,22500,21900,23500"
1051st "sensor_ready"
1052blo "16000,23300"
1053tm "CptPortNameMgr"
1054)
1055)
1056dt (MLText
1057uid 828,0
1058va (VaSet
1059font "Courier New,8,0"
1060)
1061xt "44000,10800,65000,11600"
1062st "sensor_ready : OUT std_logic ;
1063"
1064)
1065thePort (LogicalPort
1066m 1
1067decl (Decl
1068n "sensor_ready"
1069t "std_logic"
1070o 12
1071suid 14,0
1072)
1073)
1074)
1075*84 (CptPort
1076uid 1017,0
1077ps "OnEdgeStrategy"
1078shape (Triangle
1079uid 1018,0
1080ro 90
1081va (VaSet
1082vasetType 1
1083fg "0,65535,0"
1084)
1085xt "33000,15625,33750,16375"
1086)
1087tg (CPTG
1088uid 1019,0
1089ps "CptPortTextPlaceStrategy"
1090stg "RightVerticalLayoutStrategy"
1091f (Text
1092uid 1020,0
1093va (VaSet
1094)
1095xt "29200,15500,32000,16500"
1096st "dac_cs"
1097ju 2
1098blo "32000,16300"
1099tm "CptPortNameMgr"
1100)
1101)
1102dt (MLText
1103uid 1021,0
1104va (VaSet
1105font "Courier New,8,0"
1106)
1107xt "44000,6800,65000,7600"
1108st "dac_cs : OUT std_logic ;
1109"
1110)
1111thePort (LogicalPort
1112m 1
1113decl (Decl
1114n "dac_cs"
1115t "std_logic"
1116o 7
1117suid 15,0
1118)
1119)
1120)
1121*85 (CptPort
1122uid 1022,0
1123ps "OnEdgeStrategy"
1124shape (Triangle
1125uid 1023,0
1126ro 90
1127va (VaSet
1128vasetType 1
1129fg "0,65535,0"
1130)
1131xt "33000,16625,33750,17375"
1132)
1133tg (CPTG
1134uid 1024,0
1135ps "CptPortTextPlaceStrategy"
1136stg "RightVerticalLayoutStrategy"
1137f (Text
1138uid 1025,0
1139va (VaSet
1140)
1141xt "25000,16500,32000,17500"
1142st "sensor_cs : (3:0)"
1143ju 2
1144blo "32000,17300"
1145tm "CptPortNameMgr"
1146)
1147)
1148dt (MLText
1149uid 1026,0
1150va (VaSet
1151font "Courier New,8,0"
1152)
1153xt "44000,10000,75000,10800"
1154st "sensor_cs : OUT std_logic_vector (3 DOWNTO 0) ;
1155"
1156)
1157thePort (LogicalPort
1158m 1
1159decl (Decl
1160n "sensor_cs"
1161t "std_logic_vector"
1162b "(3 DOWNTO 0)"
1163o 11
1164suid 16,0
1165)
1166)
1167)
1168*86 (CptPort
1169uid 1121,0
1170ps "OnEdgeStrategy"
1171shape (Triangle
1172uid 1122,0
1173ro 90
1174va (VaSet
1175vasetType 1
1176fg "0,65535,0"
1177)
1178xt "14250,12625,15000,13375"
1179)
1180tg (CPTG
1181uid 1123,0
1182ps "CptPortTextPlaceStrategy"
1183stg "VerticalLayoutStrategy"
1184f (Text
1185uid 1124,0
1186va (VaSet
1187)
1188xt "16000,12500,20200,13500"
1189st "clk_50MHz"
1190blo "16000,13300"
1191tm "CptPortNameMgr"
1192)
1193)
1194dt (MLText
1195uid 1125,0
1196va (VaSet
1197font "Courier New,8,0"
1198)
1199xt "44000,2000,65000,2800"
1200st "clk_50MHz : IN std_logic ;
1201"
1202)
1203thePort (LogicalPort
1204decl (Decl
1205n "clk_50MHz"
1206t "std_logic"
1207preAdd 0
1208posAdd 0
1209o 1
1210suid 17,0
1211)
1212)
1213)
1214*87 (CptPort
1215uid 1229,0
1216ps "OnEdgeStrategy"
1217shape (Triangle
1218uid 1230,0
1219ro 90
1220va (VaSet
1221vasetType 1
1222fg "0,65535,0"
1223)
1224xt "33000,20625,33750,21375"
1225)
1226tg (CPTG
1227uid 1231,0
1228ps "CptPortTextPlaceStrategy"
1229stg "RightVerticalLayoutStrategy"
1230f (Text
1231uid 1232,0
1232va (VaSet
1233)
1234xt "30000,20500,32000,21500"
1235st "mosi"
1236ju 2
1237blo "32000,21300"
1238tm "CptPortNameMgr"
1239)
1240t (Text
1241uid 1233,0
1242va (VaSet
1243)
1244xt "30500,21500,32000,22500"
1245st "'0'"
1246ju 2
1247blo "32000,22300"
1248tm "InitValueDelayMgr"
1249)
1250)
1251dt (MLText
1252uid 1234,0
1253va (VaSet
1254font "Courier New,8,0"
1255)
1256xt "44000,7600,71500,8400"
1257st "mosi : OUT std_logic := '0' ;
1258"
1259)
1260thePort (LogicalPort
1261m 1
1262decl (Decl
1263n "mosi"
1264t "std_logic"
1265o 8
1266suid 19,0
1267i "'0'"
1268)
1269)
1270)
1271*88 (CptPort
1272uid 1283,0
1273ps "OnEdgeStrategy"
1274shape (Diamond
1275uid 1284,0
1276ro 90
1277va (VaSet
1278vasetType 1
1279fg "0,65535,0"
1280)
1281xt "33000,22625,33750,23375"
1282)
1283tg (CPTG
1284uid 1285,0
1285ps "CptPortTextPlaceStrategy"
1286stg "RightVerticalLayoutStrategy"
1287f (Text
1288uid 1286,0
1289va (VaSet
1290)
1291xt "30000,22500,32000,23500"
1292st "miso"
1293ju 2
1294blo "32000,23300"
1295tm "CptPortNameMgr"
1296)
1297)
1298dt (MLText
1299uid 1287,0
1300va (VaSet
1301font "Courier New,8,0"
1302)
1303xt "44000,11600,64000,12400"
1304st "miso : INOUT std_logic
1305"
1306)
1307thePort (LogicalPort
1308m 2
1309decl (Decl
1310n "miso"
1311t "std_logic"
1312preAdd 0
1313posAdd 0
1314o 13
1315suid 20,0
1316)
1317)
1318)
1319*89 (CptPort
1320uid 1520,0
1321ps "OnEdgeStrategy"
1322shape (Triangle
1323uid 1521,0
1324ro 90
1325va (VaSet
1326vasetType 1
1327fg "0,65535,0"
1328)
1329xt "33000,24625,33750,25375"
1330)
1331tg (CPTG
1332uid 1522,0
1333ps "CptPortTextPlaceStrategy"
1334stg "RightVerticalLayoutStrategy"
1335f (Text
1336uid 1523,0
1337va (VaSet
1338)
1339xt "25100,24500,32000,25500"
1340st "current_dac_array"
1341ju 2
1342blo "32000,25300"
1343tm "CptPortNameMgr"
1344)
1345t (Text
1346uid 1524,0
1347va (VaSet
1348)
1349xt "26500,25500,32000,26500"
1350st "( others => 0)"
1351ju 2
1352blo "32000,26300"
1353tm "InitValueDelayMgr"
1354)
1355)
1356dt (MLText
1357uid 1525,0
1358va (VaSet
1359font "Courier New,8,0"
1360)
1361xt "44000,6000,77000,6800"
1362st "current_dac_array : OUT dac_array_type := ( others => 0) ;
1363"
1364)
1365thePort (LogicalPort
1366lang 10
1367m 1
1368decl (Decl
1369n "current_dac_array"
1370t "dac_array_type"
1371o 6
1372suid 21,0
1373i "( others => 0)"
1374)
1375)
1376)
1377*90 (CptPort
1378uid 1597,0
1379ps "OnEdgeStrategy"
1380shape (Triangle
1381uid 1598,0
1382ro 90
1383va (VaSet
1384vasetType 1
1385fg "0,65535,0"
1386)
1387xt "14250,24625,15000,25375"
1388)
1389tg (CPTG
1390uid 1599,0
1391ps "CptPortTextPlaceStrategy"
1392stg "VerticalLayoutStrategy"
1393f (Text
1394uid 1600,0
1395va (VaSet
1396)
1397xt "16000,24500,21300,25500"
1398st "sclk_enable_i"
1399blo "16000,25300"
1400tm "CptPortNameMgr"
1401)
1402)
1403dt (MLText
1404uid 1601,0
1405va (VaSet
1406font "Courier New,8,0"
1407)
1408xt "44000,4400,65000,5200"
1409st "sclk_enable_i : IN std_logic ;
1410"
1411)
1412thePort (LogicalPort
1413decl (Decl
1414n "sclk_enable_i"
1415t "std_logic"
1416o 4
1417suid 22,0
1418)
1419)
1420)
1421]
1422shape (Rectangle
1423uid 1172,0
1424va (VaSet
1425vasetType 1
1426fg "0,65535,0"
1427lineColor "0,32896,0"
1428lineWidth 2
1429)
1430xt "15000,12000,33000,28000"
1431)
1432oxt "15000,12000,30000,26000"
1433biTextGroup (BiTextGroup
1434uid 10,0
1435ps "CenterOffsetStrategy"
1436stg "VerticalLayoutStrategy"
1437first (Text
1438uid 11,0
1439va (VaSet
1440font "Arial,8,1"
1441)
1442xt "15200,26000,21400,27000"
1443st "FACT_FAD_lib"
1444blo "15200,26800"
1445)
1446second (Text
1447uid 12,0
1448va (VaSet
1449font "Arial,8,1"
1450)
1451xt "15200,27000,20700,28000"
1452st "spi_interface"
1453blo "15200,27800"
1454)
1455)
1456gi *91 (GenericInterface
1457uid 13,0
1458ps "CenterOffsetStrategy"
1459matrix (Matrix
1460uid 14,0
1461text (MLText
1462uid 15,0
1463va (VaSet
1464font "Courier New,8,0"
1465)
1466xt "0,12000,11500,12800"
1467st "Generic Declarations"
1468)
1469header "Generic Declarations"
1470showHdrWhenContentsEmpty 1
1471)
1472elements [
1473]
1474)
1475portInstanceVisAsIs 1
1476portInstanceVis (PortSigDisplay
1477sIVOD 1
1478)
1479portVis (PortSigDisplay
1480sIVOD 1
1481)
1482)
1483*92 (Grouping
1484uid 16,0
1485optionalChildren [
1486*93 (CommentText
1487uid 18,0
1488shape (Rectangle
1489uid 19,0
1490sl 0
1491va (VaSet
1492vasetType 1
1493fg "65280,65280,46080"
1494)
1495xt "36000,48000,53000,49000"
1496)
1497oxt "18000,70000,35000,71000"
1498text (MLText
1499uid 20,0
1500va (VaSet
1501fg "0,0,32768"
1502bg "0,0,32768"
1503)
1504xt "36200,48000,47000,49000"
1505st "
1506by %user on %dd %month %year
1507"
1508tm "CommentText"
1509wrapOption 3
1510visibleHeight 1000
1511visibleWidth 17000
1512)
1513position 1
1514ignorePrefs 1
1515titleBlock 1
1516)
1517*94 (CommentText
1518uid 21,0
1519shape (Rectangle
1520uid 22,0
1521sl 0
1522va (VaSet
1523vasetType 1
1524fg "65280,65280,46080"
1525)
1526xt "53000,44000,57000,45000"
1527)
1528oxt "35000,66000,39000,67000"
1529text (MLText
1530uid 23,0
1531va (VaSet
1532fg "0,0,32768"
1533bg "0,0,32768"
1534)
1535xt "53200,44000,56500,45000"
1536st "
1537Project:
1538"
1539tm "CommentText"
1540wrapOption 3
1541visibleHeight 1000
1542visibleWidth 4000
1543)
1544position 1
1545ignorePrefs 1
1546titleBlock 1
1547)
1548*95 (CommentText
1549uid 24,0
1550shape (Rectangle
1551uid 25,0
1552sl 0
1553va (VaSet
1554vasetType 1
1555fg "65280,65280,46080"
1556)
1557xt "36000,46000,53000,47000"
1558)
1559oxt "18000,68000,35000,69000"
1560text (MLText
1561uid 26,0
1562va (VaSet
1563fg "0,0,32768"
1564bg "0,0,32768"
1565)
1566xt "36200,46000,47100,47000"
1567st "
1568<enter diagram title here>
1569"
1570tm "CommentText"
1571wrapOption 3
1572visibleHeight 1000
1573visibleWidth 17000
1574)
1575position 1
1576ignorePrefs 1
1577titleBlock 1
1578)
1579*96 (CommentText
1580uid 27,0
1581shape (Rectangle
1582uid 28,0
1583sl 0
1584va (VaSet
1585vasetType 1
1586fg "65280,65280,46080"
1587)
1588xt "32000,46000,36000,47000"
1589)
1590oxt "14000,68000,18000,69000"
1591text (MLText
1592uid 29,0
1593va (VaSet
1594fg "0,0,32768"
1595bg "0,0,32768"
1596)
1597xt "32200,46000,34500,47000"
1598st "
1599Title:
1600"
1601tm "CommentText"
1602wrapOption 3
1603visibleHeight 1000
1604visibleWidth 4000
1605)
1606position 1
1607ignorePrefs 1
1608titleBlock 1
1609)
1610*97 (CommentText
1611uid 30,0
1612shape (Rectangle
1613uid 31,0
1614sl 0
1615va (VaSet
1616vasetType 1
1617fg "65280,65280,46080"
1618)
1619xt "53000,45000,73000,49000"
1620)
1621oxt "35000,67000,55000,71000"
1622text (MLText
1623uid 32,0
1624va (VaSet
1625fg "0,0,32768"
1626bg "0,0,32768"
1627)
1628xt "53200,45200,63000,46200"
1629st "
1630<enter comments here>
1631"
1632tm "CommentText"
1633wrapOption 3
1634visibleHeight 4000
1635visibleWidth 20000
1636)
1637ignorePrefs 1
1638titleBlock 1
1639)
1640*98 (CommentText
1641uid 33,0
1642shape (Rectangle
1643uid 34,0
1644sl 0
1645va (VaSet
1646vasetType 1
1647fg "65280,65280,46080"
1648)
1649xt "57000,44000,73000,45000"
1650)
1651oxt "39000,66000,55000,67000"
1652text (MLText
1653uid 35,0
1654va (VaSet
1655fg "0,0,32768"
1656bg "0,0,32768"
1657)
1658xt "57200,44000,61900,45000"
1659st "
1660%project_name
1661"
1662tm "CommentText"
1663wrapOption 3
1664visibleHeight 1000
1665visibleWidth 16000
1666)
1667position 1
1668ignorePrefs 1
1669titleBlock 1
1670)
1671*99 (CommentText
1672uid 36,0
1673shape (Rectangle
1674uid 37,0
1675sl 0
1676va (VaSet
1677vasetType 1
1678fg "65280,65280,46080"
1679)
1680xt "32000,44000,53000,46000"
1681)
1682oxt "14000,66000,35000,68000"
1683text (MLText
1684uid 38,0
1685va (VaSet
1686fg "32768,0,0"
1687)
1688xt "39450,44000,45550,46000"
1689st "
1690TU Dortmund
1691Physik / EE
1692"
1693ju 0
1694tm "CommentText"
1695wrapOption 3
1696visibleHeight 2000
1697visibleWidth 21000
1698)
1699position 1
1700ignorePrefs 1
1701titleBlock 1
1702)
1703*100 (CommentText
1704uid 39,0
1705shape (Rectangle
1706uid 40,0
1707sl 0
1708va (VaSet
1709vasetType 1
1710fg "65280,65280,46080"
1711)
1712xt "32000,47000,36000,48000"
1713)
1714oxt "14000,69000,18000,70000"
1715text (MLText
1716uid 41,0
1717va (VaSet
1718fg "0,0,32768"
1719bg "0,0,32768"
1720)
1721xt "32200,47000,34500,48000"
1722st "
1723Path:
1724"
1725tm "CommentText"
1726wrapOption 3
1727visibleHeight 1000
1728visibleWidth 4000
1729)
1730position 1
1731ignorePrefs 1
1732titleBlock 1
1733)
1734*101 (CommentText
1735uid 42,0
1736shape (Rectangle
1737uid 43,0
1738sl 0
1739va (VaSet
1740vasetType 1
1741fg "65280,65280,46080"
1742)
1743xt "32000,48000,36000,49000"
1744)
1745oxt "14000,70000,18000,71000"
1746text (MLText
1747uid 44,0
1748va (VaSet
1749fg "0,0,32768"
1750bg "0,0,32768"
1751)
1752xt "32200,48000,35300,49000"
1753st "
1754Edited:
1755"
1756tm "CommentText"
1757wrapOption 3
1758visibleHeight 1000
1759visibleWidth 4000
1760)
1761position 1
1762ignorePrefs 1
1763titleBlock 1
1764)
1765*102 (CommentText
1766uid 45,0
1767shape (Rectangle
1768uid 46,0
1769sl 0
1770va (VaSet
1771vasetType 1
1772fg "65280,65280,46080"
1773)
1774xt "36000,47000,53000,48000"
1775)
1776oxt "18000,69000,35000,70000"
1777text (MLText
1778uid 47,0
1779va (VaSet
1780fg "0,0,32768"
1781bg "0,0,32768"
1782)
1783xt "36200,47000,51500,48000"
1784st "
1785%library/%unit/%view
1786"
1787tm "CommentText"
1788wrapOption 3
1789visibleHeight 1000
1790visibleWidth 17000
1791)
1792position 1
1793ignorePrefs 1
1794titleBlock 1
1795)
1796]
1797shape (GroupingShape
1798uid 17,0
1799va (VaSet
1800vasetType 1
1801fg "65535,65535,65535"
1802lineStyle 2
1803lineWidth 2
1804)
1805xt "32000,44000,73000,49000"
1806)
1807oxt "14000,66000,55000,71000"
1808)
1809]
1810bg "65535,65535,65535"
1811grid (Grid
1812origin "0,0"
1813isVisible 1
1814isActive 1
1815xSpacing 1000
1816xySpacing 1000
1817xShown 1
1818yShown 1
1819color "26368,26368,26368"
1820)
1821packageList *103 (PackageList
1822uid 48,0
1823stg "VerticalLayoutStrategy"
1824textVec [
1825*104 (Text
1826uid 49,0
1827va (VaSet
1828font "Arial,8,1"
1829)
1830xt "0,0,5400,1000"
1831st "Package List"
1832blo "0,800"
1833)
1834*105 (MLText
1835uid 50,0
1836va (VaSet
1837)
1838xt "0,1000,16100,6000"
1839st "LIBRARY ieee;
1840USE ieee.std_logic_1164.all;
1841USE ieee.std_logic_arith.all;
1842LIBRARY FACT_FAD_lib;
1843USE FACT_FAD_lib.fad_definitions.all;"
1844tm "PackageList"
1845)
1846]
1847)
1848windowSize "264,255,1282,945"
1849viewArea "-9965,-7607,56352,40201"
1850cachedDiagramExtent "0,0,73000,49000"
1851hasePageBreakOrigin 1
1852pageBreakOrigin "0,0"
1853defaultCommentText (CommentText
1854shape (Rectangle
1855layer 0
1856va (VaSet
1857vasetType 1
1858fg "65280,65280,46080"
1859lineColor "0,0,32768"
1860)
1861xt "0,0,15000,5000"
1862)
1863text (MLText
1864va (VaSet
1865fg "0,0,32768"
1866)
1867xt "200,200,2400,1200"
1868st "
1869Text
1870"
1871tm "CommentText"
1872wrapOption 3
1873visibleHeight 4600
1874visibleWidth 14600
1875)
1876)
1877defaultPanel (Panel
1878shape (RectFrame
1879va (VaSet
1880vasetType 1
1881fg "65535,65535,65535"
1882lineColor "32768,0,0"
1883lineWidth 3
1884)
1885xt "0,0,20000,20000"
1886)
1887title (TextAssociate
1888ps "TopLeftStrategy"
1889text (Text
1890va (VaSet
1891font "Arial,8,1"
1892)
1893xt "1000,1000,3800,2000"
1894st "Panel0"
1895blo "1000,1800"
1896tm "PanelText"
1897)
1898)
1899)
1900parentGraphicsRef (HdmGraphicsRef
1901libraryName ""
1902entityName ""
1903viewName ""
1904)
1905defaultSymbolBody (SymbolBody
1906shape (Rectangle
1907va (VaSet
1908vasetType 1
1909fg "0,65535,0"
1910lineColor "0,32896,0"
1911lineWidth 2
1912)
1913xt "15000,6000,33000,26000"
1914)
1915biTextGroup (BiTextGroup
1916ps "CenterOffsetStrategy"
1917stg "VerticalLayoutStrategy"
1918first (Text
1919va (VaSet
1920font "Arial,8,1"
1921)
1922xt "22200,15000,25800,16000"
1923st "<library>"
1924blo "22200,15800"
1925)
1926second (Text
1927va (VaSet
1928font "Arial,8,1"
1929)
1930xt "22200,16000,24800,17000"
1931st "<cell>"
1932blo "22200,16800"
1933)
1934)
1935gi *106 (GenericInterface
1936ps "CenterOffsetStrategy"
1937matrix (Matrix
1938text (MLText
1939va (VaSet
1940font "Courier New,8,0"
1941)
1942xt "0,12000,11500,12800"
1943st "Generic Declarations"
1944)
1945header "Generic Declarations"
1946showHdrWhenContentsEmpty 1
1947)
1948elements [
1949]
1950)
1951portInstanceVisAsIs 1
1952portInstanceVis (PortSigDisplay
1953sIVOD 1
1954)
1955portVis (PortSigDisplay
1956sIVOD 1
1957)
1958)
1959defaultCptPort (CptPort
1960ps "OnEdgeStrategy"
1961shape (Triangle
1962ro 90
1963va (VaSet
1964vasetType 1
1965fg "0,65535,0"
1966)
1967xt "0,0,750,750"
1968)
1969tg (CPTG
1970ps "CptPortTextPlaceStrategy"
1971stg "VerticalLayoutStrategy"
1972f (Text
1973va (VaSet
1974)
1975xt "0,750,1400,1750"
1976st "In0"
1977blo "0,1550"
1978tm "CptPortNameMgr"
1979)
1980)
1981dt (MLText
1982va (VaSet
1983font "Courier New,8,0"
1984)
1985)
1986thePort (LogicalPort
1987decl (Decl
1988n "In0"
1989t "std_logic_vector"
1990b "(15 DOWNTO 0)"
1991o 0
1992)
1993)
1994)
1995defaultCptPortBuffer (CptPort
1996ps "OnEdgeStrategy"
1997shape (Diamond
1998va (VaSet
1999vasetType 1
2000fg "65535,65535,65535"
2001bg "0,0,0"
2002)
2003xt "0,0,750,750"
2004)
2005tg (CPTG
2006ps "CptPortTextPlaceStrategy"
2007stg "VerticalLayoutStrategy"
2008f (Text
2009va (VaSet
2010)
2011xt "0,750,2800,1750"
2012st "Buffer0"
2013blo "0,1550"
2014tm "CptPortNameMgr"
2015)
2016)
2017dt (MLText
2018va (VaSet
2019font "Courier New,8,0"
2020)
2021)
2022thePort (LogicalPort
2023m 3
2024decl (Decl
2025n "Buffer0"
2026t "std_logic_vector"
2027b "(15 DOWNTO 0)"
2028o 0
2029)
2030)
2031)
2032DeclarativeBlock *107 (SymDeclBlock
2033uid 1,0
2034stg "SymDeclLayoutStrategy"
2035declLabel (Text
2036uid 2,0
2037va (VaSet
2038font "Arial,8,1"
2039)
2040xt "42000,0,47400,1000"
2041st "Declarations"
2042blo "42000,800"
2043)
2044portLabel (Text
2045uid 3,0
2046va (VaSet
2047font "Arial,8,1"
2048)
2049xt "42000,1000,44700,2000"
2050st "Ports:"
2051blo "42000,1800"
2052)
2053externalLabel (Text
2054uid 4,0
2055va (VaSet
2056font "Arial,8,1"
2057)
2058xt "42000,12400,44400,13400"
2059st "User:"
2060blo "42000,13200"
2061)
2062internalLabel (Text
2063uid 6,0
2064va (VaSet
2065isHidden 1
2066font "Arial,8,1"
2067)
2068xt "42000,0,47800,1000"
2069st "Internal User:"
2070blo "42000,800"
2071)
2072externalText (MLText
2073uid 5,0
2074va (VaSet
2075font "Courier New,8,0"
2076)
2077xt "44000,13400,44000,13400"
2078tm "SyDeclarativeTextMgr"
2079)
2080internalText (MLText
2081uid 7,0
2082va (VaSet
2083isHidden 1
2084font "Courier New,8,0"
2085)
2086xt "42000,0,42000,0"
2087tm "SyDeclarativeTextMgr"
2088)
2089)
2090lastUid 2052,0
2091okToSyncOnLoad 1
2092OkToSyncGenericsOnLoad 1
2093activeModelName "Symbol"
2094)
Note: See TracBrowser for help on using the repository browser.