source: firmware/FAD/FACT_FAD_lib/hds/trigger_counter/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 20.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_unsigned"
16)
17]
18libraryRefs [
19"ieee"
20]
21)
22version "24.1"
23appVersion "2009.1 (Build 12)"
24model (Symbol
25commonDM (CommonDM
26ldm (LogicalDM
27ordering 1
28suid 4,0
29usingSuid 1
30emptyRow *1 (LEmptyRow
31)
32uid 70,0
33optionalChildren [
34*2 (RefLabelRowHdr
35)
36*3 (TitleRowHdr
37)
38*4 (FilterRowHdr
39)
40*5 (RefLabelColHdr
41tm "RefLabelColHdrMgr"
42)
43*6 (RowExpandColHdr
44tm "RowExpandColHdrMgr"
45)
46*7 (GroupColHdr
47tm "GroupColHdrMgr"
48)
49*8 (NameColHdr
50tm "NameColHdrMgr"
51)
52*9 (ModeColHdr
53tm "ModeColHdrMgr"
54)
55*10 (TypeColHdr
56tm "TypeColHdrMgr"
57)
58*11 (BoundsColHdr
59tm "BoundsColHdrMgr"
60)
61*12 (InitColHdr
62tm "InitColHdrMgr"
63)
64*13 (EolColHdr
65tm "EolColHdrMgr"
66)
67*14 (LogPort
68port (LogicalPort
69lang 2
70m 1
71decl (Decl
72n "trigger_id"
73t "std_logic_vector"
74b "(31 downto 0)"
75preAdd 0
76posAdd 0
77o 1
78suid 1,0
79)
80)
81uid 61,0
82)
83*15 (LogPort
84port (LogicalPort
85lang 2
86decl (Decl
87n "trigger"
88t "std_logic"
89preAdd 0
90posAdd 0
91o 2
92suid 2,0
93)
94)
95uid 63,0
96)
97*16 (LogPort
98port (LogicalPort
99lang 2
100decl (Decl
101n "clk"
102t "std_logic"
103o 4
104suid 3,0
105)
106)
107uid 153,0
108)
109*17 (LogPort
110port (LogicalPort
111lang 2
112decl (Decl
113n "reset"
114t "std_logic"
115o 3
116suid 4,0
117)
118)
119uid 229,0
120)
121]
122)
123pdm (PhysicalDM
124displayShortBounds 1
125editShortBounds 1
126uid 83,0
127optionalChildren [
128*18 (Sheet
129sheetRow (SheetRow
130headerVa (MVa
131cellColor "49152,49152,49152"
132fontColor "0,0,0"
133font "Tahoma,10,0"
134)
135cellVa (MVa
136cellColor "65535,65535,65535"
137fontColor "0,0,0"
138font "Tahoma,10,0"
139)
140groupVa (MVa
141cellColor "39936,56832,65280"
142fontColor "0,0,0"
143font "Tahoma,10,0"
144)
145emptyMRCItem *19 (MRCItem
146litem &1
147pos 3
148dimension 20
149)
150uid 85,0
151optionalChildren [
152*20 (MRCItem
153litem &2
154pos 0
155dimension 20
156uid 86,0
157)
158*21 (MRCItem
159litem &3
160pos 1
161dimension 23
162uid 87,0
163)
164*22 (MRCItem
165litem &4
166pos 2
167hidden 1
168dimension 20
169uid 88,0
170)
171*23 (MRCItem
172litem &14
173pos 0
174dimension 20
175uid 62,0
176)
177*24 (MRCItem
178litem &15
179pos 1
180dimension 20
181uid 64,0
182)
183*25 (MRCItem
184litem &16
185pos 2
186dimension 20
187uid 154,0
188)
189*26 (MRCItem
190litem &17
191pos 3
192dimension 20
193uid 230,0
194)
195]
196)
197sheetCol (SheetCol
198propVa (MVa
199cellColor "0,49152,49152"
200fontColor "0,0,0"
201font "Tahoma,10,0"
202textAngle 90
203)
204uid 89,0
205optionalChildren [
206*27 (MRCItem
207litem &5
208pos 0
209dimension 20
210uid 90,0
211)
212*28 (MRCItem
213litem &7
214pos 1
215dimension 50
216uid 91,0
217)
218*29 (MRCItem
219litem &8
220pos 2
221dimension 100
222uid 92,0
223)
224*30 (MRCItem
225litem &9
226pos 3
227dimension 50
228uid 93,0
229)
230*31 (MRCItem
231litem &10
232pos 4
233dimension 100
234uid 94,0
235)
236*32 (MRCItem
237litem &11
238pos 5
239dimension 100
240uid 95,0
241)
242*33 (MRCItem
243litem &12
244pos 6
245dimension 50
246uid 96,0
247)
248*34 (MRCItem
249litem &13
250pos 7
251dimension 80
252uid 97,0
253)
254]
255)
256fixedCol 4
257fixedRow 2
258name "Ports"
259uid 84,0
260vaOverrides [
261]
262)
263]
264)
265uid 69,0
266)
267genericsCommonDM (CommonDM
268ldm (LogicalDM
269emptyRow *35 (LEmptyRow
270)
271uid 99,0
272optionalChildren [
273*36 (RefLabelRowHdr
274)
275*37 (TitleRowHdr
276)
277*38 (FilterRowHdr
278)
279*39 (RefLabelColHdr
280tm "RefLabelColHdrMgr"
281)
282*40 (RowExpandColHdr
283tm "RowExpandColHdrMgr"
284)
285*41 (GroupColHdr
286tm "GroupColHdrMgr"
287)
288*42 (NameColHdr
289tm "GenericNameColHdrMgr"
290)
291*43 (TypeColHdr
292tm "GenericTypeColHdrMgr"
293)
294*44 (InitColHdr
295tm "GenericValueColHdrMgr"
296)
297*45 (PragmaColHdr
298tm "GenericPragmaColHdrMgr"
299)
300*46 (EolColHdr
301tm "GenericEolColHdrMgr"
302)
303]
304)
305pdm (PhysicalDM
306displayShortBounds 1
307editShortBounds 1
308uid 111,0
309optionalChildren [
310*47 (Sheet
311sheetRow (SheetRow
312headerVa (MVa
313cellColor "49152,49152,49152"
314fontColor "0,0,0"
315font "Tahoma,10,0"
316)
317cellVa (MVa
318cellColor "65535,65535,65535"
319fontColor "0,0,0"
320font "Tahoma,10,0"
321)
322groupVa (MVa
323cellColor "39936,56832,65280"
324fontColor "0,0,0"
325font "Tahoma,10,0"
326)
327emptyMRCItem *48 (MRCItem
328litem &35
329pos 3
330dimension 20
331)
332uid 113,0
333optionalChildren [
334*49 (MRCItem
335litem &36
336pos 0
337dimension 20
338uid 114,0
339)
340*50 (MRCItem
341litem &37
342pos 1
343dimension 23
344uid 115,0
345)
346*51 (MRCItem
347litem &38
348pos 2
349hidden 1
350dimension 20
351uid 116,0
352)
353]
354)
355sheetCol (SheetCol
356propVa (MVa
357cellColor "0,49152,49152"
358fontColor "0,0,0"
359font "Tahoma,10,0"
360textAngle 90
361)
362uid 117,0
363optionalChildren [
364*52 (MRCItem
365litem &39
366pos 0
367dimension 20
368uid 118,0
369)
370*53 (MRCItem
371litem &41
372pos 1
373dimension 50
374uid 119,0
375)
376*54 (MRCItem
377litem &42
378pos 2
379dimension 100
380uid 120,0
381)
382*55 (MRCItem
383litem &43
384pos 3
385dimension 100
386uid 121,0
387)
388*56 (MRCItem
389litem &44
390pos 4
391dimension 50
392uid 122,0
393)
394*57 (MRCItem
395litem &45
396pos 5
397dimension 50
398uid 123,0
399)
400*58 (MRCItem
401litem &46
402pos 6
403dimension 80
404uid 124,0
405)
406]
407)
408fixedCol 3
409fixedRow 2
410name "Ports"
411uid 112,0
412vaOverrides [
413]
414)
415]
416)
417uid 98,0
418type 1
419)
420VExpander (VariableExpander
421vvMap [
422(vvPair
423variable "HDLDir"
424value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
425)
426(vvPair
427variable "HDSDir"
428value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
429)
430(vvPair
431variable "SideDataDesignDir"
432value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb.info"
433)
434(vvPair
435variable "SideDataUserDir"
436value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb.user"
437)
438(vvPair
439variable "SourceDir"
440value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
441)
442(vvPair
443variable "appl"
444value "HDL Designer"
445)
446(vvPair
447variable "arch_name"
448value "symbol"
449)
450(vvPair
451variable "config"
452value "%(unit)_%(view)_config"
453)
454(vvPair
455variable "d"
456value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter"
457)
458(vvPair
459variable "d_logical"
460value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter"
461)
462(vvPair
463variable "date"
464value "03.03.2011"
465)
466(vvPair
467variable "day"
468value "Do"
469)
470(vvPair
471variable "day_long"
472value "Donnerstag"
473)
474(vvPair
475variable "dd"
476value "03"
477)
478(vvPair
479variable "entity_name"
480value "trigger_counter"
481)
482(vvPair
483variable "ext"
484value "<TBD>"
485)
486(vvPair
487variable "f"
488value "symbol.sb"
489)
490(vvPair
491variable "f_logical"
492value "symbol.sb"
493)
494(vvPair
495variable "f_noext"
496value "symbol"
497)
498(vvPair
499variable "group"
500value "UNKNOWN"
501)
502(vvPair
503variable "host"
504value "IHP110"
505)
506(vvPair
507variable "language"
508value "VHDL"
509)
510(vvPair
511variable "library"
512value "FACT_FAD_lib"
513)
514(vvPair
515variable "library_downstream_HdsLintPlugin"
516value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
517)
518(vvPair
519variable "library_downstream_ISEPARInvoke"
520value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
521)
522(vvPair
523variable "library_downstream_ImpactInvoke"
524value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
525)
526(vvPair
527variable "library_downstream_ModelSimCompiler"
528value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
529)
530(vvPair
531variable "library_downstream_XSTDataPrep"
532value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
533)
534(vvPair
535variable "mm"
536value "03"
537)
538(vvPair
539variable "module_name"
540value "trigger_counter"
541)
542(vvPair
543variable "month"
544value "Mrz"
545)
546(vvPair
547variable "month_long"
548value "März"
549)
550(vvPair
551variable "p"
552value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb"
553)
554(vvPair
555variable "p_logical"
556value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\trigger_counter\\symbol.sb"
557)
558(vvPair
559variable "package_name"
560value "<Undefined Variable>"
561)
562(vvPair
563variable "project_name"
564value "FACT_FAD"
565)
566(vvPair
567variable "series"
568value "HDL Designer Series"
569)
570(vvPair
571variable "task_DesignCompilerPath"
572value "<TBD>"
573)
574(vvPair
575variable "task_LeonardoPath"
576value "<TBD>"
577)
578(vvPair
579variable "task_ModelSimPath"
580value "D:\\modeltech_6.5e\\win32"
581)
582(vvPair
583variable "task_NC-SimPath"
584value "<TBD>"
585)
586(vvPair
587variable "task_PrecisionRTLPath"
588value "<TBD>"
589)
590(vvPair
591variable "task_QuestaSimPath"
592value "<TBD>"
593)
594(vvPair
595variable "task_VCSPath"
596value "<TBD>"
597)
598(vvPair
599variable "this_ext"
600value "sb"
601)
602(vvPair
603variable "this_file"
604value "symbol"
605)
606(vvPair
607variable "this_file_logical"
608value "symbol"
609)
610(vvPair
611variable "time"
612value "09:53:29"
613)
614(vvPair
615variable "unit"
616value "trigger_counter"
617)
618(vvPair
619variable "user"
620value "daqct3"
621)
622(vvPair
623variable "version"
624value "2009.1 (Build 12)"
625)
626(vvPair
627variable "view"
628value "symbol"
629)
630(vvPair
631variable "year"
632value "2011"
633)
634(vvPair
635variable "yy"
636value "11"
637)
638]
639)
640LanguageMgr "VhdlLangMgr"
641uid 68,0
642optionalChildren [
643*59 (SymbolBody
644uid 8,0
645optionalChildren [
646*60 (CptPort
647uid 48,0
648ps "OnEdgeStrategy"
649shape (Triangle
650uid 49,0
651ro 90
652va (VaSet
653vasetType 1
654fg "0,65535,0"
655)
656xt "43000,3625,43750,4375"
657)
658tg (CPTG
659uid 50,0
660ps "CptPortTextPlaceStrategy"
661stg "RightVerticalLayoutStrategy"
662f (Text
663uid 51,0
664va (VaSet
665)
666xt "37300,3500,42000,4500"
667st "trigger_id"
668ju 2
669blo "42000,4300"
670tm "CptPortNameMgr"
671)
672)
673dt (MLText
674uid 52,0
675va (VaSet
676font "Courier New,8,0"
677)
678xt "2000,9000,30000,9800"
679st "trigger_id : OUT std_logic_vector (31 downto 0) ;
680"
681)
682thePort (LogicalPort
683lang 2
684m 1
685decl (Decl
686n "trigger_id"
687t "std_logic_vector"
688b "(31 downto 0)"
689preAdd 0
690posAdd 0
691o 1
692suid 1,0
693)
694)
695)
696*61 (CptPort
697uid 53,0
698ps "OnEdgeStrategy"
699shape (Triangle
700uid 54,0
701ro 90
702va (VaSet
703vasetType 1
704fg "0,65535,0"
705)
706xt "31250,3625,32000,4375"
707)
708tg (CPTG
709uid 55,0
710ps "CptPortTextPlaceStrategy"
711stg "VerticalLayoutStrategy"
712f (Text
713uid 56,0
714va (VaSet
715)
716xt "33000,3500,36000,4500"
717st "trigger"
718blo "33000,4300"
719tm "CptPortNameMgr"
720)
721)
722dt (MLText
723uid 57,0
724va (VaSet
725font "Courier New,8,0"
726)
727xt "2000,9800,19500,10600"
728st "trigger : IN std_logic ;
729"
730)
731thePort (LogicalPort
732lang 2
733decl (Decl
734n "trigger"
735t "std_logic"
736preAdd 0
737posAdd 0
738o 2
739suid 2,0
740)
741)
742)
743*62 (CptPort
744uid 148,0
745ps "OnEdgeStrategy"
746shape (Triangle
747uid 149,0
748ro 90
749va (VaSet
750vasetType 1
751fg "0,65535,0"
752)
753xt "31250,4625,32000,5375"
754)
755tg (CPTG
756uid 150,0
757ps "CptPortTextPlaceStrategy"
758stg "VerticalLayoutStrategy"
759f (Text
760uid 151,0
761va (VaSet
762)
763xt "33000,4500,34500,5500"
764st "clk"
765blo "33000,5300"
766tm "CptPortNameMgr"
767)
768)
769dt (MLText
770uid 152,0
771va (VaSet
772font "Courier New,8,0"
773)
774xt "2000,11400,18500,12200"
775st "clk : IN std_logic
776"
777)
778thePort (LogicalPort
779lang 2
780decl (Decl
781n "clk"
782t "std_logic"
783o 4
784suid 3,0
785)
786)
787)
788*63 (CptPort
789uid 224,0
790ps "OnEdgeStrategy"
791shape (Triangle
792uid 225,0
793ro 90
794va (VaSet
795vasetType 1
796fg "0,65535,0"
797)
798xt "31250,5625,32000,6375"
799)
800tg (CPTG
801uid 226,0
802ps "CptPortTextPlaceStrategy"
803stg "VerticalLayoutStrategy"
804f (Text
805uid 227,0
806va (VaSet
807)
808xt "33000,5500,35400,6500"
809st "reset"
810blo "33000,6300"
811tm "CptPortNameMgr"
812)
813)
814dt (MLText
815uid 228,0
816va (VaSet
817font "Courier New,8,0"
818)
819xt "2000,10600,19500,11400"
820st "reset : IN std_logic ;
821"
822)
823thePort (LogicalPort
824lang 2
825decl (Decl
826n "reset"
827t "std_logic"
828o 3
829suid 4,0
830)
831)
832)
833]
834shape (Rectangle
835uid 9,0
836va (VaSet
837vasetType 1
838fg "0,65535,0"
839lineColor "0,32896,0"
840lineWidth 2
841)
842xt "32000,2000,43000,12000"
843)
844biTextGroup (BiTextGroup
845uid 10,0
846ps "CenterOffsetStrategy"
847stg "VerticalLayoutStrategy"
848first (Text
849uid 11,0
850va (VaSet
851font "Arial,8,1"
852)
853xt "35700,6000,41900,7000"
854st "FACT_FAD_lib"
855blo "35700,6800"
856)
857second (Text
858uid 12,0
859va (VaSet
860font "Arial,8,1"
861)
862xt "35700,7000,42300,8000"
863st "trigger_counter"
864blo "35700,7800"
865)
866)
867gi *64 (GenericInterface
868uid 13,0
869ps "CenterOffsetStrategy"
870matrix (Matrix
871uid 14,0
872text (MLText
873uid 15,0
874va (VaSet
875font "Courier New,8,0"
876)
877xt "32000,200,43500,1000"
878st "Generic Declarations"
879)
880header "Generic Declarations"
881showHdrWhenContentsEmpty 1
882)
883elements [
884]
885)
886portInstanceVisAsIs 1
887portInstanceVis (PortSigDisplay
888sIVOD 1
889)
890portVis (PortSigDisplay
891sTC 0
892sF 0
893)
894)
895*65 (Grouping
896uid 16,0
897optionalChildren [
898*66 (CommentText
899uid 18,0
900shape (Rectangle
901uid 19,0
902sl 0
903va (VaSet
904vasetType 1
905fg "65280,65280,46080"
906)
907xt "36000,20000,53000,21000"
908)
909oxt "18000,70000,35000,71000"
910text (MLText
911uid 20,0
912va (VaSet
913fg "0,0,32768"
914bg "0,0,32768"
915)
916xt "36200,20000,46700,21000"
917st "
918by %user on %dd %month %year
919"
920tm "CommentText"
921wrapOption 3
922visibleHeight 1000
923visibleWidth 17000
924)
925position 1
926ignorePrefs 1
927titleBlock 1
928)
929*67 (CommentText
930uid 21,0
931shape (Rectangle
932uid 22,0
933sl 0
934va (VaSet
935vasetType 1
936fg "65280,65280,46080"
937)
938xt "53000,16000,57000,17000"
939)
940oxt "35000,66000,39000,67000"
941text (MLText
942uid 23,0
943va (VaSet
944fg "0,0,32768"
945bg "0,0,32768"
946)
947xt "53200,16000,56500,17000"
948st "
949Project:
950"
951tm "CommentText"
952wrapOption 3
953visibleHeight 1000
954visibleWidth 4000
955)
956position 1
957ignorePrefs 1
958titleBlock 1
959)
960*68 (CommentText
961uid 24,0
962shape (Rectangle
963uid 25,0
964sl 0
965va (VaSet
966vasetType 1
967fg "65280,65280,46080"
968)
969xt "36000,18000,53000,19000"
970)
971oxt "18000,68000,35000,69000"
972text (MLText
973uid 26,0
974va (VaSet
975fg "0,0,32768"
976bg "0,0,32768"
977)
978xt "36200,18000,47100,19000"
979st "
980<enter diagram title here>
981"
982tm "CommentText"
983wrapOption 3
984visibleHeight 1000
985visibleWidth 17000
986)
987position 1
988ignorePrefs 1
989titleBlock 1
990)
991*69 (CommentText
992uid 27,0
993shape (Rectangle
994uid 28,0
995sl 0
996va (VaSet
997vasetType 1
998fg "65280,65280,46080"
999)
1000xt "32000,18000,36000,19000"
1001)
1002oxt "14000,68000,18000,69000"
1003text (MLText
1004uid 29,0
1005va (VaSet
1006fg "0,0,32768"
1007bg "0,0,32768"
1008)
1009xt "32200,18000,34500,19000"
1010st "
1011Title:
1012"
1013tm "CommentText"
1014wrapOption 3
1015visibleHeight 1000
1016visibleWidth 4000
1017)
1018position 1
1019ignorePrefs 1
1020titleBlock 1
1021)
1022*70 (CommentText
1023uid 30,0
1024shape (Rectangle
1025uid 31,0
1026sl 0
1027va (VaSet
1028vasetType 1
1029fg "65280,65280,46080"
1030)
1031xt "53000,17000,73000,21000"
1032)
1033oxt "35000,67000,55000,71000"
1034text (MLText
1035uid 32,0
1036va (VaSet
1037fg "0,0,32768"
1038bg "0,0,32768"
1039)
1040xt "53200,17200,63000,18200"
1041st "
1042<enter comments here>
1043"
1044tm "CommentText"
1045wrapOption 3
1046visibleHeight 4000
1047visibleWidth 20000
1048)
1049ignorePrefs 1
1050titleBlock 1
1051)
1052*71 (CommentText
1053uid 33,0
1054shape (Rectangle
1055uid 34,0
1056sl 0
1057va (VaSet
1058vasetType 1
1059fg "65280,65280,46080"
1060)
1061xt "57000,16000,73000,17000"
1062)
1063oxt "39000,66000,55000,67000"
1064text (MLText
1065uid 35,0
1066va (VaSet
1067fg "0,0,32768"
1068bg "0,0,32768"
1069)
1070xt "57200,16000,61900,17000"
1071st "
1072%project_name
1073"
1074tm "CommentText"
1075wrapOption 3
1076visibleHeight 1000
1077visibleWidth 16000
1078)
1079position 1
1080ignorePrefs 1
1081titleBlock 1
1082)
1083*72 (CommentText
1084uid 36,0
1085shape (Rectangle
1086uid 37,0
1087sl 0
1088va (VaSet
1089vasetType 1
1090fg "65280,65280,46080"
1091)
1092xt "32000,16000,53000,18000"
1093)
1094oxt "14000,66000,35000,68000"
1095text (MLText
1096uid 38,0
1097va (VaSet
1098fg "32768,0,0"
1099)
1100xt "39200,16500,45800,17500"
1101st "
1102<company name>
1103"
1104ju 0
1105tm "CommentText"
1106wrapOption 3
1107visibleHeight 2000
1108visibleWidth 21000
1109)
1110position 1
1111ignorePrefs 1
1112titleBlock 1
1113)
1114*73 (CommentText
1115uid 39,0
1116shape (Rectangle
1117uid 40,0
1118sl 0
1119va (VaSet
1120vasetType 1
1121fg "65280,65280,46080"
1122)
1123xt "32000,19000,36000,20000"
1124)
1125oxt "14000,69000,18000,70000"
1126text (MLText
1127uid 41,0
1128va (VaSet
1129fg "0,0,32768"
1130bg "0,0,32768"
1131)
1132xt "32200,19000,34500,20000"
1133st "
1134Path:
1135"
1136tm "CommentText"
1137wrapOption 3
1138visibleHeight 1000
1139visibleWidth 4000
1140)
1141position 1
1142ignorePrefs 1
1143titleBlock 1
1144)
1145*74 (CommentText
1146uid 42,0
1147shape (Rectangle
1148uid 43,0
1149sl 0
1150va (VaSet
1151vasetType 1
1152fg "65280,65280,46080"
1153)
1154xt "32000,20000,36000,21000"
1155)
1156oxt "14000,70000,18000,71000"
1157text (MLText
1158uid 44,0
1159va (VaSet
1160fg "0,0,32768"
1161bg "0,0,32768"
1162)
1163xt "32200,20000,35300,21000"
1164st "
1165Edited:
1166"
1167tm "CommentText"
1168wrapOption 3
1169visibleHeight 1000
1170visibleWidth 4000
1171)
1172position 1
1173ignorePrefs 1
1174titleBlock 1
1175)
1176*75 (CommentText
1177uid 45,0
1178shape (Rectangle
1179uid 46,0
1180sl 0
1181va (VaSet
1182vasetType 1
1183fg "65280,65280,46080"
1184)
1185xt "36000,19000,53000,20000"
1186)
1187oxt "18000,69000,35000,70000"
1188text (MLText
1189uid 47,0
1190va (VaSet
1191fg "0,0,32768"
1192bg "0,0,32768"
1193)
1194xt "36200,19000,52500,20000"
1195st "
1196%library/%unit/%view
1197"
1198tm "CommentText"
1199wrapOption 3
1200visibleHeight 1000
1201visibleWidth 17000
1202)
1203position 1
1204ignorePrefs 1
1205titleBlock 1
1206)
1207]
1208shape (GroupingShape
1209uid 17,0
1210va (VaSet
1211vasetType 1
1212fg "65535,65535,65535"
1213lineStyle 2
1214lineWidth 2
1215)
1216xt "32000,16000,73000,21000"
1217)
1218oxt "14000,66000,55000,71000"
1219)
1220*76 (CommentText
1221uid 58,0
1222shape (Rectangle
1223uid 59,0
1224layer 0
1225va (VaSet
1226vasetType 1
1227fg "65280,65280,46080"
1228lineColor "0,0,32768"
1229)
1230xt "0,-6000,33000,0"
1231)
1232text (MLText
1233uid 60,0
1234va (VaSet
1235fg "0,0,32768"
1236font "Arial,10,0"
1237)
1238xt "200,-5800,32200,-600"
1239st "
1240Created using Mentor Graphics HDL2Graphics(TM) Technology
1241on - 08:12:29 12.02.2010
1242from - D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FAD_FACT_TB_lib\\hdl\\trigger_counter_beha.vhd
1243
1244"
1245tm "CommentText"
1246wrapOption 3
1247visibleHeight 5600
1248visibleWidth 32600
1249)
1250)
1251]
1252bg "65535,65535,65535"
1253grid (Grid
1254origin "0,0"
1255isVisible 1
1256isActive 1
1257xSpacing 1000
1258xySpacing 1000
1259xShown 1
1260yShown 1
1261color "26368,26368,26368"
1262)
1263packageList *77 (PackageList
1264uid 65,0
1265stg "VerticalLayoutStrategy"
1266textVec [
1267*78 (Text
1268uid 66,0
1269va (VaSet
1270font "arial,8,1"
1271)
1272xt "0,1000,5400,2000"
1273st "Package List"
1274blo "0,1800"
1275)
1276*79 (MLText
1277uid 67,0
1278va (VaSet
1279)
1280xt "0,2000,12600,6000"
1281st "LIBRARY ieee;
1282USE ieee.std_logic_1164.all;
1283use ieee.std_logic_arith.all;
1284use ieee.std_logic_unsigned.all;"
1285tm "PackageList"
1286)
1287]
1288)
1289windowSize "0,0,1015,690"
1290viewArea "0,0,0,0"
1291cachedDiagramExtent "0,0,0,0"
1292pageBreakOrigin "0,0"
1293defaultCommentText (CommentText
1294shape (Rectangle
1295layer 0
1296va (VaSet
1297vasetType 1
1298fg "65280,65280,46080"
1299lineColor "0,0,32768"
1300)
1301xt "0,0,15000,5000"
1302)
1303text (MLText
1304va (VaSet
1305fg "0,0,32768"
1306)
1307xt "200,200,2400,1200"
1308st "
1309Text
1310"
1311tm "CommentText"
1312wrapOption 3
1313visibleHeight 4600
1314visibleWidth 14600
1315)
1316)
1317defaultPanel (Panel
1318shape (RectFrame
1319va (VaSet
1320vasetType 1
1321fg "65535,65535,65535"
1322lineColor "32768,0,0"
1323lineWidth 3
1324)
1325xt "0,0,20000,20000"
1326)
1327title (TextAssociate
1328ps "TopLeftStrategy"
1329text (Text
1330va (VaSet
1331font "Arial,8,1"
1332)
1333xt "1000,1000,3800,2000"
1334st "Panel0"
1335blo "1000,1800"
1336tm "PanelText"
1337)
1338)
1339)
1340parentGraphicsRef (HdmGraphicsRef
1341libraryName ""
1342entityName ""
1343viewName ""
1344)
1345defaultSymbolBody (SymbolBody
1346shape (Rectangle
1347va (VaSet
1348vasetType 1
1349fg "0,65535,0"
1350lineColor "0,32896,0"
1351lineWidth 2
1352)
1353xt "15000,6000,33000,26000"
1354)
1355biTextGroup (BiTextGroup
1356ps "CenterOffsetStrategy"
1357stg "VerticalLayoutStrategy"
1358first (Text
1359va (VaSet
1360font "Arial,8,1"
1361)
1362xt "22200,15000,25800,16000"
1363st "<library>"
1364blo "22200,15800"
1365)
1366second (Text
1367va (VaSet
1368font "Arial,8,1"
1369)
1370xt "22200,16000,24800,17000"
1371st "<cell>"
1372blo "22200,16800"
1373)
1374)
1375gi *80 (GenericInterface
1376ps "CenterOffsetStrategy"
1377matrix (Matrix
1378text (MLText
1379va (VaSet
1380font "Courier New,8,0"
1381)
1382xt "0,12000,11500,12800"
1383st "Generic Declarations"
1384)
1385header "Generic Declarations"
1386showHdrWhenContentsEmpty 1
1387)
1388elements [
1389]
1390)
1391portInstanceVisAsIs 1
1392portInstanceVis (PortSigDisplay
1393sIVOD 1
1394)
1395portVis (PortSigDisplay
1396sIVOD 1
1397)
1398)
1399defaultCptPort (CptPort
1400ps "OnEdgeStrategy"
1401shape (Triangle
1402ro 90
1403va (VaSet
1404vasetType 1
1405fg "0,65535,0"
1406)
1407xt "0,0,750,750"
1408)
1409tg (CPTG
1410ps "CptPortTextPlaceStrategy"
1411stg "VerticalLayoutStrategy"
1412f (Text
1413va (VaSet
1414)
1415xt "0,750,1400,1750"
1416st "In0"
1417blo "0,1550"
1418tm "CptPortNameMgr"
1419)
1420)
1421dt (MLText
1422va (VaSet
1423font "Courier New,8,0"
1424)
1425)
1426thePort (LogicalPort
1427lang 2
1428decl (Decl
1429n "In0"
1430t "std_logic_vector"
1431b "(15 DOWNTO 0)"
1432o 0
1433)
1434)
1435)
1436defaultCptPortBuffer (CptPort
1437ps "OnEdgeStrategy"
1438shape (Diamond
1439va (VaSet
1440vasetType 1
1441fg "65535,65535,65535"
1442bg "0,0,0"
1443)
1444xt "0,0,750,750"
1445)
1446tg (CPTG
1447ps "CptPortTextPlaceStrategy"
1448stg "VerticalLayoutStrategy"
1449f (Text
1450va (VaSet
1451)
1452xt "0,750,2800,1750"
1453st "Buffer0"
1454blo "0,1550"
1455tm "CptPortNameMgr"
1456)
1457)
1458dt (MLText
1459va (VaSet
1460font "Courier New,8,0"
1461)
1462)
1463thePort (LogicalPort
1464lang 2
1465m 3
1466decl (Decl
1467n "Buffer0"
1468t "std_logic_vector"
1469b "(15 DOWNTO 0)"
1470o 0
1471)
1472)
1473)
1474DeclarativeBlock *81 (SymDeclBlock
1475uid 1,0
1476stg "SymDeclLayoutStrategy"
1477declLabel (Text
1478uid 2,0
1479va (VaSet
1480font "Arial,8,1"
1481)
1482xt "0,7000,5400,8000"
1483st "Declarations"
1484blo "0,7800"
1485)
1486portLabel (Text
1487uid 3,0
1488va (VaSet
1489font "Arial,8,1"
1490)
1491xt "0,8000,2700,9000"
1492st "Ports:"
1493blo "0,8800"
1494)
1495externalLabel (Text
1496uid 4,0
1497va (VaSet
1498font "Arial,8,1"
1499)
1500xt "0,12200,2400,13200"
1501st "User:"
1502blo "0,13000"
1503)
1504internalLabel (Text
1505uid 6,0
1506va (VaSet
1507isHidden 1
1508font "Arial,8,1"
1509)
1510xt "0,7000,5800,8000"
1511st "Internal User:"
1512blo "0,7800"
1513)
1514externalText (MLText
1515uid 5,0
1516va (VaSet
1517font "Courier New,8,0"
1518)
1519xt "2000,13200,2000,13200"
1520tm "SyDeclarativeTextMgr"
1521)
1522internalText (MLText
1523uid 7,0
1524va (VaSet
1525isHidden 1
1526font "Courier New,8,0"
1527)
1528xt "0,7000,0,7000"
1529tm "SyDeclarativeTextMgr"
1530)
1531)
1532lastUid 230,0
1533activeModelName "Symbol:CDM"
1534)
Note: See TracBrowser for help on using the repository browser.