1 | DocumentHdrVersion "1.1"
|
---|
2 | Header (DocumentHdr
|
---|
3 | version 2
|
---|
4 | dmPackageRefs [
|
---|
5 | (DmPackageRef
|
---|
6 | library "IEEE"
|
---|
7 | unitName "STD_LOGIC_1164"
|
---|
8 | itemName "ALL"
|
---|
9 | )
|
---|
10 | (DmPackageRef
|
---|
11 | library "IEEE"
|
---|
12 | unitName "STD_LOGIC_ARITH"
|
---|
13 | itemName "ALL"
|
---|
14 | )
|
---|
15 | (DmPackageRef
|
---|
16 | library "IEEE"
|
---|
17 | unitName "STD_LOGIC_UNSIGNED"
|
---|
18 | itemName "ALL"
|
---|
19 | )
|
---|
20 | (DmPackageRef
|
---|
21 | library "FACT_FAD_lib"
|
---|
22 | unitName "fad_definitions"
|
---|
23 | itemName "ALL"
|
---|
24 | )
|
---|
25 | ]
|
---|
26 | libraryRefs [
|
---|
27 | "IEEE"
|
---|
28 | "FACT_FAD_lib"
|
---|
29 | ]
|
---|
30 | )
|
---|
31 | version "24.1"
|
---|
32 | appVersion "2009.1 (Build 12)"
|
---|
33 | model (Symbol
|
---|
34 | commonDM (CommonDM
|
---|
35 | ldm (LogicalDM
|
---|
36 | ordering 1
|
---|
37 | suid 77,0
|
---|
38 | usingSuid 1
|
---|
39 | emptyRow *1 (LEmptyRow
|
---|
40 | )
|
---|
41 | uid 175,0
|
---|
42 | optionalChildren [
|
---|
43 | *2 (RefLabelRowHdr
|
---|
44 | )
|
---|
45 | *3 (TitleRowHdr
|
---|
46 | )
|
---|
47 | *4 (FilterRowHdr
|
---|
48 | )
|
---|
49 | *5 (RefLabelColHdr
|
---|
50 | tm "RefLabelColHdrMgr"
|
---|
51 | )
|
---|
52 | *6 (RowExpandColHdr
|
---|
53 | tm "RowExpandColHdrMgr"
|
---|
54 | )
|
---|
55 | *7 (GroupColHdr
|
---|
56 | tm "GroupColHdrMgr"
|
---|
57 | )
|
---|
58 | *8 (NameColHdr
|
---|
59 | tm "NameColHdrMgr"
|
---|
60 | )
|
---|
61 | *9 (ModeColHdr
|
---|
62 | tm "ModeColHdrMgr"
|
---|
63 | )
|
---|
64 | *10 (TypeColHdr
|
---|
65 | tm "TypeColHdrMgr"
|
---|
66 | )
|
---|
67 | *11 (BoundsColHdr
|
---|
68 | tm "BoundsColHdrMgr"
|
---|
69 | )
|
---|
70 | *12 (InitColHdr
|
---|
71 | tm "InitColHdrMgr"
|
---|
72 | )
|
---|
73 | *13 (EolColHdr
|
---|
74 | tm "EolColHdrMgr"
|
---|
75 | )
|
---|
76 | *14 (LogPort
|
---|
77 | port (LogicalPort
|
---|
78 | decl (Decl
|
---|
79 | n "clk"
|
---|
80 | t "std_logic"
|
---|
81 | preAdd 0
|
---|
82 | posAdd 0
|
---|
83 | o 7
|
---|
84 | suid 1,0
|
---|
85 | )
|
---|
86 | )
|
---|
87 | uid 136,0
|
---|
88 | )
|
---|
89 | *15 (LogPort
|
---|
90 | port (LogicalPort
|
---|
91 | m 1
|
---|
92 | decl (Decl
|
---|
93 | n "wiz_reset"
|
---|
94 | t "std_logic"
|
---|
95 | preAdd 0
|
---|
96 | posAdd 0
|
---|
97 | o 8
|
---|
98 | suid 2,0
|
---|
99 | i "'1'"
|
---|
100 | )
|
---|
101 | )
|
---|
102 | uid 138,0
|
---|
103 | )
|
---|
104 | *16 (LogPort
|
---|
105 | port (LogicalPort
|
---|
106 | m 1
|
---|
107 | decl (Decl
|
---|
108 | n "addr"
|
---|
109 | t "std_logic_vector"
|
---|
110 | b "(9 DOWNTO 0)"
|
---|
111 | preAdd 0
|
---|
112 | posAdd 0
|
---|
113 | o 9
|
---|
114 | suid 3,0
|
---|
115 | )
|
---|
116 | )
|
---|
117 | uid 140,0
|
---|
118 | )
|
---|
119 | *17 (LogPort
|
---|
120 | port (LogicalPort
|
---|
121 | m 2
|
---|
122 | decl (Decl
|
---|
123 | n "data"
|
---|
124 | t "std_logic_vector"
|
---|
125 | b "(15 DOWNTO 0)"
|
---|
126 | preAdd 0
|
---|
127 | posAdd 0
|
---|
128 | o 10
|
---|
129 | suid 4,0
|
---|
130 | )
|
---|
131 | )
|
---|
132 | uid 142,0
|
---|
133 | )
|
---|
134 | *18 (LogPort
|
---|
135 | port (LogicalPort
|
---|
136 | m 1
|
---|
137 | decl (Decl
|
---|
138 | n "cs"
|
---|
139 | t "std_logic"
|
---|
140 | preAdd 0
|
---|
141 | posAdd 0
|
---|
142 | o 11
|
---|
143 | suid 5,0
|
---|
144 | i "'1'"
|
---|
145 | )
|
---|
146 | )
|
---|
147 | uid 144,0
|
---|
148 | )
|
---|
149 | *19 (LogPort
|
---|
150 | port (LogicalPort
|
---|
151 | m 1
|
---|
152 | decl (Decl
|
---|
153 | n "wr"
|
---|
154 | t "std_logic"
|
---|
155 | preAdd 0
|
---|
156 | posAdd 0
|
---|
157 | o 12
|
---|
158 | suid 6,0
|
---|
159 | i "'1'"
|
---|
160 | )
|
---|
161 | )
|
---|
162 | uid 146,0
|
---|
163 | )
|
---|
164 | *20 (LogPort
|
---|
165 | port (LogicalPort
|
---|
166 | m 1
|
---|
167 | decl (Decl
|
---|
168 | n "rd"
|
---|
169 | t "std_logic"
|
---|
170 | preAdd 0
|
---|
171 | posAdd 0
|
---|
172 | o 14
|
---|
173 | suid 8,0
|
---|
174 | i "'1'"
|
---|
175 | )
|
---|
176 | )
|
---|
177 | uid 150,0
|
---|
178 | )
|
---|
179 | *21 (LogPort
|
---|
180 | port (LogicalPort
|
---|
181 | decl (Decl
|
---|
182 | n "int"
|
---|
183 | t "std_logic"
|
---|
184 | preAdd 0
|
---|
185 | posAdd 0
|
---|
186 | o 15
|
---|
187 | suid 9,0
|
---|
188 | )
|
---|
189 | )
|
---|
190 | uid 152,0
|
---|
191 | )
|
---|
192 | *22 (LogPort
|
---|
193 | port (LogicalPort
|
---|
194 | decl (Decl
|
---|
195 | n "write_length"
|
---|
196 | t "std_logic_vector"
|
---|
197 | b "(16 DOWNTO 0)"
|
---|
198 | preAdd 0
|
---|
199 | posAdd 0
|
---|
200 | o 16
|
---|
201 | suid 10,0
|
---|
202 | )
|
---|
203 | )
|
---|
204 | uid 154,0
|
---|
205 | )
|
---|
206 | *23 (LogPort
|
---|
207 | port (LogicalPort
|
---|
208 | decl (Decl
|
---|
209 | n "ram_start_addr"
|
---|
210 | t "std_logic_vector"
|
---|
211 | b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
|
---|
212 | preAdd 0
|
---|
213 | posAdd 0
|
---|
214 | o 17
|
---|
215 | suid 11,0
|
---|
216 | )
|
---|
217 | )
|
---|
218 | uid 156,0
|
---|
219 | )
|
---|
220 | *24 (LogPort
|
---|
221 | port (LogicalPort
|
---|
222 | decl (Decl
|
---|
223 | n "ram_data"
|
---|
224 | t "std_logic_vector"
|
---|
225 | b "(15 DOWNTO 0)"
|
---|
226 | preAdd 0
|
---|
227 | posAdd 0
|
---|
228 | o 18
|
---|
229 | suid 12,0
|
---|
230 | )
|
---|
231 | )
|
---|
232 | uid 158,0
|
---|
233 | )
|
---|
234 | *25 (LogPort
|
---|
235 | port (LogicalPort
|
---|
236 | m 1
|
---|
237 | decl (Decl
|
---|
238 | n "ram_addr"
|
---|
239 | t "std_logic_vector"
|
---|
240 | b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
|
---|
241 | preAdd 0
|
---|
242 | posAdd 0
|
---|
243 | o 19
|
---|
244 | suid 13,0
|
---|
245 | )
|
---|
246 | )
|
---|
247 | uid 160,0
|
---|
248 | )
|
---|
249 | *26 (LogPort
|
---|
250 | port (LogicalPort
|
---|
251 | decl (Decl
|
---|
252 | n "data_valid"
|
---|
253 | t "std_logic"
|
---|
254 | preAdd 0
|
---|
255 | posAdd 0
|
---|
256 | o 20
|
---|
257 | suid 14,0
|
---|
258 | )
|
---|
259 | )
|
---|
260 | uid 162,0
|
---|
261 | )
|
---|
262 | *27 (LogPort
|
---|
263 | port (LogicalPort
|
---|
264 | m 1
|
---|
265 | decl (Decl
|
---|
266 | n "busy"
|
---|
267 | t "std_logic"
|
---|
268 | preAdd 0
|
---|
269 | posAdd 0
|
---|
270 | o 22
|
---|
271 | suid 15,0
|
---|
272 | i "'1'"
|
---|
273 | )
|
---|
274 | )
|
---|
275 | uid 164,0
|
---|
276 | )
|
---|
277 | *28 (LogPort
|
---|
278 | port (LogicalPort
|
---|
279 | decl (Decl
|
---|
280 | n "write_end_flag"
|
---|
281 | t "std_logic"
|
---|
282 | o 24
|
---|
283 | suid 18,0
|
---|
284 | )
|
---|
285 | )
|
---|
286 | uid 358,0
|
---|
287 | )
|
---|
288 | *29 (LogPort
|
---|
289 | port (LogicalPort
|
---|
290 | decl (Decl
|
---|
291 | n "write_header_flag"
|
---|
292 | t "std_logic"
|
---|
293 | o 23
|
---|
294 | suid 19,0
|
---|
295 | )
|
---|
296 | )
|
---|
297 | uid 360,0
|
---|
298 | )
|
---|
299 | *30 (LogPort
|
---|
300 | port (LogicalPort
|
---|
301 | decl (Decl
|
---|
302 | n "fifo_channels"
|
---|
303 | t "std_logic_vector"
|
---|
304 | b "(3 downto 0)"
|
---|
305 | posAdd 0
|
---|
306 | o 25
|
---|
307 | suid 20,0
|
---|
308 | )
|
---|
309 | )
|
---|
310 | uid 390,0
|
---|
311 | )
|
---|
312 | *31 (LogPort
|
---|
313 | port (LogicalPort
|
---|
314 | m 1
|
---|
315 | decl (Decl
|
---|
316 | n "led"
|
---|
317 | t "std_logic_vector"
|
---|
318 | b "(7 DOWNTO 0)"
|
---|
319 | posAdd 0
|
---|
320 | o 13
|
---|
321 | suid 22,0
|
---|
322 | i "(OTHERS => '0')"
|
---|
323 | )
|
---|
324 | )
|
---|
325 | uid 496,0
|
---|
326 | )
|
---|
327 | *32 (LogPort
|
---|
328 | port (LogicalPort
|
---|
329 | m 1
|
---|
330 | decl (Decl
|
---|
331 | n "s_trigger"
|
---|
332 | t "std_logic"
|
---|
333 | prec "-- softtrigger:"
|
---|
334 | preAdd 0
|
---|
335 | o 26
|
---|
336 | suid 23,0
|
---|
337 | i "'0'"
|
---|
338 | )
|
---|
339 | )
|
---|
340 | uid 526,0
|
---|
341 | )
|
---|
342 | *33 (LogPort
|
---|
343 | port (LogicalPort
|
---|
344 | m 1
|
---|
345 | decl (Decl
|
---|
346 | n "denable"
|
---|
347 | t "std_logic"
|
---|
348 | eolc "-- default domino wave on. ... in case if REFCLK error ... REFCLK counter will override."
|
---|
349 | preAdd 0
|
---|
350 | posAdd 0
|
---|
351 | o 42
|
---|
352 | suid 31,0
|
---|
353 | i "'0'"
|
---|
354 | )
|
---|
355 | )
|
---|
356 | uid 675,0
|
---|
357 | )
|
---|
358 | *34 (LogPort
|
---|
359 | port (LogicalPort
|
---|
360 | m 1
|
---|
361 | decl (Decl
|
---|
362 | n "dwrite_enable"
|
---|
363 | t "std_logic"
|
---|
364 | eolc "-- default DWRITE low."
|
---|
365 | preAdd 0
|
---|
366 | posAdd 0
|
---|
367 | o 43
|
---|
368 | suid 32,0
|
---|
369 | i "'1'"
|
---|
370 | )
|
---|
371 | )
|
---|
372 | uid 728,0
|
---|
373 | )
|
---|
374 | *35 (LogPort
|
---|
375 | port (LogicalPort
|
---|
376 | m 1
|
---|
377 | decl (Decl
|
---|
378 | n "data_valid_ack"
|
---|
379 | t "std_logic"
|
---|
380 | o 21
|
---|
381 | suid 34,0
|
---|
382 | i "'0'"
|
---|
383 | )
|
---|
384 | )
|
---|
385 | uid 890,0
|
---|
386 | )
|
---|
387 | *36 (LogPort
|
---|
388 | port (LogicalPort
|
---|
389 | m 1
|
---|
390 | decl (Decl
|
---|
391 | n "sclk_enable"
|
---|
392 | t "std_logic"
|
---|
393 | eolc "-- default DWRITE HIGH."
|
---|
394 | posAdd 0
|
---|
395 | o 44
|
---|
396 | suid 35,0
|
---|
397 | i "'1'"
|
---|
398 | )
|
---|
399 | )
|
---|
400 | uid 922,0
|
---|
401 | )
|
---|
402 | *37 (LogPort
|
---|
403 | port (LogicalPort
|
---|
404 | m 1
|
---|
405 | decl (Decl
|
---|
406 | n "ps_direction"
|
---|
407 | t "std_logic"
|
---|
408 | prec "------------------------------------------------------------------------------
|
---|
409 |
|
---|
410 | -- ADC CLK generator, is able to shift phase with respect to X_50M
|
---|
411 | -- these signals control the behavior of the digital clock manager (DCM)
|
---|
412 | ------------------------------------------------------------------------------"
|
---|
413 | eolc "-- default phase shift upwards"
|
---|
414 | preAdd 0
|
---|
415 | posAdd 0
|
---|
416 | o 49
|
---|
417 | suid 36,0
|
---|
418 | i "'1'"
|
---|
419 | )
|
---|
420 | )
|
---|
421 | uid 959,0
|
---|
422 | )
|
---|
423 | *38 (LogPort
|
---|
424 | port (LogicalPort
|
---|
425 | m 1
|
---|
426 | decl (Decl
|
---|
427 | n "ps_do_phase_shift"
|
---|
428 | t "std_logic"
|
---|
429 | eolc "--pulse this to phase shift once"
|
---|
430 | preAdd 0
|
---|
431 | posAdd 0
|
---|
432 | o 50
|
---|
433 | suid 37,0
|
---|
434 | i "'0'"
|
---|
435 | )
|
---|
436 | )
|
---|
437 | uid 961,0
|
---|
438 | )
|
---|
439 | *39 (LogPort
|
---|
440 | port (LogicalPort
|
---|
441 | m 1
|
---|
442 | decl (Decl
|
---|
443 | n "ps_reset"
|
---|
444 | t "std_logic"
|
---|
445 | eolc "-- pulse this to reset the variable phase shift"
|
---|
446 | posAdd 0
|
---|
447 | o 51
|
---|
448 | suid 38,0
|
---|
449 | i "'0'"
|
---|
450 | )
|
---|
451 | )
|
---|
452 | uid 993,0
|
---|
453 | )
|
---|
454 | *40 (LogPort
|
---|
455 | port (LogicalPort
|
---|
456 | m 1
|
---|
457 | decl (Decl
|
---|
458 | n "srclk_enable"
|
---|
459 | t "std_logic"
|
---|
460 | eolc "-- default SRCLK on."
|
---|
461 | posAdd 0
|
---|
462 | o 45
|
---|
463 | suid 39,0
|
---|
464 | i "'1'"
|
---|
465 | )
|
---|
466 | )
|
---|
467 | uid 1025,0
|
---|
468 | )
|
---|
469 | *41 (LogPort
|
---|
470 | port (LogicalPort
|
---|
471 | m 1
|
---|
472 | decl (Decl
|
---|
473 | n "socks_connected"
|
---|
474 | t "std_logic"
|
---|
475 | posc "------------------------------------------------------------------------------"
|
---|
476 | posAdd 0
|
---|
477 | o 54
|
---|
478 | suid 42,0
|
---|
479 | )
|
---|
480 | )
|
---|
481 | uid 1101,0
|
---|
482 | )
|
---|
483 | *42 (LogPort
|
---|
484 | port (LogicalPort
|
---|
485 | m 1
|
---|
486 | decl (Decl
|
---|
487 | n "socks_waiting"
|
---|
488 | t "std_logic"
|
---|
489 | prec "------------------------------------------------------------------------------
|
---|
490 |
|
---|
491 | -- signals used to control FAD LED bahavior:
|
---|
492 | -- one of the three LEDs is used for com-status info
|
---|
493 | ------------------------------------------------------------------------------"
|
---|
494 | preAdd 0
|
---|
495 | o 53
|
---|
496 | suid 43,0
|
---|
497 | )
|
---|
498 | )
|
---|
499 | uid 1103,0
|
---|
500 | )
|
---|
501 | *43 (LogPort
|
---|
502 | port (LogicalPort
|
---|
503 | m 1
|
---|
504 | decl (Decl
|
---|
505 | n "trigger_enable"
|
---|
506 | t "std_logic"
|
---|
507 | prec "------------------------------------------------------------------------------
|
---|
508 |
|
---|
509 | -- user controllable enable signals
|
---|
510 | ------------------------------------------------------------------------------"
|
---|
511 | preAdd 0
|
---|
512 | posAdd 0
|
---|
513 | o 41
|
---|
514 | suid 44,0
|
---|
515 | )
|
---|
516 | )
|
---|
517 | uid 1135,0
|
---|
518 | )
|
---|
519 | *44 (LogPort
|
---|
520 | port (LogicalPort
|
---|
521 | m 1
|
---|
522 | decl (Decl
|
---|
523 | n "c_trigger_enable"
|
---|
524 | t "std_logic"
|
---|
525 | o 27
|
---|
526 | suid 45,0
|
---|
527 | i "'0'"
|
---|
528 | )
|
---|
529 | )
|
---|
530 | uid 1197,0
|
---|
531 | )
|
---|
532 | *45 (LogPort
|
---|
533 | port (LogicalPort
|
---|
534 | m 1
|
---|
535 | decl (Decl
|
---|
536 | n "c_trigger_mult"
|
---|
537 | t "std_logic_vector"
|
---|
538 | b "(15 DOWNTO 0)"
|
---|
539 | eolc "--subject to changes"
|
---|
540 | posAdd 0
|
---|
541 | o 28
|
---|
542 | suid 46,0
|
---|
543 | i "conv_std_logic_vector(0 ,16)"
|
---|
544 | )
|
---|
545 | )
|
---|
546 | uid 1199,0
|
---|
547 | )
|
---|
548 | *46 (LogPort
|
---|
549 | port (LogicalPort
|
---|
550 | decl (Decl
|
---|
551 | n "MAC_jumper"
|
---|
552 | t "std_logic_vector"
|
---|
553 | b "(1 downto 0)"
|
---|
554 | prec "------------------------------------------------------------------------------
|
---|
555 |
|
---|
556 | -- MAC/IP calculation signals:
|
---|
557 | ------------------------------------------------------------------------------"
|
---|
558 | preAdd 0
|
---|
559 | o 38
|
---|
560 | suid 48,0
|
---|
561 | )
|
---|
562 | )
|
---|
563 | uid 1288,0
|
---|
564 | )
|
---|
565 | *47 (LogPort
|
---|
566 | port (LogicalPort
|
---|
567 | decl (Decl
|
---|
568 | n "BoardID"
|
---|
569 | t "std_logic_vector"
|
---|
570 | b "(3 downto 0)"
|
---|
571 | o 39
|
---|
572 | suid 49,0
|
---|
573 | )
|
---|
574 | )
|
---|
575 | uid 1325,0
|
---|
576 | )
|
---|
577 | *48 (LogPort
|
---|
578 | port (LogicalPort
|
---|
579 | decl (Decl
|
---|
580 | n "CrateID"
|
---|
581 | t "std_logic_vector"
|
---|
582 | b "(1 downto 0)"
|
---|
583 | posAdd 0
|
---|
584 | o 40
|
---|
585 | suid 50,0
|
---|
586 | )
|
---|
587 | )
|
---|
588 | uid 1327,0
|
---|
589 | )
|
---|
590 | *49 (LogPort
|
---|
591 | port (LogicalPort
|
---|
592 | m 1
|
---|
593 | decl (Decl
|
---|
594 | n "dac_setting"
|
---|
595 | t "dac_array_type"
|
---|
596 | prec "--data_generator_config_start_o : out std_logic := '0';
|
---|
597 | --data_generator_config_valid_i : in std_logic;"
|
---|
598 | eolc "--<<-- default defined in fad_definitions.vhd"
|
---|
599 | preAdd 0
|
---|
600 | posAdd 0
|
---|
601 | o 33
|
---|
602 | suid 54,0
|
---|
603 | i "DEFAULT_DAC"
|
---|
604 | )
|
---|
605 | )
|
---|
606 | uid 1605,0
|
---|
607 | )
|
---|
608 | *50 (LogPort
|
---|
609 | port (LogicalPort
|
---|
610 | m 1
|
---|
611 | decl (Decl
|
---|
612 | n "memory_manager_config_start_o"
|
---|
613 | t "std_logic"
|
---|
614 | prec "-- FAD configuration signals:
|
---|
615 | ------------------------------------------------------------------------------"
|
---|
616 | preAdd 0
|
---|
617 | o 29
|
---|
618 | suid 59,0
|
---|
619 | i "'0'"
|
---|
620 | )
|
---|
621 | )
|
---|
622 | uid 1615,0
|
---|
623 | )
|
---|
624 | *51 (LogPort
|
---|
625 | port (LogicalPort
|
---|
626 | decl (Decl
|
---|
627 | n "memory_manager_config_valid_i"
|
---|
628 | t "std_logic"
|
---|
629 | o 30
|
---|
630 | suid 60,0
|
---|
631 | )
|
---|
632 | )
|
---|
633 | uid 1617,0
|
---|
634 | )
|
---|
635 | *52 (LogPort
|
---|
636 | port (LogicalPort
|
---|
637 | m 1
|
---|
638 | decl (Decl
|
---|
639 | n "roi_setting"
|
---|
640 | t "roi_array_type"
|
---|
641 | eolc "--<<-- default defined in fad_definitions.vhd"
|
---|
642 | preAdd 0
|
---|
643 | posAdd 0
|
---|
644 | o 34
|
---|
645 | suid 61,0
|
---|
646 | i "DEFAULT_ROI"
|
---|
647 | )
|
---|
648 | )
|
---|
649 | uid 1619,0
|
---|
650 | )
|
---|
651 | *53 (LogPort
|
---|
652 | port (LogicalPort
|
---|
653 | m 1
|
---|
654 | decl (Decl
|
---|
655 | n "spi_interface_config_start_o"
|
---|
656 | t "std_logic"
|
---|
657 | o 31
|
---|
658 | suid 63,0
|
---|
659 | i "'0'"
|
---|
660 | )
|
---|
661 | )
|
---|
662 | uid 1623,0
|
---|
663 | )
|
---|
664 | *54 (LogPort
|
---|
665 | port (LogicalPort
|
---|
666 | decl (Decl
|
---|
667 | n "spi_interface_config_valid_i"
|
---|
668 | t "std_logic"
|
---|
669 | posAdd 0
|
---|
670 | o 32
|
---|
671 | suid 64,0
|
---|
672 | )
|
---|
673 | )
|
---|
674 | uid 1625,0
|
---|
675 | )
|
---|
676 | *55 (LogPort
|
---|
677 | port (LogicalPort
|
---|
678 | decl (Decl
|
---|
679 | n "data_ram_empty"
|
---|
680 | t "std_logic"
|
---|
681 | preAdd 0
|
---|
682 | o 37
|
---|
683 | suid 65,0
|
---|
684 | )
|
---|
685 | )
|
---|
686 | uid 1807,0
|
---|
687 | )
|
---|
688 | *56 (LogPort
|
---|
689 | port (LogicalPort
|
---|
690 | decl (Decl
|
---|
691 | n "ps_ready"
|
---|
692 | t "std_logic"
|
---|
693 | o 52
|
---|
694 | suid 66,0
|
---|
695 | )
|
---|
696 | )
|
---|
697 | uid 1839,0
|
---|
698 | )
|
---|
699 | *57 (LogPort
|
---|
700 | port (LogicalPort
|
---|
701 | m 1
|
---|
702 | decl (Decl
|
---|
703 | n "runnumber"
|
---|
704 | t "std_logic_vector"
|
---|
705 | b "(31 DOWNTO 0)"
|
---|
706 | o 35
|
---|
707 | suid 67,0
|
---|
708 | i "conv_std_logic_vector(0 ,32)"
|
---|
709 | )
|
---|
710 | )
|
---|
711 | uid 1896,0
|
---|
712 | )
|
---|
713 | *58 (LogPort
|
---|
714 | port (LogicalPort
|
---|
715 | m 1
|
---|
716 | decl (Decl
|
---|
717 | n "reset_trigger_id"
|
---|
718 | t "std_logic"
|
---|
719 | o 36
|
---|
720 | suid 68,0
|
---|
721 | i "'0'"
|
---|
722 | )
|
---|
723 | )
|
---|
724 | uid 1928,0
|
---|
725 | )
|
---|
726 | *59 (LogPort
|
---|
727 | port (LogicalPort
|
---|
728 | m 1
|
---|
729 | decl (Decl
|
---|
730 | n "state"
|
---|
731 | t "std_logic_vector"
|
---|
732 | b "(7 DOWNTO 0)"
|
---|
733 | eolc "-- state is encoded here ... useful for debugging."
|
---|
734 | posAdd 0
|
---|
735 | o 1
|
---|
736 | suid 69,0
|
---|
737 | )
|
---|
738 | )
|
---|
739 | uid 1960,0
|
---|
740 | )
|
---|
741 | *60 (LogPort
|
---|
742 | port (LogicalPort
|
---|
743 | m 1
|
---|
744 | decl (Decl
|
---|
745 | n "debug_data_ram_empty"
|
---|
746 | t "std_logic"
|
---|
747 | o 2
|
---|
748 | suid 70,0
|
---|
749 | )
|
---|
750 | )
|
---|
751 | uid 2022,0
|
---|
752 | )
|
---|
753 | *61 (LogPort
|
---|
754 | port (LogicalPort
|
---|
755 | m 1
|
---|
756 | decl (Decl
|
---|
757 | n "debug_data_valid"
|
---|
758 | t "std_logic"
|
---|
759 | o 3
|
---|
760 | suid 71,0
|
---|
761 | )
|
---|
762 | )
|
---|
763 | uid 2024,0
|
---|
764 | )
|
---|
765 | *62 (LogPort
|
---|
766 | port (LogicalPort
|
---|
767 | decl (Decl
|
---|
768 | n "data_generator_idle_i"
|
---|
769 | t "std_logic"
|
---|
770 | o 4
|
---|
771 | suid 72,0
|
---|
772 | )
|
---|
773 | )
|
---|
774 | uid 2056,0
|
---|
775 | )
|
---|
776 | *63 (LogPort
|
---|
777 | port (LogicalPort
|
---|
778 | m 1
|
---|
779 | decl (Decl
|
---|
780 | n "socket_tx_free_out"
|
---|
781 | t "std_logic_vector"
|
---|
782 | b "(16 DOWNTO 0)"
|
---|
783 | eolc "-- 17bit value .. that's true"
|
---|
784 | posAdd 0
|
---|
785 | o 6
|
---|
786 | suid 73,0
|
---|
787 | )
|
---|
788 | )
|
---|
789 | uid 2138,0
|
---|
790 | )
|
---|
791 | *64 (LogPort
|
---|
792 | port (LogicalPort
|
---|
793 | m 1
|
---|
794 | decl (Decl
|
---|
795 | n "busy_enable"
|
---|
796 | t "std_logic"
|
---|
797 | o 46
|
---|
798 | suid 74,0
|
---|
799 | i "'0'"
|
---|
800 | )
|
---|
801 | )
|
---|
802 | uid 2175,0
|
---|
803 | )
|
---|
804 | *65 (LogPort
|
---|
805 | port (LogicalPort
|
---|
806 | m 1
|
---|
807 | decl (Decl
|
---|
808 | n "socket_send_mode_out"
|
---|
809 | t "std_logic"
|
---|
810 | o 47
|
---|
811 | suid 75,0
|
---|
812 | )
|
---|
813 | )
|
---|
814 | uid 2177,0
|
---|
815 | )
|
---|
816 | *66 (LogPort
|
---|
817 | port (LogicalPort
|
---|
818 | m 1
|
---|
819 | decl (Decl
|
---|
820 | n "busy_manual"
|
---|
821 | t "std_logic"
|
---|
822 | o 48
|
---|
823 | suid 76,0
|
---|
824 | i "'0'"
|
---|
825 | )
|
---|
826 | )
|
---|
827 | uid 2239,0
|
---|
828 | )
|
---|
829 | *67 (LogPort
|
---|
830 | port (LogicalPort
|
---|
831 | decl (Decl
|
---|
832 | n "data_ram_not_full"
|
---|
833 | t "std_logic"
|
---|
834 | o 5
|
---|
835 | suid 77,0
|
---|
836 | )
|
---|
837 | )
|
---|
838 | uid 2241,0
|
---|
839 | )
|
---|
840 | ]
|
---|
841 | )
|
---|
842 | pdm (PhysicalDM
|
---|
843 | displayShortBounds 1
|
---|
844 | editShortBounds 1
|
---|
845 | uid 188,0
|
---|
846 | optionalChildren [
|
---|
847 | *68 (Sheet
|
---|
848 | sheetRow (SheetRow
|
---|
849 | headerVa (MVa
|
---|
850 | cellColor "49152,49152,49152"
|
---|
851 | fontColor "0,0,0"
|
---|
852 | font "Tahoma,10,0"
|
---|
853 | )
|
---|
854 | cellVa (MVa
|
---|
855 | cellColor "65535,65535,65535"
|
---|
856 | fontColor "0,0,0"
|
---|
857 | font "Tahoma,10,0"
|
---|
858 | )
|
---|
859 | groupVa (MVa
|
---|
860 | cellColor "39936,56832,65280"
|
---|
861 | fontColor "0,0,0"
|
---|
862 | font "Tahoma,10,0"
|
---|
863 | )
|
---|
864 | emptyMRCItem *69 (MRCItem
|
---|
865 | litem &1
|
---|
866 | pos 3
|
---|
867 | dimension 20
|
---|
868 | )
|
---|
869 | uid 190,0
|
---|
870 | optionalChildren [
|
---|
871 | *70 (MRCItem
|
---|
872 | litem &2
|
---|
873 | pos 0
|
---|
874 | dimension 20
|
---|
875 | uid 191,0
|
---|
876 | )
|
---|
877 | *71 (MRCItem
|
---|
878 | litem &3
|
---|
879 | pos 1
|
---|
880 | dimension 23
|
---|
881 | uid 192,0
|
---|
882 | )
|
---|
883 | *72 (MRCItem
|
---|
884 | litem &4
|
---|
885 | pos 2
|
---|
886 | hidden 1
|
---|
887 | dimension 20
|
---|
888 | uid 193,0
|
---|
889 | )
|
---|
890 | *73 (MRCItem
|
---|
891 | litem &14
|
---|
892 | pos 0
|
---|
893 | dimension 20
|
---|
894 | uid 137,0
|
---|
895 | )
|
---|
896 | *74 (MRCItem
|
---|
897 | litem &15
|
---|
898 | pos 1
|
---|
899 | dimension 20
|
---|
900 | uid 139,0
|
---|
901 | )
|
---|
902 | *75 (MRCItem
|
---|
903 | litem &16
|
---|
904 | pos 2
|
---|
905 | dimension 20
|
---|
906 | uid 141,0
|
---|
907 | )
|
---|
908 | *76 (MRCItem
|
---|
909 | litem &17
|
---|
910 | pos 3
|
---|
911 | dimension 20
|
---|
912 | uid 143,0
|
---|
913 | )
|
---|
914 | *77 (MRCItem
|
---|
915 | litem &18
|
---|
916 | pos 4
|
---|
917 | dimension 20
|
---|
918 | uid 145,0
|
---|
919 | )
|
---|
920 | *78 (MRCItem
|
---|
921 | litem &19
|
---|
922 | pos 5
|
---|
923 | dimension 20
|
---|
924 | uid 147,0
|
---|
925 | )
|
---|
926 | *79 (MRCItem
|
---|
927 | litem &20
|
---|
928 | pos 6
|
---|
929 | dimension 20
|
---|
930 | uid 151,0
|
---|
931 | )
|
---|
932 | *80 (MRCItem
|
---|
933 | litem &21
|
---|
934 | pos 7
|
---|
935 | dimension 20
|
---|
936 | uid 153,0
|
---|
937 | )
|
---|
938 | *81 (MRCItem
|
---|
939 | litem &22
|
---|
940 | pos 8
|
---|
941 | dimension 20
|
---|
942 | uid 155,0
|
---|
943 | )
|
---|
944 | *82 (MRCItem
|
---|
945 | litem &23
|
---|
946 | pos 9
|
---|
947 | dimension 20
|
---|
948 | uid 157,0
|
---|
949 | )
|
---|
950 | *83 (MRCItem
|
---|
951 | litem &24
|
---|
952 | pos 10
|
---|
953 | dimension 20
|
---|
954 | uid 159,0
|
---|
955 | )
|
---|
956 | *84 (MRCItem
|
---|
957 | litem &25
|
---|
958 | pos 11
|
---|
959 | dimension 20
|
---|
960 | uid 161,0
|
---|
961 | )
|
---|
962 | *85 (MRCItem
|
---|
963 | litem &26
|
---|
964 | pos 12
|
---|
965 | dimension 20
|
---|
966 | uid 163,0
|
---|
967 | )
|
---|
968 | *86 (MRCItem
|
---|
969 | litem &27
|
---|
970 | pos 13
|
---|
971 | dimension 20
|
---|
972 | uid 165,0
|
---|
973 | )
|
---|
974 | *87 (MRCItem
|
---|
975 | litem &28
|
---|
976 | pos 14
|
---|
977 | dimension 20
|
---|
978 | uid 359,0
|
---|
979 | )
|
---|
980 | *88 (MRCItem
|
---|
981 | litem &29
|
---|
982 | pos 15
|
---|
983 | dimension 20
|
---|
984 | uid 361,0
|
---|
985 | )
|
---|
986 | *89 (MRCItem
|
---|
987 | litem &30
|
---|
988 | pos 16
|
---|
989 | dimension 20
|
---|
990 | uid 391,0
|
---|
991 | )
|
---|
992 | *90 (MRCItem
|
---|
993 | litem &31
|
---|
994 | pos 17
|
---|
995 | dimension 20
|
---|
996 | uid 497,0
|
---|
997 | )
|
---|
998 | *91 (MRCItem
|
---|
999 | litem &32
|
---|
1000 | pos 18
|
---|
1001 | dimension 20
|
---|
1002 | uid 527,0
|
---|
1003 | )
|
---|
1004 | *92 (MRCItem
|
---|
1005 | litem &33
|
---|
1006 | pos 19
|
---|
1007 | dimension 20
|
---|
1008 | uid 676,0
|
---|
1009 | )
|
---|
1010 | *93 (MRCItem
|
---|
1011 | litem &34
|
---|
1012 | pos 20
|
---|
1013 | dimension 20
|
---|
1014 | uid 729,0
|
---|
1015 | )
|
---|
1016 | *94 (MRCItem
|
---|
1017 | litem &35
|
---|
1018 | pos 21
|
---|
1019 | dimension 20
|
---|
1020 | uid 891,0
|
---|
1021 | )
|
---|
1022 | *95 (MRCItem
|
---|
1023 | litem &36
|
---|
1024 | pos 22
|
---|
1025 | dimension 20
|
---|
1026 | uid 923,0
|
---|
1027 | )
|
---|
1028 | *96 (MRCItem
|
---|
1029 | litem &37
|
---|
1030 | pos 23
|
---|
1031 | dimension 20
|
---|
1032 | uid 960,0
|
---|
1033 | )
|
---|
1034 | *97 (MRCItem
|
---|
1035 | litem &38
|
---|
1036 | pos 24
|
---|
1037 | dimension 20
|
---|
1038 | uid 962,0
|
---|
1039 | )
|
---|
1040 | *98 (MRCItem
|
---|
1041 | litem &39
|
---|
1042 | pos 25
|
---|
1043 | dimension 20
|
---|
1044 | uid 994,0
|
---|
1045 | )
|
---|
1046 | *99 (MRCItem
|
---|
1047 | litem &40
|
---|
1048 | pos 26
|
---|
1049 | dimension 20
|
---|
1050 | uid 1026,0
|
---|
1051 | )
|
---|
1052 | *100 (MRCItem
|
---|
1053 | litem &41
|
---|
1054 | pos 27
|
---|
1055 | dimension 20
|
---|
1056 | uid 1102,0
|
---|
1057 | )
|
---|
1058 | *101 (MRCItem
|
---|
1059 | litem &42
|
---|
1060 | pos 28
|
---|
1061 | dimension 20
|
---|
1062 | uid 1104,0
|
---|
1063 | )
|
---|
1064 | *102 (MRCItem
|
---|
1065 | litem &43
|
---|
1066 | pos 29
|
---|
1067 | dimension 20
|
---|
1068 | uid 1136,0
|
---|
1069 | )
|
---|
1070 | *103 (MRCItem
|
---|
1071 | litem &44
|
---|
1072 | pos 30
|
---|
1073 | dimension 20
|
---|
1074 | uid 1198,0
|
---|
1075 | )
|
---|
1076 | *104 (MRCItem
|
---|
1077 | litem &45
|
---|
1078 | pos 31
|
---|
1079 | dimension 20
|
---|
1080 | uid 1200,0
|
---|
1081 | )
|
---|
1082 | *105 (MRCItem
|
---|
1083 | litem &46
|
---|
1084 | pos 32
|
---|
1085 | dimension 20
|
---|
1086 | uid 1289,0
|
---|
1087 | )
|
---|
1088 | *106 (MRCItem
|
---|
1089 | litem &47
|
---|
1090 | pos 33
|
---|
1091 | dimension 20
|
---|
1092 | uid 1326,0
|
---|
1093 | )
|
---|
1094 | *107 (MRCItem
|
---|
1095 | litem &48
|
---|
1096 | pos 34
|
---|
1097 | dimension 20
|
---|
1098 | uid 1328,0
|
---|
1099 | )
|
---|
1100 | *108 (MRCItem
|
---|
1101 | litem &49
|
---|
1102 | pos 35
|
---|
1103 | dimension 20
|
---|
1104 | uid 1606,0
|
---|
1105 | )
|
---|
1106 | *109 (MRCItem
|
---|
1107 | litem &50
|
---|
1108 | pos 36
|
---|
1109 | dimension 20
|
---|
1110 | uid 1616,0
|
---|
1111 | )
|
---|
1112 | *110 (MRCItem
|
---|
1113 | litem &51
|
---|
1114 | pos 37
|
---|
1115 | dimension 20
|
---|
1116 | uid 1618,0
|
---|
1117 | )
|
---|
1118 | *111 (MRCItem
|
---|
1119 | litem &52
|
---|
1120 | pos 38
|
---|
1121 | dimension 20
|
---|
1122 | uid 1620,0
|
---|
1123 | )
|
---|
1124 | *112 (MRCItem
|
---|
1125 | litem &53
|
---|
1126 | pos 39
|
---|
1127 | dimension 20
|
---|
1128 | uid 1624,0
|
---|
1129 | )
|
---|
1130 | *113 (MRCItem
|
---|
1131 | litem &54
|
---|
1132 | pos 40
|
---|
1133 | dimension 20
|
---|
1134 | uid 1626,0
|
---|
1135 | )
|
---|
1136 | *114 (MRCItem
|
---|
1137 | litem &55
|
---|
1138 | pos 41
|
---|
1139 | dimension 20
|
---|
1140 | uid 1808,0
|
---|
1141 | )
|
---|
1142 | *115 (MRCItem
|
---|
1143 | litem &56
|
---|
1144 | pos 42
|
---|
1145 | dimension 20
|
---|
1146 | uid 1840,0
|
---|
1147 | )
|
---|
1148 | *116 (MRCItem
|
---|
1149 | litem &57
|
---|
1150 | pos 43
|
---|
1151 | dimension 20
|
---|
1152 | uid 1897,0
|
---|
1153 | )
|
---|
1154 | *117 (MRCItem
|
---|
1155 | litem &58
|
---|
1156 | pos 44
|
---|
1157 | dimension 20
|
---|
1158 | uid 1929,0
|
---|
1159 | )
|
---|
1160 | *118 (MRCItem
|
---|
1161 | litem &59
|
---|
1162 | pos 45
|
---|
1163 | dimension 20
|
---|
1164 | uid 1961,0
|
---|
1165 | )
|
---|
1166 | *119 (MRCItem
|
---|
1167 | litem &60
|
---|
1168 | pos 46
|
---|
1169 | dimension 20
|
---|
1170 | uid 2023,0
|
---|
1171 | )
|
---|
1172 | *120 (MRCItem
|
---|
1173 | litem &61
|
---|
1174 | pos 47
|
---|
1175 | dimension 20
|
---|
1176 | uid 2025,0
|
---|
1177 | )
|
---|
1178 | *121 (MRCItem
|
---|
1179 | litem &62
|
---|
1180 | pos 48
|
---|
1181 | dimension 20
|
---|
1182 | uid 2057,0
|
---|
1183 | )
|
---|
1184 | *122 (MRCItem
|
---|
1185 | litem &63
|
---|
1186 | pos 49
|
---|
1187 | dimension 20
|
---|
1188 | uid 2139,0
|
---|
1189 | )
|
---|
1190 | *123 (MRCItem
|
---|
1191 | litem &64
|
---|
1192 | pos 50
|
---|
1193 | dimension 20
|
---|
1194 | uid 2176,0
|
---|
1195 | )
|
---|
1196 | *124 (MRCItem
|
---|
1197 | litem &65
|
---|
1198 | pos 51
|
---|
1199 | dimension 20
|
---|
1200 | uid 2178,0
|
---|
1201 | )
|
---|
1202 | *125 (MRCItem
|
---|
1203 | litem &66
|
---|
1204 | pos 52
|
---|
1205 | dimension 20
|
---|
1206 | uid 2240,0
|
---|
1207 | )
|
---|
1208 | *126 (MRCItem
|
---|
1209 | litem &67
|
---|
1210 | pos 53
|
---|
1211 | dimension 20
|
---|
1212 | uid 2242,0
|
---|
1213 | )
|
---|
1214 | ]
|
---|
1215 | )
|
---|
1216 | sheetCol (SheetCol
|
---|
1217 | propVa (MVa
|
---|
1218 | cellColor "0,49152,49152"
|
---|
1219 | fontColor "0,0,0"
|
---|
1220 | font "Tahoma,10,0"
|
---|
1221 | textAngle 90
|
---|
1222 | )
|
---|
1223 | uid 194,0
|
---|
1224 | optionalChildren [
|
---|
1225 | *127 (MRCItem
|
---|
1226 | litem &5
|
---|
1227 | pos 0
|
---|
1228 | dimension 20
|
---|
1229 | uid 195,0
|
---|
1230 | )
|
---|
1231 | *128 (MRCItem
|
---|
1232 | litem &7
|
---|
1233 | pos 1
|
---|
1234 | dimension 50
|
---|
1235 | uid 196,0
|
---|
1236 | )
|
---|
1237 | *129 (MRCItem
|
---|
1238 | litem &8
|
---|
1239 | pos 2
|
---|
1240 | dimension 100
|
---|
1241 | uid 197,0
|
---|
1242 | )
|
---|
1243 | *130 (MRCItem
|
---|
1244 | litem &9
|
---|
1245 | pos 3
|
---|
1246 | dimension 50
|
---|
1247 | uid 198,0
|
---|
1248 | )
|
---|
1249 | *131 (MRCItem
|
---|
1250 | litem &10
|
---|
1251 | pos 4
|
---|
1252 | dimension 100
|
---|
1253 | uid 199,0
|
---|
1254 | )
|
---|
1255 | *132 (MRCItem
|
---|
1256 | litem &11
|
---|
1257 | pos 5
|
---|
1258 | dimension 100
|
---|
1259 | uid 200,0
|
---|
1260 | )
|
---|
1261 | *133 (MRCItem
|
---|
1262 | litem &12
|
---|
1263 | pos 6
|
---|
1264 | dimension 50
|
---|
1265 | uid 201,0
|
---|
1266 | )
|
---|
1267 | *134 (MRCItem
|
---|
1268 | litem &13
|
---|
1269 | pos 7
|
---|
1270 | dimension 80
|
---|
1271 | uid 202,0
|
---|
1272 | )
|
---|
1273 | ]
|
---|
1274 | )
|
---|
1275 | fixedCol 4
|
---|
1276 | fixedRow 2
|
---|
1277 | name "Ports"
|
---|
1278 | uid 189,0
|
---|
1279 | vaOverrides [
|
---|
1280 | ]
|
---|
1281 | )
|
---|
1282 | ]
|
---|
1283 | )
|
---|
1284 | uid 174,0
|
---|
1285 | )
|
---|
1286 | genericsCommonDM (CommonDM
|
---|
1287 | ldm (LogicalDM
|
---|
1288 | emptyRow *135 (LEmptyRow
|
---|
1289 | )
|
---|
1290 | uid 204,0
|
---|
1291 | optionalChildren [
|
---|
1292 | *136 (RefLabelRowHdr
|
---|
1293 | )
|
---|
1294 | *137 (TitleRowHdr
|
---|
1295 | )
|
---|
1296 | *138 (FilterRowHdr
|
---|
1297 | )
|
---|
1298 | *139 (RefLabelColHdr
|
---|
1299 | tm "RefLabelColHdrMgr"
|
---|
1300 | )
|
---|
1301 | *140 (RowExpandColHdr
|
---|
1302 | tm "RowExpandColHdrMgr"
|
---|
1303 | )
|
---|
1304 | *141 (GroupColHdr
|
---|
1305 | tm "GroupColHdrMgr"
|
---|
1306 | )
|
---|
1307 | *142 (NameColHdr
|
---|
1308 | tm "GenericNameColHdrMgr"
|
---|
1309 | )
|
---|
1310 | *143 (TypeColHdr
|
---|
1311 | tm "GenericTypeColHdrMgr"
|
---|
1312 | )
|
---|
1313 | *144 (InitColHdr
|
---|
1314 | tm "GenericValueColHdrMgr"
|
---|
1315 | )
|
---|
1316 | *145 (PragmaColHdr
|
---|
1317 | tm "GenericPragmaColHdrMgr"
|
---|
1318 | )
|
---|
1319 | *146 (EolColHdr
|
---|
1320 | tm "GenericEolColHdrMgr"
|
---|
1321 | )
|
---|
1322 | *147 (LogGeneric
|
---|
1323 | generic (GiElement
|
---|
1324 | name "RAM_ADDR_WIDTH"
|
---|
1325 | type "integer"
|
---|
1326 | value "14"
|
---|
1327 | )
|
---|
1328 | uid 2293,0
|
---|
1329 | )
|
---|
1330 | ]
|
---|
1331 | )
|
---|
1332 | pdm (PhysicalDM
|
---|
1333 | displayShortBounds 1
|
---|
1334 | editShortBounds 1
|
---|
1335 | uid 216,0
|
---|
1336 | optionalChildren [
|
---|
1337 | *148 (Sheet
|
---|
1338 | sheetRow (SheetRow
|
---|
1339 | headerVa (MVa
|
---|
1340 | cellColor "49152,49152,49152"
|
---|
1341 | fontColor "0,0,0"
|
---|
1342 | font "Tahoma,10,0"
|
---|
1343 | )
|
---|
1344 | cellVa (MVa
|
---|
1345 | cellColor "65535,65535,65535"
|
---|
1346 | fontColor "0,0,0"
|
---|
1347 | font "Tahoma,10,0"
|
---|
1348 | )
|
---|
1349 | groupVa (MVa
|
---|
1350 | cellColor "39936,56832,65280"
|
---|
1351 | fontColor "0,0,0"
|
---|
1352 | font "Tahoma,10,0"
|
---|
1353 | )
|
---|
1354 | emptyMRCItem *149 (MRCItem
|
---|
1355 | litem &135
|
---|
1356 | pos 3
|
---|
1357 | dimension 20
|
---|
1358 | )
|
---|
1359 | uid 218,0
|
---|
1360 | optionalChildren [
|
---|
1361 | *150 (MRCItem
|
---|
1362 | litem &136
|
---|
1363 | pos 0
|
---|
1364 | dimension 20
|
---|
1365 | uid 219,0
|
---|
1366 | )
|
---|
1367 | *151 (MRCItem
|
---|
1368 | litem &137
|
---|
1369 | pos 1
|
---|
1370 | dimension 23
|
---|
1371 | uid 220,0
|
---|
1372 | )
|
---|
1373 | *152 (MRCItem
|
---|
1374 | litem &138
|
---|
1375 | pos 2
|
---|
1376 | hidden 1
|
---|
1377 | dimension 20
|
---|
1378 | uid 221,0
|
---|
1379 | )
|
---|
1380 | *153 (MRCItem
|
---|
1381 | litem &147
|
---|
1382 | pos 0
|
---|
1383 | dimension 20
|
---|
1384 | uid 2294,0
|
---|
1385 | )
|
---|
1386 | ]
|
---|
1387 | )
|
---|
1388 | sheetCol (SheetCol
|
---|
1389 | propVa (MVa
|
---|
1390 | cellColor "0,49152,49152"
|
---|
1391 | fontColor "0,0,0"
|
---|
1392 | font "Tahoma,10,0"
|
---|
1393 | textAngle 90
|
---|
1394 | )
|
---|
1395 | uid 222,0
|
---|
1396 | optionalChildren [
|
---|
1397 | *154 (MRCItem
|
---|
1398 | litem &139
|
---|
1399 | pos 0
|
---|
1400 | dimension 20
|
---|
1401 | uid 223,0
|
---|
1402 | )
|
---|
1403 | *155 (MRCItem
|
---|
1404 | litem &141
|
---|
1405 | pos 1
|
---|
1406 | dimension 50
|
---|
1407 | uid 224,0
|
---|
1408 | )
|
---|
1409 | *156 (MRCItem
|
---|
1410 | litem &142
|
---|
1411 | pos 2
|
---|
1412 | dimension 100
|
---|
1413 | uid 225,0
|
---|
1414 | )
|
---|
1415 | *157 (MRCItem
|
---|
1416 | litem &143
|
---|
1417 | pos 3
|
---|
1418 | dimension 100
|
---|
1419 | uid 226,0
|
---|
1420 | )
|
---|
1421 | *158 (MRCItem
|
---|
1422 | litem &144
|
---|
1423 | pos 4
|
---|
1424 | dimension 50
|
---|
1425 | uid 227,0
|
---|
1426 | )
|
---|
1427 | *159 (MRCItem
|
---|
1428 | litem &145
|
---|
1429 | pos 5
|
---|
1430 | dimension 50
|
---|
1431 | uid 228,0
|
---|
1432 | )
|
---|
1433 | *160 (MRCItem
|
---|
1434 | litem &146
|
---|
1435 | pos 6
|
---|
1436 | dimension 80
|
---|
1437 | uid 229,0
|
---|
1438 | )
|
---|
1439 | ]
|
---|
1440 | )
|
---|
1441 | fixedCol 3
|
---|
1442 | fixedRow 2
|
---|
1443 | name "Ports"
|
---|
1444 | uid 217,0
|
---|
1445 | vaOverrides [
|
---|
1446 | ]
|
---|
1447 | )
|
---|
1448 | ]
|
---|
1449 | )
|
---|
1450 | uid 203,0
|
---|
1451 | type 1
|
---|
1452 | )
|
---|
1453 | VExpander (VariableExpander
|
---|
1454 | vvMap [
|
---|
1455 | (vvPair
|
---|
1456 | variable "HDLDir"
|
---|
1457 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
|
---|
1458 | )
|
---|
1459 | (vvPair
|
---|
1460 | variable "HDSDir"
|
---|
1461 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
|
---|
1462 | )
|
---|
1463 | (vvPair
|
---|
1464 | variable "SideDataDesignDir"
|
---|
1465 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.info"
|
---|
1466 | )
|
---|
1467 | (vvPair
|
---|
1468 | variable "SideDataUserDir"
|
---|
1469 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.user"
|
---|
1470 | )
|
---|
1471 | (vvPair
|
---|
1472 | variable "SourceDir"
|
---|
1473 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
|
---|
1474 | )
|
---|
1475 | (vvPair
|
---|
1476 | variable "appl"
|
---|
1477 | value "HDL Designer"
|
---|
1478 | )
|
---|
1479 | (vvPair
|
---|
1480 | variable "arch_name"
|
---|
1481 | value "symbol"
|
---|
1482 | )
|
---|
1483 | (vvPair
|
---|
1484 | variable "config"
|
---|
1485 | value "%(unit)_%(view)_config"
|
---|
1486 | )
|
---|
1487 | (vvPair
|
---|
1488 | variable "d"
|
---|
1489 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul"
|
---|
1490 | )
|
---|
1491 | (vvPair
|
---|
1492 | variable "d_logical"
|
---|
1493 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul"
|
---|
1494 | )
|
---|
1495 | (vvPair
|
---|
1496 | variable "date"
|
---|
1497 | value "27.07.2011"
|
---|
1498 | )
|
---|
1499 | (vvPair
|
---|
1500 | variable "day"
|
---|
1501 | value "Mi"
|
---|
1502 | )
|
---|
1503 | (vvPair
|
---|
1504 | variable "day_long"
|
---|
1505 | value "Mittwoch"
|
---|
1506 | )
|
---|
1507 | (vvPair
|
---|
1508 | variable "dd"
|
---|
1509 | value "27"
|
---|
1510 | )
|
---|
1511 | (vvPair
|
---|
1512 | variable "entity_name"
|
---|
1513 | value "w5300_modul"
|
---|
1514 | )
|
---|
1515 | (vvPair
|
---|
1516 | variable "ext"
|
---|
1517 | value "<TBD>"
|
---|
1518 | )
|
---|
1519 | (vvPair
|
---|
1520 | variable "f"
|
---|
1521 | value "symbol.sb"
|
---|
1522 | )
|
---|
1523 | (vvPair
|
---|
1524 | variable "f_logical"
|
---|
1525 | value "symbol.sb"
|
---|
1526 | )
|
---|
1527 | (vvPair
|
---|
1528 | variable "f_noext"
|
---|
1529 | value "symbol"
|
---|
1530 | )
|
---|
1531 | (vvPair
|
---|
1532 | variable "group"
|
---|
1533 | value "UNKNOWN"
|
---|
1534 | )
|
---|
1535 | (vvPair
|
---|
1536 | variable "host"
|
---|
1537 | value "IHP110"
|
---|
1538 | )
|
---|
1539 | (vvPair
|
---|
1540 | variable "language"
|
---|
1541 | value "VHDL"
|
---|
1542 | )
|
---|
1543 | (vvPair
|
---|
1544 | variable "library"
|
---|
1545 | value "FACT_FAD_lib"
|
---|
1546 | )
|
---|
1547 | (vvPair
|
---|
1548 | variable "library_downstream_HdsLintPlugin"
|
---|
1549 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
|
---|
1550 | )
|
---|
1551 | (vvPair
|
---|
1552 | variable "library_downstream_ISEPARInvoke"
|
---|
1553 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
1554 | )
|
---|
1555 | (vvPair
|
---|
1556 | variable "library_downstream_ImpactInvoke"
|
---|
1557 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
1558 | )
|
---|
1559 | (vvPair
|
---|
1560 | variable "library_downstream_ModelSimCompiler"
|
---|
1561 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
|
---|
1562 | )
|
---|
1563 | (vvPair
|
---|
1564 | variable "library_downstream_PrecisionSynthesisDataPrep"
|
---|
1565 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ps"
|
---|
1566 | )
|
---|
1567 | (vvPair
|
---|
1568 | variable "library_downstream_XSTDataPrep"
|
---|
1569 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
---|
1570 | )
|
---|
1571 | (vvPair
|
---|
1572 | variable "mm"
|
---|
1573 | value "07"
|
---|
1574 | )
|
---|
1575 | (vvPair
|
---|
1576 | variable "module_name"
|
---|
1577 | value "w5300_modul"
|
---|
1578 | )
|
---|
1579 | (vvPair
|
---|
1580 | variable "month"
|
---|
1581 | value "Jul"
|
---|
1582 | )
|
---|
1583 | (vvPair
|
---|
1584 | variable "month_long"
|
---|
1585 | value "Juli"
|
---|
1586 | )
|
---|
1587 | (vvPair
|
---|
1588 | variable "p"
|
---|
1589 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
|
---|
1590 | )
|
---|
1591 | (vvPair
|
---|
1592 | variable "p_logical"
|
---|
1593 | value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
|
---|
1594 | )
|
---|
1595 | (vvPair
|
---|
1596 | variable "package_name"
|
---|
1597 | value "<Undefined Variable>"
|
---|
1598 | )
|
---|
1599 | (vvPair
|
---|
1600 | variable "project_name"
|
---|
1601 | value "FACT_FAD"
|
---|
1602 | )
|
---|
1603 | (vvPair
|
---|
1604 | variable "series"
|
---|
1605 | value "HDL Designer Series"
|
---|
1606 | )
|
---|
1607 | (vvPair
|
---|
1608 | variable "task_DesignCompilerPath"
|
---|
1609 | value "<TBD>"
|
---|
1610 | )
|
---|
1611 | (vvPair
|
---|
1612 | variable "task_LeonardoPath"
|
---|
1613 | value "<TBD>"
|
---|
1614 | )
|
---|
1615 | (vvPair
|
---|
1616 | variable "task_ModelSimPath"
|
---|
1617 | value "D:\\modeltech_6.5e\\win32"
|
---|
1618 | )
|
---|
1619 | (vvPair
|
---|
1620 | variable "task_NC-SimPath"
|
---|
1621 | value "<TBD>"
|
---|
1622 | )
|
---|
1623 | (vvPair
|
---|
1624 | variable "task_PrecisionRTLPath"
|
---|
1625 | value "<TBD>"
|
---|
1626 | )
|
---|
1627 | (vvPair
|
---|
1628 | variable "task_QuestaSimPath"
|
---|
1629 | value "<TBD>"
|
---|
1630 | )
|
---|
1631 | (vvPair
|
---|
1632 | variable "task_VCSPath"
|
---|
1633 | value "<TBD>"
|
---|
1634 | )
|
---|
1635 | (vvPair
|
---|
1636 | variable "this_ext"
|
---|
1637 | value "sb"
|
---|
1638 | )
|
---|
1639 | (vvPair
|
---|
1640 | variable "this_file"
|
---|
1641 | value "symbol"
|
---|
1642 | )
|
---|
1643 | (vvPair
|
---|
1644 | variable "this_file_logical"
|
---|
1645 | value "symbol"
|
---|
1646 | )
|
---|
1647 | (vvPair
|
---|
1648 | variable "time"
|
---|
1649 | value "23:14:11"
|
---|
1650 | )
|
---|
1651 | (vvPair
|
---|
1652 | variable "unit"
|
---|
1653 | value "w5300_modul"
|
---|
1654 | )
|
---|
1655 | (vvPair
|
---|
1656 | variable "user"
|
---|
1657 | value "daqct3"
|
---|
1658 | )
|
---|
1659 | (vvPair
|
---|
1660 | variable "version"
|
---|
1661 | value "2009.1 (Build 12)"
|
---|
1662 | )
|
---|
1663 | (vvPair
|
---|
1664 | variable "view"
|
---|
1665 | value "symbol"
|
---|
1666 | )
|
---|
1667 | (vvPair
|
---|
1668 | variable "year"
|
---|
1669 | value "2011"
|
---|
1670 | )
|
---|
1671 | (vvPair
|
---|
1672 | variable "yy"
|
---|
1673 | value "11"
|
---|
1674 | )
|
---|
1675 | ]
|
---|
1676 | )
|
---|
1677 | LanguageMgr "VhdlLangMgr"
|
---|
1678 | uid 173,0
|
---|
1679 | optionalChildren [
|
---|
1680 | *161 (SymbolBody
|
---|
1681 | uid 8,0
|
---|
1682 | optionalChildren [
|
---|
1683 | *162 (CptPort
|
---|
1684 | uid 48,0
|
---|
1685 | ps "OnEdgeStrategy"
|
---|
1686 | shape (Triangle
|
---|
1687 | uid 49,0
|
---|
1688 | ro 90
|
---|
1689 | va (VaSet
|
---|
1690 | vasetType 1
|
---|
1691 | fg "0,65535,0"
|
---|
1692 | )
|
---|
1693 | xt "42250,3625,43000,4375"
|
---|
1694 | )
|
---|
1695 | tg (CPTG
|
---|
1696 | uid 50,0
|
---|
1697 | ps "CptPortTextPlaceStrategy"
|
---|
1698 | stg "VerticalLayoutStrategy"
|
---|
1699 | f (Text
|
---|
1700 | uid 51,0
|
---|
1701 | va (VaSet
|
---|
1702 | )
|
---|
1703 | xt "44000,3500,45500,4500"
|
---|
1704 | st "clk"
|
---|
1705 | blo "44000,4300"
|
---|
1706 | tm "CptPortNameMgr"
|
---|
1707 | )
|
---|
1708 | )
|
---|
1709 | dt (MLText
|
---|
1710 | uid 52,0
|
---|
1711 | va (VaSet
|
---|
1712 | font "Courier New,8,0"
|
---|
1713 | )
|
---|
1714 | xt "2000,20800,29500,21600"
|
---|
1715 | st "clk : IN std_logic ;
|
---|
1716 | "
|
---|
1717 | )
|
---|
1718 | thePort (LogicalPort
|
---|
1719 | decl (Decl
|
---|
1720 | n "clk"
|
---|
1721 | t "std_logic"
|
---|
1722 | preAdd 0
|
---|
1723 | posAdd 0
|
---|
1724 | o 7
|
---|
1725 | suid 1,0
|
---|
1726 | )
|
---|
1727 | )
|
---|
1728 | )
|
---|
1729 | *163 (CptPort
|
---|
1730 | uid 53,0
|
---|
1731 | ps "OnEdgeStrategy"
|
---|
1732 | shape (Triangle
|
---|
1733 | uid 54,0
|
---|
1734 | ro 90
|
---|
1735 | va (VaSet
|
---|
1736 | vasetType 1
|
---|
1737 | fg "0,65535,0"
|
---|
1738 | )
|
---|
1739 | xt "77000,3625,77750,4375"
|
---|
1740 | )
|
---|
1741 | tg (CPTG
|
---|
1742 | uid 55,0
|
---|
1743 | ps "CptPortTextPlaceStrategy"
|
---|
1744 | stg "RightVerticalLayoutStrategy"
|
---|
1745 | f (Text
|
---|
1746 | uid 56,0
|
---|
1747 | va (VaSet
|
---|
1748 | )
|
---|
1749 | xt "71800,3500,76000,4500"
|
---|
1750 | st "wiz_reset"
|
---|
1751 | ju 2
|
---|
1752 | blo "76000,4300"
|
---|
1753 | tm "CptPortNameMgr"
|
---|
1754 | )
|
---|
1755 | )
|
---|
1756 | dt (MLText
|
---|
1757 | uid 57,0
|
---|
1758 | va (VaSet
|
---|
1759 | font "Courier New,8,0"
|
---|
1760 | )
|
---|
1761 | xt "2000,21600,43000,22400"
|
---|
1762 | st "wiz_reset : OUT std_logic := '1' ;
|
---|
1763 | "
|
---|
1764 | )
|
---|
1765 | thePort (LogicalPort
|
---|
1766 | m 1
|
---|
1767 | decl (Decl
|
---|
1768 | n "wiz_reset"
|
---|
1769 | t "std_logic"
|
---|
1770 | preAdd 0
|
---|
1771 | posAdd 0
|
---|
1772 | o 8
|
---|
1773 | suid 2,0
|
---|
1774 | i "'1'"
|
---|
1775 | )
|
---|
1776 | )
|
---|
1777 | )
|
---|
1778 | *164 (CptPort
|
---|
1779 | uid 58,0
|
---|
1780 | ps "OnEdgeStrategy"
|
---|
1781 | shape (Triangle
|
---|
1782 | uid 59,0
|
---|
1783 | ro 90
|
---|
1784 | va (VaSet
|
---|
1785 | vasetType 1
|
---|
1786 | fg "0,65535,0"
|
---|
1787 | )
|
---|
1788 | xt "77000,5625,77750,6375"
|
---|
1789 | )
|
---|
1790 | tg (CPTG
|
---|
1791 | uid 60,0
|
---|
1792 | ps "CptPortTextPlaceStrategy"
|
---|
1793 | stg "RightVerticalLayoutStrategy"
|
---|
1794 | f (Text
|
---|
1795 | uid 61,0
|
---|
1796 | va (VaSet
|
---|
1797 | )
|
---|
1798 | xt "73800,5500,76000,6500"
|
---|
1799 | st "addr"
|
---|
1800 | ju 2
|
---|
1801 | blo "76000,6300"
|
---|
1802 | tm "CptPortNameMgr"
|
---|
1803 | )
|
---|
1804 | )
|
---|
1805 | dt (MLText
|
---|
1806 | uid 62,0
|
---|
1807 | va (VaSet
|
---|
1808 | font "Courier New,8,0"
|
---|
1809 | )
|
---|
1810 | xt "2000,22400,39000,23200"
|
---|
1811 | st "addr : OUT std_logic_vector (9 DOWNTO 0) ;
|
---|
1812 | "
|
---|
1813 | )
|
---|
1814 | thePort (LogicalPort
|
---|
1815 | m 1
|
---|
1816 | decl (Decl
|
---|
1817 | n "addr"
|
---|
1818 | t "std_logic_vector"
|
---|
1819 | b "(9 DOWNTO 0)"
|
---|
1820 | preAdd 0
|
---|
1821 | posAdd 0
|
---|
1822 | o 9
|
---|
1823 | suid 3,0
|
---|
1824 | )
|
---|
1825 | )
|
---|
1826 | )
|
---|
1827 | *165 (CptPort
|
---|
1828 | uid 63,0
|
---|
1829 | ps "OnEdgeStrategy"
|
---|
1830 | shape (Diamond
|
---|
1831 | uid 64,0
|
---|
1832 | ro 90
|
---|
1833 | va (VaSet
|
---|
1834 | vasetType 1
|
---|
1835 | fg "0,65535,0"
|
---|
1836 | )
|
---|
1837 | xt "77000,7625,77750,8375"
|
---|
1838 | )
|
---|
1839 | tg (CPTG
|
---|
1840 | uid 65,0
|
---|
1841 | ps "CptPortTextPlaceStrategy"
|
---|
1842 | stg "RightVerticalLayoutStrategy"
|
---|
1843 | f (Text
|
---|
1844 | uid 66,0
|
---|
1845 | va (VaSet
|
---|
1846 | )
|
---|
1847 | xt "73900,7500,76000,8500"
|
---|
1848 | st "data"
|
---|
1849 | ju 2
|
---|
1850 | blo "76000,8300"
|
---|
1851 | tm "CptPortNameMgr"
|
---|
1852 | )
|
---|
1853 | )
|
---|
1854 | dt (MLText
|
---|
1855 | uid 67,0
|
---|
1856 | va (VaSet
|
---|
1857 | font "Courier New,8,0"
|
---|
1858 | )
|
---|
1859 | xt "2000,23200,39500,24000"
|
---|
1860 | st "data : INOUT std_logic_vector (15 DOWNTO 0) ;
|
---|
1861 | "
|
---|
1862 | )
|
---|
1863 | thePort (LogicalPort
|
---|
1864 | m 2
|
---|
1865 | decl (Decl
|
---|
1866 | n "data"
|
---|
1867 | t "std_logic_vector"
|
---|
1868 | b "(15 DOWNTO 0)"
|
---|
1869 | preAdd 0
|
---|
1870 | posAdd 0
|
---|
1871 | o 10
|
---|
1872 | suid 4,0
|
---|
1873 | )
|
---|
1874 | )
|
---|
1875 | )
|
---|
1876 | *166 (CptPort
|
---|
1877 | uid 68,0
|
---|
1878 | ps "OnEdgeStrategy"
|
---|
1879 | shape (Triangle
|
---|
1880 | uid 69,0
|
---|
1881 | ro 90
|
---|
1882 | va (VaSet
|
---|
1883 | vasetType 1
|
---|
1884 | fg "0,65535,0"
|
---|
1885 | )
|
---|
1886 | xt "77000,9625,77750,10375"
|
---|
1887 | )
|
---|
1888 | tg (CPTG
|
---|
1889 | uid 70,0
|
---|
1890 | ps "CptPortTextPlaceStrategy"
|
---|
1891 | stg "RightVerticalLayoutStrategy"
|
---|
1892 | f (Text
|
---|
1893 | uid 71,0
|
---|
1894 | va (VaSet
|
---|
1895 | )
|
---|
1896 | xt "74800,9500,76000,10500"
|
---|
1897 | st "cs"
|
---|
1898 | ju 2
|
---|
1899 | blo "76000,10300"
|
---|
1900 | tm "CptPortNameMgr"
|
---|
1901 | )
|
---|
1902 | )
|
---|
1903 | dt (MLText
|
---|
1904 | uid 72,0
|
---|
1905 | va (VaSet
|
---|
1906 | font "Courier New,8,0"
|
---|
1907 | )
|
---|
1908 | xt "2000,24000,43000,24800"
|
---|
1909 | st "cs : OUT std_logic := '1' ;
|
---|
1910 | "
|
---|
1911 | )
|
---|
1912 | thePort (LogicalPort
|
---|
1913 | m 1
|
---|
1914 | decl (Decl
|
---|
1915 | n "cs"
|
---|
1916 | t "std_logic"
|
---|
1917 | preAdd 0
|
---|
1918 | posAdd 0
|
---|
1919 | o 11
|
---|
1920 | suid 5,0
|
---|
1921 | i "'1'"
|
---|
1922 | )
|
---|
1923 | )
|
---|
1924 | )
|
---|
1925 | *167 (CptPort
|
---|
1926 | uid 73,0
|
---|
1927 | ps "OnEdgeStrategy"
|
---|
1928 | shape (Triangle
|
---|
1929 | uid 74,0
|
---|
1930 | ro 90
|
---|
1931 | va (VaSet
|
---|
1932 | vasetType 1
|
---|
1933 | fg "0,65535,0"
|
---|
1934 | )
|
---|
1935 | xt "77000,11625,77750,12375"
|
---|
1936 | )
|
---|
1937 | tg (CPTG
|
---|
1938 | uid 75,0
|
---|
1939 | ps "CptPortTextPlaceStrategy"
|
---|
1940 | stg "RightVerticalLayoutStrategy"
|
---|
1941 | f (Text
|
---|
1942 | uid 76,0
|
---|
1943 | va (VaSet
|
---|
1944 | )
|
---|
1945 | xt "74600,11500,76000,12500"
|
---|
1946 | st "wr"
|
---|
1947 | ju 2
|
---|
1948 | blo "76000,12300"
|
---|
1949 | tm "CptPortNameMgr"
|
---|
1950 | )
|
---|
1951 | )
|
---|
1952 | dt (MLText
|
---|
1953 | uid 77,0
|
---|
1954 | va (VaSet
|
---|
1955 | font "Courier New,8,0"
|
---|
1956 | )
|
---|
1957 | xt "2000,24800,43000,25600"
|
---|
1958 | st "wr : OUT std_logic := '1' ;
|
---|
1959 | "
|
---|
1960 | )
|
---|
1961 | thePort (LogicalPort
|
---|
1962 | m 1
|
---|
1963 | decl (Decl
|
---|
1964 | n "wr"
|
---|
1965 | t "std_logic"
|
---|
1966 | preAdd 0
|
---|
1967 | posAdd 0
|
---|
1968 | o 12
|
---|
1969 | suid 6,0
|
---|
1970 | i "'1'"
|
---|
1971 | )
|
---|
1972 | )
|
---|
1973 | )
|
---|
1974 | *168 (CptPort
|
---|
1975 | uid 83,0
|
---|
1976 | ps "OnEdgeStrategy"
|
---|
1977 | shape (Triangle
|
---|
1978 | uid 84,0
|
---|
1979 | ro 90
|
---|
1980 | va (VaSet
|
---|
1981 | vasetType 1
|
---|
1982 | fg "0,65535,0"
|
---|
1983 | )
|
---|
1984 | xt "77000,15625,77750,16375"
|
---|
1985 | )
|
---|
1986 | tg (CPTG
|
---|
1987 | uid 85,0
|
---|
1988 | ps "CptPortTextPlaceStrategy"
|
---|
1989 | stg "RightVerticalLayoutStrategy"
|
---|
1990 | f (Text
|
---|
1991 | uid 86,0
|
---|
1992 | va (VaSet
|
---|
1993 | )
|
---|
1994 | xt "74700,15500,76000,16500"
|
---|
1995 | st "rd"
|
---|
1996 | ju 2
|
---|
1997 | blo "76000,16300"
|
---|
1998 | tm "CptPortNameMgr"
|
---|
1999 | )
|
---|
2000 | )
|
---|
2001 | dt (MLText
|
---|
2002 | uid 87,0
|
---|
2003 | va (VaSet
|
---|
2004 | font "Courier New,8,0"
|
---|
2005 | )
|
---|
2006 | xt "2000,26400,43000,27200"
|
---|
2007 | st "rd : OUT std_logic := '1' ;
|
---|
2008 | "
|
---|
2009 | )
|
---|
2010 | thePort (LogicalPort
|
---|
2011 | m 1
|
---|
2012 | decl (Decl
|
---|
2013 | n "rd"
|
---|
2014 | t "std_logic"
|
---|
2015 | preAdd 0
|
---|
2016 | posAdd 0
|
---|
2017 | o 14
|
---|
2018 | suid 8,0
|
---|
2019 | i "'1'"
|
---|
2020 | )
|
---|
2021 | )
|
---|
2022 | )
|
---|
2023 | *169 (CptPort
|
---|
2024 | uid 88,0
|
---|
2025 | ps "OnEdgeStrategy"
|
---|
2026 | shape (Triangle
|
---|
2027 | uid 89,0
|
---|
2028 | ro 90
|
---|
2029 | va (VaSet
|
---|
2030 | vasetType 1
|
---|
2031 | fg "0,65535,0"
|
---|
2032 | )
|
---|
2033 | xt "42250,5625,43000,6375"
|
---|
2034 | )
|
---|
2035 | tg (CPTG
|
---|
2036 | uid 90,0
|
---|
2037 | ps "CptPortTextPlaceStrategy"
|
---|
2038 | stg "VerticalLayoutStrategy"
|
---|
2039 | f (Text
|
---|
2040 | uid 91,0
|
---|
2041 | va (VaSet
|
---|
2042 | )
|
---|
2043 | xt "44000,5500,45400,6500"
|
---|
2044 | st "int"
|
---|
2045 | blo "44000,6300"
|
---|
2046 | tm "CptPortNameMgr"
|
---|
2047 | )
|
---|
2048 | )
|
---|
2049 | dt (MLText
|
---|
2050 | uid 92,0
|
---|
2051 | va (VaSet
|
---|
2052 | font "Courier New,8,0"
|
---|
2053 | )
|
---|
2054 | xt "2000,27200,29500,28000"
|
---|
2055 | st "int : IN std_logic ;
|
---|
2056 | "
|
---|
2057 | )
|
---|
2058 | thePort (LogicalPort
|
---|
2059 | decl (Decl
|
---|
2060 | n "int"
|
---|
2061 | t "std_logic"
|
---|
2062 | preAdd 0
|
---|
2063 | posAdd 0
|
---|
2064 | o 15
|
---|
2065 | suid 9,0
|
---|
2066 | )
|
---|
2067 | )
|
---|
2068 | )
|
---|
2069 | *170 (CptPort
|
---|
2070 | uid 93,0
|
---|
2071 | ps "OnEdgeStrategy"
|
---|
2072 | shape (Triangle
|
---|
2073 | uid 94,0
|
---|
2074 | ro 90
|
---|
2075 | va (VaSet
|
---|
2076 | vasetType 1
|
---|
2077 | fg "0,65535,0"
|
---|
2078 | )
|
---|
2079 | xt "42250,7625,43000,8375"
|
---|
2080 | )
|
---|
2081 | tg (CPTG
|
---|
2082 | uid 95,0
|
---|
2083 | ps "CptPortTextPlaceStrategy"
|
---|
2084 | stg "VerticalLayoutStrategy"
|
---|
2085 | f (Text
|
---|
2086 | uid 96,0
|
---|
2087 | va (VaSet
|
---|
2088 | )
|
---|
2089 | xt "44000,7500,49700,8500"
|
---|
2090 | st "write_length"
|
---|
2091 | blo "44000,8300"
|
---|
2092 | tm "CptPortNameMgr"
|
---|
2093 | )
|
---|
2094 | )
|
---|
2095 | dt (MLText
|
---|
2096 | uid 97,0
|
---|
2097 | va (VaSet
|
---|
2098 | font "Courier New,8,0"
|
---|
2099 | )
|
---|
2100 | xt "2000,28000,39500,28800"
|
---|
2101 | st "write_length : IN std_logic_vector (16 DOWNTO 0) ;
|
---|
2102 | "
|
---|
2103 | )
|
---|
2104 | thePort (LogicalPort
|
---|
2105 | decl (Decl
|
---|
2106 | n "write_length"
|
---|
2107 | t "std_logic_vector"
|
---|
2108 | b "(16 DOWNTO 0)"
|
---|
2109 | preAdd 0
|
---|
2110 | posAdd 0
|
---|
2111 | o 16
|
---|
2112 | suid 10,0
|
---|
2113 | )
|
---|
2114 | )
|
---|
2115 | )
|
---|
2116 | *171 (CptPort
|
---|
2117 | uid 98,0
|
---|
2118 | ps "OnEdgeStrategy"
|
---|
2119 | shape (Triangle
|
---|
2120 | uid 99,0
|
---|
2121 | ro 90
|
---|
2122 | va (VaSet
|
---|
2123 | vasetType 1
|
---|
2124 | fg "0,65535,0"
|
---|
2125 | )
|
---|
2126 | xt "42250,9625,43000,10375"
|
---|
2127 | )
|
---|
2128 | tg (CPTG
|
---|
2129 | uid 100,0
|
---|
2130 | ps "CptPortTextPlaceStrategy"
|
---|
2131 | stg "VerticalLayoutStrategy"
|
---|
2132 | f (Text
|
---|
2133 | uid 101,0
|
---|
2134 | va (VaSet
|
---|
2135 | )
|
---|
2136 | xt "44000,9500,51100,10500"
|
---|
2137 | st "ram_start_addr"
|
---|
2138 | blo "44000,10300"
|
---|
2139 | tm "CptPortNameMgr"
|
---|
2140 | )
|
---|
2141 | )
|
---|
2142 | dt (MLText
|
---|
2143 | uid 102,0
|
---|
2144 | va (VaSet
|
---|
2145 | font "Courier New,8,0"
|
---|
2146 | )
|
---|
2147 | xt "2000,28800,46500,29600"
|
---|
2148 | st "ram_start_addr : IN std_logic_vector (RAM_ADDR_WIDTH-1 DOWNTO 0) ;
|
---|
2149 | "
|
---|
2150 | )
|
---|
2151 | thePort (LogicalPort
|
---|
2152 | decl (Decl
|
---|
2153 | n "ram_start_addr"
|
---|
2154 | t "std_logic_vector"
|
---|
2155 | b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
|
---|
2156 | preAdd 0
|
---|
2157 | posAdd 0
|
---|
2158 | o 17
|
---|
2159 | suid 11,0
|
---|
2160 | )
|
---|
2161 | )
|
---|
2162 | )
|
---|
2163 | *172 (CptPort
|
---|
2164 | uid 103,0
|
---|
2165 | ps "OnEdgeStrategy"
|
---|
2166 | shape (Triangle
|
---|
2167 | uid 104,0
|
---|
2168 | ro 90
|
---|
2169 | va (VaSet
|
---|
2170 | vasetType 1
|
---|
2171 | fg "0,65535,0"
|
---|
2172 | )
|
---|
2173 | xt "42250,11625,43000,12375"
|
---|
2174 | )
|
---|
2175 | tg (CPTG
|
---|
2176 | uid 105,0
|
---|
2177 | ps "CptPortTextPlaceStrategy"
|
---|
2178 | stg "VerticalLayoutStrategy"
|
---|
2179 | f (Text
|
---|
2180 | uid 106,0
|
---|
2181 | va (VaSet
|
---|
2182 | )
|
---|
2183 | xt "44000,11500,48000,12500"
|
---|
2184 | st "ram_data"
|
---|
2185 | blo "44000,12300"
|
---|
2186 | tm "CptPortNameMgr"
|
---|
2187 | )
|
---|
2188 | )
|
---|
2189 | dt (MLText
|
---|
2190 | uid 107,0
|
---|
2191 | va (VaSet
|
---|
2192 | font "Courier New,8,0"
|
---|
2193 | )
|
---|
2194 | xt "2000,29600,39500,30400"
|
---|
2195 | st "ram_data : IN std_logic_vector (15 DOWNTO 0) ;
|
---|
2196 | "
|
---|
2197 | )
|
---|
2198 | thePort (LogicalPort
|
---|
2199 | decl (Decl
|
---|
2200 | n "ram_data"
|
---|
2201 | t "std_logic_vector"
|
---|
2202 | b "(15 DOWNTO 0)"
|
---|
2203 | preAdd 0
|
---|
2204 | posAdd 0
|
---|
2205 | o 18
|
---|
2206 | suid 12,0
|
---|
2207 | )
|
---|
2208 | )
|
---|
2209 | )
|
---|
2210 | *173 (CptPort
|
---|
2211 | uid 108,0
|
---|
2212 | ps "OnEdgeStrategy"
|
---|
2213 | shape (Triangle
|
---|
2214 | uid 109,0
|
---|
2215 | ro 90
|
---|
2216 | va (VaSet
|
---|
2217 | vasetType 1
|
---|
2218 | fg "0,65535,0"
|
---|
2219 | )
|
---|
2220 | xt "77000,17625,77750,18375"
|
---|
2221 | )
|
---|
2222 | tg (CPTG
|
---|
2223 | uid 110,0
|
---|
2224 | ps "CptPortTextPlaceStrategy"
|
---|
2225 | stg "RightVerticalLayoutStrategy"
|
---|
2226 | f (Text
|
---|
2227 | uid 111,0
|
---|
2228 | va (VaSet
|
---|
2229 | )
|
---|
2230 | xt "71900,17500,76000,18500"
|
---|
2231 | st "ram_addr"
|
---|
2232 | ju 2
|
---|
2233 | blo "76000,18300"
|
---|
2234 | tm "CptPortNameMgr"
|
---|
2235 | )
|
---|
2236 | )
|
---|
2237 | dt (MLText
|
---|
2238 | uid 112,0
|
---|
2239 | va (VaSet
|
---|
2240 | font "Courier New,8,0"
|
---|
2241 | )
|
---|
2242 | xt "2000,30400,46500,31200"
|
---|
2243 | st "ram_addr : OUT std_logic_vector (RAM_ADDR_WIDTH-1 DOWNTO 0) ;
|
---|
2244 | "
|
---|
2245 | )
|
---|
2246 | thePort (LogicalPort
|
---|
2247 | m 1
|
---|
2248 | decl (Decl
|
---|
2249 | n "ram_addr"
|
---|
2250 | t "std_logic_vector"
|
---|
2251 | b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
|
---|
2252 | preAdd 0
|
---|
2253 | posAdd 0
|
---|
2254 | o 19
|
---|
2255 | suid 13,0
|
---|
2256 | )
|
---|
2257 | )
|
---|
2258 | )
|
---|
2259 | *174 (CptPort
|
---|
2260 | uid 113,0
|
---|
2261 | ps "OnEdgeStrategy"
|
---|
2262 | shape (Triangle
|
---|
2263 | uid 114,0
|
---|
2264 | ro 90
|
---|
2265 | va (VaSet
|
---|
2266 | vasetType 1
|
---|
2267 | fg "0,65535,0"
|
---|
2268 | )
|
---|
2269 | xt "42250,13625,43000,14375"
|
---|
2270 | )
|
---|
2271 | tg (CPTG
|
---|
2272 | uid 115,0
|
---|
2273 | ps "CptPortTextPlaceStrategy"
|
---|
2274 | stg "VerticalLayoutStrategy"
|
---|
2275 | f (Text
|
---|
2276 | uid 116,0
|
---|
2277 | va (VaSet
|
---|
2278 | )
|
---|
2279 | xt "44000,13500,48800,14500"
|
---|
2280 | st "data_valid"
|
---|
2281 | blo "44000,14300"
|
---|
2282 | tm "CptPortNameMgr"
|
---|
2283 | )
|
---|
2284 | )
|
---|
2285 | dt (MLText
|
---|
2286 | uid 117,0
|
---|
2287 | va (VaSet
|
---|
2288 | font "Courier New,8,0"
|
---|
2289 | )
|
---|
2290 | xt "2000,31200,29500,32000"
|
---|
2291 | st "data_valid : IN std_logic ;
|
---|
2292 | "
|
---|
2293 | )
|
---|
2294 | thePort (LogicalPort
|
---|
2295 | decl (Decl
|
---|
2296 | n "data_valid"
|
---|
2297 | t "std_logic"
|
---|
2298 | preAdd 0
|
---|
2299 | posAdd 0
|
---|
2300 | o 20
|
---|
2301 | suid 14,0
|
---|
2302 | )
|
---|
2303 | )
|
---|
2304 | )
|
---|
2305 | *175 (CptPort
|
---|
2306 | uid 118,0
|
---|
2307 | ps "OnEdgeStrategy"
|
---|
2308 | shape (Triangle
|
---|
2309 | uid 119,0
|
---|
2310 | ro 90
|
---|
2311 | va (VaSet
|
---|
2312 | vasetType 1
|
---|
2313 | fg "0,65535,0"
|
---|
2314 | )
|
---|
2315 | xt "77000,19625,77750,20375"
|
---|
2316 | )
|
---|
2317 | tg (CPTG
|
---|
2318 | uid 120,0
|
---|
2319 | ps "CptPortTextPlaceStrategy"
|
---|
2320 | stg "RightVerticalLayoutStrategy"
|
---|
2321 | f (Text
|
---|
2322 | uid 121,0
|
---|
2323 | va (VaSet
|
---|
2324 | )
|
---|
2325 | xt "73900,19500,76000,20500"
|
---|
2326 | st "busy"
|
---|
2327 | ju 2
|
---|
2328 | blo "76000,20300"
|
---|
2329 | tm "CptPortNameMgr"
|
---|
2330 | )
|
---|
2331 | )
|
---|
2332 | dt (MLText
|
---|
2333 | uid 122,0
|
---|
2334 | va (VaSet
|
---|
2335 | font "Courier New,8,0"
|
---|
2336 | )
|
---|
2337 | xt "2000,32800,43000,33600"
|
---|
2338 | st "busy : OUT std_logic := '1' ;
|
---|
2339 | "
|
---|
2340 | )
|
---|
2341 | thePort (LogicalPort
|
---|
2342 | m 1
|
---|
2343 | decl (Decl
|
---|
2344 | n "busy"
|
---|
2345 | t "std_logic"
|
---|
2346 | preAdd 0
|
---|
2347 | posAdd 0
|
---|
2348 | o 22
|
---|
2349 | suid 15,0
|
---|
2350 | i "'1'"
|
---|
2351 | )
|
---|
2352 | )
|
---|
2353 | )
|
---|
2354 | *176 (CommentText
|
---|
2355 | uid 299,0
|
---|
2356 | ps "EdgeToEdgeStrategy"
|
---|
2357 | shape (Rectangle
|
---|
2358 | uid 300,0
|
---|
2359 | layer 0
|
---|
2360 | va (VaSet
|
---|
2361 | vasetType 1
|
---|
2362 | fg "65280,65280,46080"
|
---|
2363 | lineColor "0,0,32768"
|
---|
2364 | )
|
---|
2365 | xt "0,30800,15000,35800"
|
---|
2366 | )
|
---|
2367 | oxt "0,0,15000,5000"
|
---|
2368 | text (MLText
|
---|
2369 | uid 301,0
|
---|
2370 | va (VaSet
|
---|
2371 | fg "0,0,32768"
|
---|
2372 | )
|
---|
2373 | xt "200,31000,13900,35000"
|
---|
2374 | st "
|
---|
2375 |
|
---|
2376 | "
|
---|
2377 | tm "CommentText"
|
---|
2378 | wrapOption 3
|
---|
2379 | visibleHeight 4600
|
---|
2380 | visibleWidth 14600
|
---|
2381 | )
|
---|
2382 | included 1
|
---|
2383 | excludeCommentLeader 1
|
---|
2384 | )
|
---|
2385 | *177 (CptPort
|
---|
2386 | uid 348,0
|
---|
2387 | ps "OnEdgeStrategy"
|
---|
2388 | shape (Triangle
|
---|
2389 | uid 349,0
|
---|
2390 | ro 90
|
---|
2391 | va (VaSet
|
---|
2392 | vasetType 1
|
---|
2393 | fg "0,65535,0"
|
---|
2394 | )
|
---|
2395 | xt "42250,14625,43000,15375"
|
---|
2396 | )
|
---|
2397 | tg (CPTG
|
---|
2398 | uid 350,0
|
---|
2399 | ps "CptPortTextPlaceStrategy"
|
---|
2400 | stg "VerticalLayoutStrategy"
|
---|
2401 | f (Text
|
---|
2402 | uid 351,0
|
---|
2403 | va (VaSet
|
---|
2404 | )
|
---|
2405 | xt "44000,14500,50600,15500"
|
---|
2406 | st "write_end_flag"
|
---|
2407 | blo "44000,15300"
|
---|
2408 | tm "CptPortNameMgr"
|
---|
2409 | )
|
---|
2410 | )
|
---|
2411 | dt (MLText
|
---|
2412 | uid 352,0
|
---|
2413 | va (VaSet
|
---|
2414 | font "Courier New,8,0"
|
---|
2415 | )
|
---|
2416 | xt "2000,34400,29500,35200"
|
---|
2417 | st "write_end_flag : IN std_logic ;
|
---|
2418 | "
|
---|
2419 | )
|
---|
2420 | thePort (LogicalPort
|
---|
2421 | decl (Decl
|
---|
2422 | n "write_end_flag"
|
---|
2423 | t "std_logic"
|
---|
2424 | o 24
|
---|
2425 | suid 18,0
|
---|
2426 | )
|
---|
2427 | )
|
---|
2428 | )
|
---|
2429 | *178 (CptPort
|
---|
2430 | uid 353,0
|
---|
2431 | ps "OnEdgeStrategy"
|
---|
2432 | shape (Triangle
|
---|
2433 | uid 354,0
|
---|
2434 | ro 90
|
---|
2435 | va (VaSet
|
---|
2436 | vasetType 1
|
---|
2437 | fg "0,65535,0"
|
---|
2438 | )
|
---|
2439 | xt "42250,15625,43000,16375"
|
---|
2440 | )
|
---|
2441 | tg (CPTG
|
---|
2442 | uid 355,0
|
---|
2443 | ps "CptPortTextPlaceStrategy"
|
---|
2444 | stg "VerticalLayoutStrategy"
|
---|
2445 | f (Text
|
---|
2446 | uid 356,0
|
---|
2447 | va (VaSet
|
---|
2448 | )
|
---|
2449 | xt "44000,15500,51900,16500"
|
---|
2450 | st "write_header_flag"
|
---|
2451 | blo "44000,16300"
|
---|
2452 | tm "CptPortNameMgr"
|
---|
2453 | )
|
---|
2454 | )
|
---|
2455 | dt (MLText
|
---|
2456 | uid 357,0
|
---|
2457 | va (VaSet
|
---|
2458 | font "Courier New,8,0"
|
---|
2459 | )
|
---|
2460 | xt "2000,33600,29500,34400"
|
---|
2461 | st "write_header_flag : IN std_logic ;
|
---|
2462 | "
|
---|
2463 | )
|
---|
2464 | thePort (LogicalPort
|
---|
2465 | decl (Decl
|
---|
2466 | n "write_header_flag"
|
---|
2467 | t "std_logic"
|
---|
2468 | o 23
|
---|
2469 | suid 19,0
|
---|
2470 | )
|
---|
2471 | )
|
---|
2472 | )
|
---|
2473 | *179 (CptPort
|
---|
2474 | uid 385,0
|
---|
2475 | ps "OnEdgeStrategy"
|
---|
2476 | shape (Triangle
|
---|
2477 | uid 386,0
|
---|
2478 | ro 90
|
---|
2479 | va (VaSet
|
---|
2480 | vasetType 1
|
---|
2481 | fg "0,65535,0"
|
---|
2482 | )
|
---|
2483 | xt "42250,16625,43000,17375"
|
---|
2484 | )
|
---|
2485 | tg (CPTG
|
---|
2486 | uid 387,0
|
---|
2487 | ps "CptPortTextPlaceStrategy"
|
---|
2488 | stg "VerticalLayoutStrategy"
|
---|
2489 | f (Text
|
---|
2490 | uid 388,0
|
---|
2491 | va (VaSet
|
---|
2492 | )
|
---|
2493 | xt "44000,16500,49900,17500"
|
---|
2494 | st "fifo_channels"
|
---|
2495 | blo "44000,17300"
|
---|
2496 | tm "CptPortNameMgr"
|
---|
2497 | )
|
---|
2498 | )
|
---|
2499 | dt (MLText
|
---|
2500 | uid 389,0
|
---|
2501 | va (VaSet
|
---|
2502 | font "Courier New,8,0"
|
---|
2503 | )
|
---|
2504 | xt "2000,35200,39000,36000"
|
---|
2505 | st "fifo_channels : IN std_logic_vector (3 downto 0) ;
|
---|
2506 | "
|
---|
2507 | )
|
---|
2508 | thePort (LogicalPort
|
---|
2509 | decl (Decl
|
---|
2510 | n "fifo_channels"
|
---|
2511 | t "std_logic_vector"
|
---|
2512 | b "(3 downto 0)"
|
---|
2513 | posAdd 0
|
---|
2514 | o 25
|
---|
2515 | suid 20,0
|
---|
2516 | )
|
---|
2517 | )
|
---|
2518 | )
|
---|
2519 | *180 (CptPort
|
---|
2520 | uid 491,0
|
---|
2521 | ps "OnEdgeStrategy"
|
---|
2522 | shape (Triangle
|
---|
2523 | uid 492,0
|
---|
2524 | ro 90
|
---|
2525 | va (VaSet
|
---|
2526 | vasetType 1
|
---|
2527 | fg "0,65535,0"
|
---|
2528 | )
|
---|
2529 | xt "77000,20625,77750,21375"
|
---|
2530 | )
|
---|
2531 | tg (CPTG
|
---|
2532 | uid 493,0
|
---|
2533 | ps "CptPortTextPlaceStrategy"
|
---|
2534 | stg "RightVerticalLayoutStrategy"
|
---|
2535 | f (Text
|
---|
2536 | uid 494,0
|
---|
2537 | va (VaSet
|
---|
2538 | )
|
---|
2539 | xt "74500,20500,76000,21500"
|
---|
2540 | st "led"
|
---|
2541 | ju 2
|
---|
2542 | blo "76000,21300"
|
---|
2543 | tm "CptPortNameMgr"
|
---|
2544 | )
|
---|
2545 | )
|
---|
2546 | dt (MLText
|
---|
2547 | uid 495,0
|
---|
2548 | va (VaSet
|
---|
2549 | font "Courier New,8,0"
|
---|
2550 | )
|
---|
2551 | xt "2000,25600,49000,26400"
|
---|
2552 | st "led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
|
---|
2553 | "
|
---|
2554 | )
|
---|
2555 | thePort (LogicalPort
|
---|
2556 | m 1
|
---|
2557 | decl (Decl
|
---|
2558 | n "led"
|
---|
2559 | t "std_logic_vector"
|
---|
2560 | b "(7 DOWNTO 0)"
|
---|
2561 | posAdd 0
|
---|
2562 | o 13
|
---|
2563 | suid 22,0
|
---|
2564 | i "(OTHERS => '0')"
|
---|
2565 | )
|
---|
2566 | )
|
---|
2567 | )
|
---|
2568 | *181 (CptPort
|
---|
2569 | uid 521,0
|
---|
2570 | ps "OnEdgeStrategy"
|
---|
2571 | shape (Triangle
|
---|
2572 | uid 522,0
|
---|
2573 | ro 90
|
---|
2574 | va (VaSet
|
---|
2575 | vasetType 1
|
---|
2576 | fg "0,65535,0"
|
---|
2577 | )
|
---|
2578 | xt "77000,21625,77750,22375"
|
---|
2579 | )
|
---|
2580 | tg (CPTG
|
---|
2581 | uid 523,0
|
---|
2582 | ps "CptPortTextPlaceStrategy"
|
---|
2583 | stg "RightVerticalLayoutStrategy"
|
---|
2584 | f (Text
|
---|
2585 | uid 524,0
|
---|
2586 | va (VaSet
|
---|
2587 | )
|
---|
2588 | xt "72100,21500,76000,22500"
|
---|
2589 | st "s_trigger"
|
---|
2590 | ju 2
|
---|
2591 | blo "76000,22300"
|
---|
2592 | tm "CptPortNameMgr"
|
---|
2593 | )
|
---|
2594 | )
|
---|
2595 | dt (MLText
|
---|
2596 | uid 525,0
|
---|
2597 | va (VaSet
|
---|
2598 | font "Courier New,8,0"
|
---|
2599 | )
|
---|
2600 | xt "2000,36000,43000,37600"
|
---|
2601 | st "-- softtrigger:
|
---|
2602 | s_trigger : OUT std_logic := '0' ;
|
---|
2603 | "
|
---|
2604 | )
|
---|
2605 | thePort (LogicalPort
|
---|
2606 | m 1
|
---|
2607 | decl (Decl
|
---|
2608 | n "s_trigger"
|
---|
2609 | t "std_logic"
|
---|
2610 | prec "-- softtrigger:"
|
---|
2611 | preAdd 0
|
---|
2612 | o 26
|
---|
2613 | suid 23,0
|
---|
2614 | i "'0'"
|
---|
2615 | )
|
---|
2616 | )
|
---|
2617 | )
|
---|
2618 | *182 (CptPort
|
---|
2619 | uid 670,0
|
---|
2620 | ps "OnEdgeStrategy"
|
---|
2621 | shape (Triangle
|
---|
2622 | uid 671,0
|
---|
2623 | ro 90
|
---|
2624 | va (VaSet
|
---|
2625 | vasetType 1
|
---|
2626 | fg "0,65535,0"
|
---|
2627 | )
|
---|
2628 | xt "77000,27625,77750,28375"
|
---|
2629 | )
|
---|
2630 | tg (CPTG
|
---|
2631 | uid 672,0
|
---|
2632 | ps "CptPortTextPlaceStrategy"
|
---|
2633 | stg "RightVerticalLayoutStrategy"
|
---|
2634 | f (Text
|
---|
2635 | uid 673,0
|
---|
2636 | va (VaSet
|
---|
2637 | )
|
---|
2638 | xt "72800,27500,76000,28500"
|
---|
2639 | st "denable"
|
---|
2640 | ju 2
|
---|
2641 | blo "76000,28300"
|
---|
2642 | tm "CptPortNameMgr"
|
---|
2643 | )
|
---|
2644 | )
|
---|
2645 | dt (MLText
|
---|
2646 | uid 674,0
|
---|
2647 | va (VaSet
|
---|
2648 | font "Courier New,8,0"
|
---|
2649 | )
|
---|
2650 | xt "2000,59200,87500,60000"
|
---|
2651 | st "denable : OUT std_logic := '0' ; -- default domino wave on. ... in case if REFCLK error ... REFCLK counter will override.
|
---|
2652 | "
|
---|
2653 | )
|
---|
2654 | thePort (LogicalPort
|
---|
2655 | m 1
|
---|
2656 | decl (Decl
|
---|
2657 | n "denable"
|
---|
2658 | t "std_logic"
|
---|
2659 | eolc "-- default domino wave on. ... in case if REFCLK error ... REFCLK counter will override."
|
---|
2660 | preAdd 0
|
---|
2661 | posAdd 0
|
---|
2662 | o 42
|
---|
2663 | suid 31,0
|
---|
2664 | i "'0'"
|
---|
2665 | )
|
---|
2666 | )
|
---|
2667 | )
|
---|
2668 | *183 (CptPort
|
---|
2669 | uid 723,0
|
---|
2670 | ps "OnEdgeStrategy"
|
---|
2671 | shape (Triangle
|
---|
2672 | uid 724,0
|
---|
2673 | ro 90
|
---|
2674 | va (VaSet
|
---|
2675 | vasetType 1
|
---|
2676 | fg "0,65535,0"
|
---|
2677 | )
|
---|
2678 | xt "77000,28625,77750,29375"
|
---|
2679 | )
|
---|
2680 | tg (CPTG
|
---|
2681 | uid 725,0
|
---|
2682 | ps "CptPortTextPlaceStrategy"
|
---|
2683 | stg "RightVerticalLayoutStrategy"
|
---|
2684 | f (Text
|
---|
2685 | uid 726,0
|
---|
2686 | va (VaSet
|
---|
2687 | )
|
---|
2688 | xt "69800,28500,76000,29500"
|
---|
2689 | st "dwrite_enable"
|
---|
2690 | ju 2
|
---|
2691 | blo "76000,29300"
|
---|
2692 | tm "CptPortNameMgr"
|
---|
2693 | )
|
---|
2694 | )
|
---|
2695 | dt (MLText
|
---|
2696 | uid 727,0
|
---|
2697 | va (VaSet
|
---|
2698 | font "Courier New,8,0"
|
---|
2699 | )
|
---|
2700 | xt "2000,60000,54500,60800"
|
---|
2701 | st "dwrite_enable : OUT std_logic := '1' ; -- default DWRITE low.
|
---|
2702 | "
|
---|
2703 | )
|
---|
2704 | thePort (LogicalPort
|
---|
2705 | m 1
|
---|
2706 | decl (Decl
|
---|
2707 | n "dwrite_enable"
|
---|
2708 | t "std_logic"
|
---|
2709 | eolc "-- default DWRITE low."
|
---|
2710 | preAdd 0
|
---|
2711 | posAdd 0
|
---|
2712 | o 43
|
---|
2713 | suid 32,0
|
---|
2714 | i "'1'"
|
---|
2715 | )
|
---|
2716 | )
|
---|
2717 | )
|
---|
2718 | *184 (CptPort
|
---|
2719 | uid 885,0
|
---|
2720 | ps "OnEdgeStrategy"
|
---|
2721 | shape (Triangle
|
---|
2722 | uid 886,0
|
---|
2723 | ro 90
|
---|
2724 | va (VaSet
|
---|
2725 | vasetType 1
|
---|
2726 | fg "0,65535,0"
|
---|
2727 | )
|
---|
2728 | xt "77000,29625,77750,30375"
|
---|
2729 | )
|
---|
2730 | tg (CPTG
|
---|
2731 | uid 887,0
|
---|
2732 | ps "CptPortTextPlaceStrategy"
|
---|
2733 | stg "RightVerticalLayoutStrategy"
|
---|
2734 | f (Text
|
---|
2735 | uid 888,0
|
---|
2736 | va (VaSet
|
---|
2737 | )
|
---|
2738 | xt "69400,29500,76000,30500"
|
---|
2739 | st "data_valid_ack"
|
---|
2740 | ju 2
|
---|
2741 | blo "76000,30300"
|
---|
2742 | tm "CptPortNameMgr"
|
---|
2743 | )
|
---|
2744 | )
|
---|
2745 | dt (MLText
|
---|
2746 | uid 889,0
|
---|
2747 | va (VaSet
|
---|
2748 | font "Courier New,8,0"
|
---|
2749 | )
|
---|
2750 | xt "2000,32000,43000,32800"
|
---|
2751 | st "data_valid_ack : OUT std_logic := '0' ;
|
---|
2752 | "
|
---|
2753 | )
|
---|
2754 | thePort (LogicalPort
|
---|
2755 | m 1
|
---|
2756 | decl (Decl
|
---|
2757 | n "data_valid_ack"
|
---|
2758 | t "std_logic"
|
---|
2759 | o 21
|
---|
2760 | suid 34,0
|
---|
2761 | i "'0'"
|
---|
2762 | )
|
---|
2763 | )
|
---|
2764 | )
|
---|
2765 | *185 (CptPort
|
---|
2766 | uid 917,0
|
---|
2767 | ps "OnEdgeStrategy"
|
---|
2768 | shape (Triangle
|
---|
2769 | uid 918,0
|
---|
2770 | ro 90
|
---|
2771 | va (VaSet
|
---|
2772 | vasetType 1
|
---|
2773 | fg "0,65535,0"
|
---|
2774 | )
|
---|
2775 | xt "77000,30625,77750,31375"
|
---|
2776 | )
|
---|
2777 | tg (CPTG
|
---|
2778 | uid 919,0
|
---|
2779 | ps "CptPortTextPlaceStrategy"
|
---|
2780 | stg "RightVerticalLayoutStrategy"
|
---|
2781 | f (Text
|
---|
2782 | uid 920,0
|
---|
2783 | va (VaSet
|
---|
2784 | )
|
---|
2785 | xt "70800,30500,76000,31500"
|
---|
2786 | st "sclk_enable"
|
---|
2787 | ju 2
|
---|
2788 | blo "76000,31300"
|
---|
2789 | tm "CptPortNameMgr"
|
---|
2790 | )
|
---|
2791 | )
|
---|
2792 | dt (MLText
|
---|
2793 | uid 921,0
|
---|
2794 | va (VaSet
|
---|
2795 | font "Courier New,8,0"
|
---|
2796 | )
|
---|
2797 | xt "2000,60800,55000,61600"
|
---|
2798 | st "sclk_enable : OUT std_logic := '1' ; -- default DWRITE HIGH.
|
---|
2799 | "
|
---|
2800 | )
|
---|
2801 | thePort (LogicalPort
|
---|
2802 | m 1
|
---|
2803 | decl (Decl
|
---|
2804 | n "sclk_enable"
|
---|
2805 | t "std_logic"
|
---|
2806 | eolc "-- default DWRITE HIGH."
|
---|
2807 | posAdd 0
|
---|
2808 | o 44
|
---|
2809 | suid 35,0
|
---|
2810 | i "'1'"
|
---|
2811 | )
|
---|
2812 | )
|
---|
2813 | )
|
---|
2814 | *186 (CptPort
|
---|
2815 | uid 949,0
|
---|
2816 | ps "OnEdgeStrategy"
|
---|
2817 | shape (Triangle
|
---|
2818 | uid 950,0
|
---|
2819 | ro 90
|
---|
2820 | va (VaSet
|
---|
2821 | vasetType 1
|
---|
2822 | fg "0,65535,0"
|
---|
2823 | )
|
---|
2824 | xt "77000,31625,77750,32375"
|
---|
2825 | )
|
---|
2826 | tg (CPTG
|
---|
2827 | uid 951,0
|
---|
2828 | ps "CptPortTextPlaceStrategy"
|
---|
2829 | stg "RightVerticalLayoutStrategy"
|
---|
2830 | f (Text
|
---|
2831 | uid 952,0
|
---|
2832 | va (VaSet
|
---|
2833 | )
|
---|
2834 | xt "70500,31500,76000,32500"
|
---|
2835 | st "ps_direction"
|
---|
2836 | ju 2
|
---|
2837 | blo "76000,32300"
|
---|
2838 | tm "CptPortNameMgr"
|
---|
2839 | )
|
---|
2840 | )
|
---|
2841 | dt (MLText
|
---|
2842 | uid 953,0
|
---|
2843 | va (VaSet
|
---|
2844 | font "Courier New,8,0"
|
---|
2845 | )
|
---|
2846 | xt "2000,64800,58500,69600"
|
---|
2847 | st "------------------------------------------------------------------------------
|
---|
2848 |
|
---|
2849 | -- ADC CLK generator, is able to shift phase with respect to X_50M
|
---|
2850 | -- these signals control the behavior of the digital clock manager (DCM)
|
---|
2851 | ------------------------------------------------------------------------------
|
---|
2852 | ps_direction : OUT std_logic := '1' ; -- default phase shift upwards
|
---|
2853 | "
|
---|
2854 | )
|
---|
2855 | thePort (LogicalPort
|
---|
2856 | m 1
|
---|
2857 | decl (Decl
|
---|
2858 | n "ps_direction"
|
---|
2859 | t "std_logic"
|
---|
2860 | prec "------------------------------------------------------------------------------
|
---|
2861 |
|
---|
2862 | -- ADC CLK generator, is able to shift phase with respect to X_50M
|
---|
2863 | -- these signals control the behavior of the digital clock manager (DCM)
|
---|
2864 | ------------------------------------------------------------------------------"
|
---|
2865 | eolc "-- default phase shift upwards"
|
---|
2866 | preAdd 0
|
---|
2867 | posAdd 0
|
---|
2868 | o 49
|
---|
2869 | suid 36,0
|
---|
2870 | i "'1'"
|
---|
2871 | )
|
---|
2872 | )
|
---|
2873 | )
|
---|
2874 | *187 (CptPort
|
---|
2875 | uid 954,0
|
---|
2876 | ps "OnEdgeStrategy"
|
---|
2877 | shape (Triangle
|
---|
2878 | uid 955,0
|
---|
2879 | ro 90
|
---|
2880 | va (VaSet
|
---|
2881 | vasetType 1
|
---|
2882 | fg "0,65535,0"
|
---|
2883 | )
|
---|
2884 | xt "77000,32625,77750,33375"
|
---|
2885 | )
|
---|
2886 | tg (CPTG
|
---|
2887 | uid 956,0
|
---|
2888 | ps "CptPortTextPlaceStrategy"
|
---|
2889 | stg "RightVerticalLayoutStrategy"
|
---|
2890 | f (Text
|
---|
2891 | uid 957,0
|
---|
2892 | va (VaSet
|
---|
2893 | )
|
---|
2894 | xt "67900,32500,76000,33500"
|
---|
2895 | st "ps_do_phase_shift"
|
---|
2896 | ju 2
|
---|
2897 | blo "76000,33300"
|
---|
2898 | tm "CptPortNameMgr"
|
---|
2899 | )
|
---|
2900 | )
|
---|
2901 | dt (MLText
|
---|
2902 | uid 958,0
|
---|
2903 | va (VaSet
|
---|
2904 | font "Courier New,8,0"
|
---|
2905 | )
|
---|
2906 | xt "2000,69600,59500,70400"
|
---|
2907 | st "ps_do_phase_shift : OUT std_logic := '0' ; --pulse this to phase shift once
|
---|
2908 | "
|
---|
2909 | )
|
---|
2910 | thePort (LogicalPort
|
---|
2911 | m 1
|
---|
2912 | decl (Decl
|
---|
2913 | n "ps_do_phase_shift"
|
---|
2914 | t "std_logic"
|
---|
2915 | eolc "--pulse this to phase shift once"
|
---|
2916 | preAdd 0
|
---|
2917 | posAdd 0
|
---|
2918 | o 50
|
---|
2919 | suid 37,0
|
---|
2920 | i "'0'"
|
---|
2921 | )
|
---|
2922 | )
|
---|
2923 | )
|
---|
2924 | *188 (CptPort
|
---|
2925 | uid 988,0
|
---|
2926 | ps "OnEdgeStrategy"
|
---|
2927 | shape (Triangle
|
---|
2928 | uid 989,0
|
---|
2929 | ro 90
|
---|
2930 | va (VaSet
|
---|
2931 | vasetType 1
|
---|
2932 | fg "0,65535,0"
|
---|
2933 | )
|
---|
2934 | xt "77000,33625,77750,34375"
|
---|
2935 | )
|
---|
2936 | tg (CPTG
|
---|
2937 | uid 990,0
|
---|
2938 | ps "CptPortTextPlaceStrategy"
|
---|
2939 | stg "RightVerticalLayoutStrategy"
|
---|
2940 | f (Text
|
---|
2941 | uid 991,0
|
---|
2942 | va (VaSet
|
---|
2943 | )
|
---|
2944 | xt "72300,33500,76000,34500"
|
---|
2945 | st "ps_reset"
|
---|
2946 | ju 2
|
---|
2947 | blo "76000,34300"
|
---|
2948 | tm "CptPortNameMgr"
|
---|
2949 | )
|
---|
2950 | )
|
---|
2951 | dt (MLText
|
---|
2952 | uid 992,0
|
---|
2953 | va (VaSet
|
---|
2954 | font "Courier New,8,0"
|
---|
2955 | )
|
---|
2956 | xt "2000,70400,67000,71200"
|
---|
2957 | st "ps_reset : OUT std_logic := '0' ; -- pulse this to reset the variable phase shift
|
---|
2958 | "
|
---|
2959 | )
|
---|
2960 | thePort (LogicalPort
|
---|
2961 | m 1
|
---|
2962 | decl (Decl
|
---|
2963 | n "ps_reset"
|
---|
2964 | t "std_logic"
|
---|
2965 | eolc "-- pulse this to reset the variable phase shift"
|
---|
2966 | posAdd 0
|
---|
2967 | o 51
|
---|
2968 | suid 38,0
|
---|
2969 | i "'0'"
|
---|
2970 | )
|
---|
2971 | )
|
---|
2972 | )
|
---|
2973 | *189 (CptPort
|
---|
2974 | uid 1020,0
|
---|
2975 | ps "OnEdgeStrategy"
|
---|
2976 | shape (Triangle
|
---|
2977 | uid 1021,0
|
---|
2978 | ro 90
|
---|
2979 | va (VaSet
|
---|
2980 | vasetType 1
|
---|
2981 | fg "0,65535,0"
|
---|
2982 | )
|
---|
2983 | xt "77000,34625,77750,35375"
|
---|
2984 | )
|
---|
2985 | tg (CPTG
|
---|
2986 | uid 1022,0
|
---|
2987 | ps "CptPortTextPlaceStrategy"
|
---|
2988 | stg "RightVerticalLayoutStrategy"
|
---|
2989 | f (Text
|
---|
2990 | uid 1023,0
|
---|
2991 | va (VaSet
|
---|
2992 | )
|
---|
2993 | xt "70400,34500,76000,35500"
|
---|
2994 | st "srclk_enable"
|
---|
2995 | ju 2
|
---|
2996 | blo "76000,35300"
|
---|
2997 | tm "CptPortNameMgr"
|
---|
2998 | )
|
---|
2999 | )
|
---|
3000 | dt (MLText
|
---|
3001 | uid 1024,0
|
---|
3002 | va (VaSet
|
---|
3003 | font "Courier New,8,0"
|
---|
3004 | )
|
---|
3005 | xt "2000,61600,53500,62400"
|
---|
3006 | st "srclk_enable : OUT std_logic := '1' ; -- default SRCLK on.
|
---|
3007 | "
|
---|
3008 | )
|
---|
3009 | thePort (LogicalPort
|
---|
3010 | m 1
|
---|
3011 | decl (Decl
|
---|
3012 | n "srclk_enable"
|
---|
3013 | t "std_logic"
|
---|
3014 | eolc "-- default SRCLK on."
|
---|
3015 | posAdd 0
|
---|
3016 | o 45
|
---|
3017 | suid 39,0
|
---|
3018 | i "'1'"
|
---|
3019 | )
|
---|
3020 | )
|
---|
3021 | )
|
---|
3022 | *190 (CptPort
|
---|
3023 | uid 1091,0
|
---|
3024 | ps "OnEdgeStrategy"
|
---|
3025 | shape (Triangle
|
---|
3026 | uid 1092,0
|
---|
3027 | ro 90
|
---|
3028 | va (VaSet
|
---|
3029 | vasetType 1
|
---|
3030 | fg "0,65535,0"
|
---|
3031 | )
|
---|
3032 | xt "77000,35625,77750,36375"
|
---|
3033 | )
|
---|
3034 | tg (CPTG
|
---|
3035 | uid 1093,0
|
---|
3036 | ps "CptPortTextPlaceStrategy"
|
---|
3037 | stg "RightVerticalLayoutStrategy"
|
---|
3038 | f (Text
|
---|
3039 | uid 1094,0
|
---|
3040 | va (VaSet
|
---|
3041 | )
|
---|
3042 | xt "68800,35500,76000,36500"
|
---|
3043 | st "socks_connected"
|
---|
3044 | ju 2
|
---|
3045 | blo "76000,36300"
|
---|
3046 | tm "CptPortNameMgr"
|
---|
3047 | )
|
---|
3048 | )
|
---|
3049 | dt (MLText
|
---|
3050 | uid 1095,0
|
---|
3051 | va (VaSet
|
---|
3052 | font "Courier New,8,0"
|
---|
3053 | )
|
---|
3054 | xt "2000,76800,43000,78400"
|
---|
3055 | st "socks_connected : OUT std_logic
|
---|
3056 | ------------------------------------------------------------------------------
|
---|
3057 | "
|
---|
3058 | )
|
---|
3059 | thePort (LogicalPort
|
---|
3060 | m 1
|
---|
3061 | decl (Decl
|
---|
3062 | n "socks_connected"
|
---|
3063 | t "std_logic"
|
---|
3064 | posc "------------------------------------------------------------------------------"
|
---|
3065 | posAdd 0
|
---|
3066 | o 54
|
---|
3067 | suid 42,0
|
---|
3068 | )
|
---|
3069 | )
|
---|
3070 | )
|
---|
3071 | *191 (CptPort
|
---|
3072 | uid 1096,0
|
---|
3073 | ps "OnEdgeStrategy"
|
---|
3074 | shape (Triangle
|
---|
3075 | uid 1097,0
|
---|
3076 | ro 90
|
---|
3077 | va (VaSet
|
---|
3078 | vasetType 1
|
---|
3079 | fg "0,65535,0"
|
---|
3080 | )
|
---|
3081 | xt "77000,36625,77750,37375"
|
---|
3082 | )
|
---|
3083 | tg (CPTG
|
---|
3084 | uid 1098,0
|
---|
3085 | ps "CptPortTextPlaceStrategy"
|
---|
3086 | stg "RightVerticalLayoutStrategy"
|
---|
3087 | f (Text
|
---|
3088 | uid 1099,0
|
---|
3089 | va (VaSet
|
---|
3090 | )
|
---|
3091 | xt "69900,36500,76000,37500"
|
---|
3092 | st "socks_waiting"
|
---|
3093 | ju 2
|
---|
3094 | blo "76000,37300"
|
---|
3095 | tm "CptPortNameMgr"
|
---|
3096 | )
|
---|
3097 | )
|
---|
3098 | dt (MLText
|
---|
3099 | uid 1100,0
|
---|
3100 | va (VaSet
|
---|
3101 | font "Courier New,8,0"
|
---|
3102 | )
|
---|
3103 | xt "2000,72000,43000,76800"
|
---|
3104 | st "------------------------------------------------------------------------------
|
---|
3105 |
|
---|
3106 | -- signals used to control FAD LED bahavior:
|
---|
3107 | -- one of the three LEDs is used for com-status info
|
---|
3108 | ------------------------------------------------------------------------------
|
---|
3109 | socks_waiting : OUT std_logic ;
|
---|
3110 | "
|
---|
3111 | )
|
---|
3112 | thePort (LogicalPort
|
---|
3113 | m 1
|
---|
3114 | decl (Decl
|
---|
3115 | n "socks_waiting"
|
---|
3116 | t "std_logic"
|
---|
3117 | prec "------------------------------------------------------------------------------
|
---|
3118 |
|
---|
3119 | -- signals used to control FAD LED bahavior:
|
---|
3120 | -- one of the three LEDs is used for com-status info
|
---|
3121 | ------------------------------------------------------------------------------"
|
---|
3122 | preAdd 0
|
---|
3123 | o 53
|
---|
3124 | suid 43,0
|
---|
3125 | )
|
---|
3126 | )
|
---|
3127 | )
|
---|
3128 | *192 (CptPort
|
---|
3129 | uid 1130,0
|
---|
3130 | ps "OnEdgeStrategy"
|
---|
3131 | shape (Triangle
|
---|
3132 | uid 1131,0
|
---|
3133 | ro 90
|
---|
3134 | va (VaSet
|
---|
3135 | vasetType 1
|
---|
3136 | fg "0,65535,0"
|
---|
3137 | )
|
---|
3138 | xt "77000,37625,77750,38375"
|
---|
3139 | )
|
---|
3140 | tg (CPTG
|
---|
3141 | uid 1132,0
|
---|
3142 | ps "CptPortTextPlaceStrategy"
|
---|
3143 | stg "RightVerticalLayoutStrategy"
|
---|
3144 | f (Text
|
---|
3145 | uid 1133,0
|
---|
3146 | va (VaSet
|
---|
3147 | )
|
---|
3148 | xt "69700,37500,76000,38500"
|
---|
3149 | st "trigger_enable"
|
---|
3150 | ju 2
|
---|
3151 | blo "76000,38300"
|
---|
3152 | tm "CptPortNameMgr"
|
---|
3153 | )
|
---|
3154 | )
|
---|
3155 | dt (MLText
|
---|
3156 | uid 1134,0
|
---|
3157 | va (VaSet
|
---|
3158 | font "Courier New,8,0"
|
---|
3159 | )
|
---|
3160 | xt "2000,55200,43000,59200"
|
---|
3161 | st "------------------------------------------------------------------------------
|
---|
3162 |
|
---|
3163 | -- user controllable enable signals
|
---|
3164 | ------------------------------------------------------------------------------
|
---|
3165 | trigger_enable : OUT std_logic ;
|
---|
3166 | "
|
---|
3167 | )
|
---|
3168 | thePort (LogicalPort
|
---|
3169 | m 1
|
---|
3170 | decl (Decl
|
---|
3171 | n "trigger_enable"
|
---|
3172 | t "std_logic"
|
---|
3173 | prec "------------------------------------------------------------------------------
|
---|
3174 |
|
---|
3175 | -- user controllable enable signals
|
---|
3176 | ------------------------------------------------------------------------------"
|
---|
3177 | preAdd 0
|
---|
3178 | posAdd 0
|
---|
3179 | o 41
|
---|
3180 | suid 44,0
|
---|
3181 | )
|
---|
3182 | )
|
---|
3183 | )
|
---|
3184 | *193 (CptPort
|
---|
3185 | uid 1187,0
|
---|
3186 | ps "OnEdgeStrategy"
|
---|
3187 | shape (Triangle
|
---|
3188 | uid 1188,0
|
---|
3189 | ro 90
|
---|
3190 | va (VaSet
|
---|
3191 | vasetType 1
|
---|
3192 | fg "0,65535,0"
|
---|
3193 | )
|
---|
3194 | xt "77000,38625,77750,39375"
|
---|
3195 | )
|
---|
3196 | tg (CPTG
|
---|
3197 | uid 1189,0
|
---|
3198 | ps "CptPortTextPlaceStrategy"
|
---|
3199 | stg "RightVerticalLayoutStrategy"
|
---|
3200 | f (Text
|
---|
3201 | uid 1190,0
|
---|
3202 | va (VaSet
|
---|
3203 | )
|
---|
3204 | xt "68800,38500,76000,39500"
|
---|
3205 | st "c_trigger_enable"
|
---|
3206 | ju 2
|
---|
3207 | blo "76000,39300"
|
---|
3208 | tm "CptPortNameMgr"
|
---|
3209 | )
|
---|
3210 | )
|
---|
3211 | dt (MLText
|
---|
3212 | uid 1191,0
|
---|
3213 | va (VaSet
|
---|
3214 | font "Courier New,8,0"
|
---|
3215 | )
|
---|
3216 | xt "2000,37600,43000,38400"
|
---|
3217 | st "c_trigger_enable : OUT std_logic := '0' ;
|
---|
3218 | "
|
---|
3219 | )
|
---|
3220 | thePort (LogicalPort
|
---|
3221 | m 1
|
---|
3222 | decl (Decl
|
---|
3223 | n "c_trigger_enable"
|
---|
3224 | t "std_logic"
|
---|
3225 | o 27
|
---|
3226 | suid 45,0
|
---|
3227 | i "'0'"
|
---|
3228 | )
|
---|
3229 | )
|
---|
3230 | )
|
---|
3231 | *194 (CptPort
|
---|
3232 | uid 1192,0
|
---|
3233 | ps "OnEdgeStrategy"
|
---|
3234 | shape (Triangle
|
---|
3235 | uid 1193,0
|
---|
3236 | ro 90
|
---|
3237 | va (VaSet
|
---|
3238 | vasetType 1
|
---|
3239 | fg "0,65535,0"
|
---|
3240 | )
|
---|
3241 | xt "77000,39625,77750,40375"
|
---|
3242 | )
|
---|
3243 | tg (CPTG
|
---|
3244 | uid 1194,0
|
---|
3245 | ps "CptPortTextPlaceStrategy"
|
---|
3246 | stg "RightVerticalLayoutStrategy"
|
---|
3247 | f (Text
|
---|
3248 | uid 1195,0
|
---|
3249 | va (VaSet
|
---|
3250 | )
|
---|
3251 | xt "69500,39500,76000,40500"
|
---|
3252 | st "c_trigger_mult"
|
---|
3253 | ju 2
|
---|
3254 | blo "76000,40300"
|
---|
3255 | tm "CptPortNameMgr"
|
---|
3256 | )
|
---|
3257 | )
|
---|
3258 | dt (MLText
|
---|
3259 | uid 1196,0
|
---|
3260 | va (VaSet
|
---|
3261 | font "Courier New,8,0"
|
---|
3262 | )
|
---|
3263 | xt "2000,38400,66000,39200"
|
---|
3264 | st "c_trigger_mult : OUT std_logic_vector (15 DOWNTO 0) := conv_std_logic_vector(0 ,16) ; --subject to changes
|
---|
3265 | "
|
---|
3266 | )
|
---|
3267 | thePort (LogicalPort
|
---|
3268 | m 1
|
---|
3269 | decl (Decl
|
---|
3270 | n "c_trigger_mult"
|
---|
3271 | t "std_logic_vector"
|
---|
3272 | b "(15 DOWNTO 0)"
|
---|
3273 | eolc "--subject to changes"
|
---|
3274 | posAdd 0
|
---|
3275 | o 28
|
---|
3276 | suid 46,0
|
---|
3277 | i "conv_std_logic_vector(0 ,16)"
|
---|
3278 | )
|
---|
3279 | )
|
---|
3280 | )
|
---|
3281 | *195 (CptPort
|
---|
3282 | uid 1283,0
|
---|
3283 | ps "OnEdgeStrategy"
|
---|
3284 | shape (Triangle
|
---|
3285 | uid 1284,0
|
---|
3286 | ro 90
|
---|
3287 | va (VaSet
|
---|
3288 | vasetType 1
|
---|
3289 | fg "0,65535,0"
|
---|
3290 | )
|
---|
3291 | xt "42250,21625,43000,22375"
|
---|
3292 | )
|
---|
3293 | tg (CPTG
|
---|
3294 | uid 1285,0
|
---|
3295 | ps "CptPortTextPlaceStrategy"
|
---|
3296 | stg "VerticalLayoutStrategy"
|
---|
3297 | f (Text
|
---|
3298 | uid 1286,0
|
---|
3299 | va (VaSet
|
---|
3300 | )
|
---|
3301 | xt "44000,21500,49700,22500"
|
---|
3302 | st "MAC_jumper"
|
---|
3303 | blo "44000,22300"
|
---|
3304 | tm "CptPortNameMgr"
|
---|
3305 | )
|
---|
3306 | )
|
---|
3307 | dt (MLText
|
---|
3308 | uid 1287,0
|
---|
3309 | va (VaSet
|
---|
3310 | font "Courier New,8,0"
|
---|
3311 | )
|
---|
3312 | xt "2000,49600,43000,53600"
|
---|
3313 | st "------------------------------------------------------------------------------
|
---|
3314 |
|
---|
3315 | -- MAC/IP calculation signals:
|
---|
3316 | ------------------------------------------------------------------------------
|
---|
3317 | MAC_jumper : IN std_logic_vector (1 downto 0) ;
|
---|
3318 | "
|
---|
3319 | )
|
---|
3320 | thePort (LogicalPort
|
---|
3321 | decl (Decl
|
---|
3322 | n "MAC_jumper"
|
---|
3323 | t "std_logic_vector"
|
---|
3324 | b "(1 downto 0)"
|
---|
3325 | prec "------------------------------------------------------------------------------
|
---|
3326 |
|
---|
3327 | -- MAC/IP calculation signals:
|
---|
3328 | ------------------------------------------------------------------------------"
|
---|
3329 | preAdd 0
|
---|
3330 | o 38
|
---|
3331 | suid 48,0
|
---|
3332 | )
|
---|
3333 | )
|
---|
3334 | )
|
---|
3335 | *196 (CptPort
|
---|
3336 | uid 1315,0
|
---|
3337 | ps "OnEdgeStrategy"
|
---|
3338 | shape (Triangle
|
---|
3339 | uid 1316,0
|
---|
3340 | ro 90
|
---|
3341 | va (VaSet
|
---|
3342 | vasetType 1
|
---|
3343 | fg "0,65535,0"
|
---|
3344 | )
|
---|
3345 | xt "42250,22625,43000,23375"
|
---|
3346 | )
|
---|
3347 | tg (CPTG
|
---|
3348 | uid 1317,0
|
---|
3349 | ps "CptPortTextPlaceStrategy"
|
---|
3350 | stg "VerticalLayoutStrategy"
|
---|
3351 | f (Text
|
---|
3352 | uid 1318,0
|
---|
3353 | va (VaSet
|
---|
3354 | )
|
---|
3355 | xt "44000,22500,47600,23500"
|
---|
3356 | st "BoardID"
|
---|
3357 | blo "44000,23300"
|
---|
3358 | tm "CptPortNameMgr"
|
---|
3359 | )
|
---|
3360 | )
|
---|
3361 | dt (MLText
|
---|
3362 | uid 1319,0
|
---|
3363 | va (VaSet
|
---|
3364 | font "Courier New,8,0"
|
---|
3365 | )
|
---|
3366 | xt "2000,53600,39000,54400"
|
---|
3367 | st "BoardID : IN std_logic_vector (3 downto 0) ;
|
---|
3368 | "
|
---|
3369 | )
|
---|
3370 | thePort (LogicalPort
|
---|
3371 | decl (Decl
|
---|
3372 | n "BoardID"
|
---|
3373 | t "std_logic_vector"
|
---|
3374 | b "(3 downto 0)"
|
---|
3375 | o 39
|
---|
3376 | suid 49,0
|
---|
3377 | )
|
---|
3378 | )
|
---|
3379 | )
|
---|
3380 | *197 (CptPort
|
---|
3381 | uid 1320,0
|
---|
3382 | ps "OnEdgeStrategy"
|
---|
3383 | shape (Triangle
|
---|
3384 | uid 1321,0
|
---|
3385 | ro 90
|
---|
3386 | va (VaSet
|
---|
3387 | vasetType 1
|
---|
3388 | fg "0,65535,0"
|
---|
3389 | )
|
---|
3390 | xt "42250,23625,43000,24375"
|
---|
3391 | )
|
---|
3392 | tg (CPTG
|
---|
3393 | uid 1322,0
|
---|
3394 | ps "CptPortTextPlaceStrategy"
|
---|
3395 | stg "VerticalLayoutStrategy"
|
---|
3396 | f (Text
|
---|
3397 | uid 1323,0
|
---|
3398 | va (VaSet
|
---|
3399 | )
|
---|
3400 | xt "44000,23500,47500,24500"
|
---|
3401 | st "CrateID"
|
---|
3402 | blo "44000,24300"
|
---|
3403 | tm "CptPortNameMgr"
|
---|
3404 | )
|
---|
3405 | )
|
---|
3406 | dt (MLText
|
---|
3407 | uid 1324,0
|
---|
3408 | va (VaSet
|
---|
3409 | font "Courier New,8,0"
|
---|
3410 | )
|
---|
3411 | xt "2000,54400,39000,55200"
|
---|
3412 | st "CrateID : IN std_logic_vector (1 downto 0) ;
|
---|
3413 | "
|
---|
3414 | )
|
---|
3415 | thePort (LogicalPort
|
---|
3416 | decl (Decl
|
---|
3417 | n "CrateID"
|
---|
3418 | t "std_logic_vector"
|
---|
3419 | b "(1 downto 0)"
|
---|
3420 | posAdd 0
|
---|
3421 | o 40
|
---|
3422 | suid 50,0
|
---|
3423 | )
|
---|
3424 | )
|
---|
3425 | )
|
---|
3426 | *198 (CptPort
|
---|
3427 | uid 1550,0
|
---|
3428 | ps "OnEdgeStrategy"
|
---|
3429 | shape (Triangle
|
---|
3430 | uid 1551,0
|
---|
3431 | ro 90
|
---|
3432 | va (VaSet
|
---|
3433 | vasetType 1
|
---|
3434 | fg "0,65535,0"
|
---|
3435 | )
|
---|
3436 | xt "77000,41625,77750,42375"
|
---|
3437 | )
|
---|
3438 | tg (CPTG
|
---|
3439 | uid 1552,0
|
---|
3440 | ps "CptPortTextPlaceStrategy"
|
---|
3441 | stg "RightVerticalLayoutStrategy"
|
---|
3442 | f (Text
|
---|
3443 | uid 1553,0
|
---|
3444 | va (VaSet
|
---|
3445 | )
|
---|
3446 | xt "70700,41500,76000,42500"
|
---|
3447 | st "dac_setting"
|
---|
3448 | ju 2
|
---|
3449 | blo "76000,42300"
|
---|
3450 | tm "CptPortNameMgr"
|
---|
3451 | )
|
---|
3452 | )
|
---|
3453 | dt (MLText
|
---|
3454 | uid 1554,0
|
---|
3455 | va (VaSet
|
---|
3456 | font "Courier New,8,0"
|
---|
3457 | )
|
---|
3458 | xt "2000,44000,70000,46400"
|
---|
3459 | st "--data_generator_config_start_o : out std_logic := '0';
|
---|
3460 | --data_generator_config_valid_i : in std_logic;
|
---|
3461 | dac_setting : OUT dac_array_type := DEFAULT_DAC ; --<<-- default defined in fad_definitions.vhd
|
---|
3462 | "
|
---|
3463 | )
|
---|
3464 | thePort (LogicalPort
|
---|
3465 | m 1
|
---|
3466 | decl (Decl
|
---|
3467 | n "dac_setting"
|
---|
3468 | t "dac_array_type"
|
---|
3469 | prec "--data_generator_config_start_o : out std_logic := '0';
|
---|
3470 | --data_generator_config_valid_i : in std_logic;"
|
---|
3471 | eolc "--<<-- default defined in fad_definitions.vhd"
|
---|
3472 | preAdd 0
|
---|
3473 | posAdd 0
|
---|
3474 | o 33
|
---|
3475 | suid 54,0
|
---|
3476 | i "DEFAULT_DAC"
|
---|
3477 | )
|
---|
3478 | )
|
---|
3479 | )
|
---|
3480 | *199 (CptPort
|
---|
3481 | uid 1575,0
|
---|
3482 | ps "OnEdgeStrategy"
|
---|
3483 | shape (Triangle
|
---|
3484 | uid 1576,0
|
---|
3485 | ro 90
|
---|
3486 | va (VaSet
|
---|
3487 | vasetType 1
|
---|
3488 | fg "0,65535,0"
|
---|
3489 | )
|
---|
3490 | xt "77000,43625,77750,44375"
|
---|
3491 | )
|
---|
3492 | tg (CPTG
|
---|
3493 | uid 1577,0
|
---|
3494 | ps "CptPortTextPlaceStrategy"
|
---|
3495 | stg "RightVerticalLayoutStrategy"
|
---|
3496 | f (Text
|
---|
3497 | uid 1578,0
|
---|
3498 | va (VaSet
|
---|
3499 | )
|
---|
3500 | xt "62200,43500,76000,44500"
|
---|
3501 | st "memory_manager_config_start_o"
|
---|
3502 | ju 2
|
---|
3503 | blo "76000,44300"
|
---|
3504 | tm "CptPortNameMgr"
|
---|
3505 | )
|
---|
3506 | )
|
---|
3507 | dt (MLText
|
---|
3508 | uid 1579,0
|
---|
3509 | va (VaSet
|
---|
3510 | font "Courier New,8,0"
|
---|
3511 | )
|
---|
3512 | xt "2000,39200,43000,41600"
|
---|
3513 | st "-- FAD configuration signals:
|
---|
3514 | ------------------------------------------------------------------------------
|
---|
3515 | memory_manager_config_start_o : OUT std_logic := '0' ;
|
---|
3516 | "
|
---|
3517 | )
|
---|
3518 | thePort (LogicalPort
|
---|
3519 | m 1
|
---|
3520 | decl (Decl
|
---|
3521 | n "memory_manager_config_start_o"
|
---|
3522 | t "std_logic"
|
---|
3523 | prec "-- FAD configuration signals:
|
---|
3524 | ------------------------------------------------------------------------------"
|
---|
3525 | preAdd 0
|
---|
3526 | o 29
|
---|
3527 | suid 59,0
|
---|
3528 | i "'0'"
|
---|
3529 | )
|
---|
3530 | )
|
---|
3531 | )
|
---|
3532 | *200 (CptPort
|
---|
3533 | uid 1580,0
|
---|
3534 | ps "OnEdgeStrategy"
|
---|
3535 | shape (Triangle
|
---|
3536 | uid 1581,0
|
---|
3537 | ro 90
|
---|
3538 | va (VaSet
|
---|
3539 | vasetType 1
|
---|
3540 | fg "0,65535,0"
|
---|
3541 | )
|
---|
3542 | xt "42250,29625,43000,30375"
|
---|
3543 | )
|
---|
3544 | tg (CPTG
|
---|
3545 | uid 1582,0
|
---|
3546 | ps "CptPortTextPlaceStrategy"
|
---|
3547 | stg "VerticalLayoutStrategy"
|
---|
3548 | f (Text
|
---|
3549 | uid 1583,0
|
---|
3550 | va (VaSet
|
---|
3551 | )
|
---|
3552 | xt "44000,29500,57300,30500"
|
---|
3553 | st "memory_manager_config_valid_i"
|
---|
3554 | blo "44000,30300"
|
---|
3555 | tm "CptPortNameMgr"
|
---|
3556 | )
|
---|
3557 | )
|
---|
3558 | dt (MLText
|
---|
3559 | uid 1584,0
|
---|
3560 | va (VaSet
|
---|
3561 | font "Courier New,8,0"
|
---|
3562 | )
|
---|
3563 | xt "2000,41600,29500,42400"
|
---|
3564 | st "memory_manager_config_valid_i : IN std_logic ;
|
---|
3565 | "
|
---|
3566 | )
|
---|
3567 | thePort (LogicalPort
|
---|
3568 | decl (Decl
|
---|
3569 | n "memory_manager_config_valid_i"
|
---|
3570 | t "std_logic"
|
---|
3571 | o 30
|
---|
3572 | suid 60,0
|
---|
3573 | )
|
---|
3574 | )
|
---|
3575 | )
|
---|
3576 | *201 (CptPort
|
---|
3577 | uid 1585,0
|
---|
3578 | ps "OnEdgeStrategy"
|
---|
3579 | shape (Triangle
|
---|
3580 | uid 1586,0
|
---|
3581 | ro 90
|
---|
3582 | va (VaSet
|
---|
3583 | vasetType 1
|
---|
3584 | fg "0,65535,0"
|
---|
3585 | )
|
---|
3586 | xt "77000,44625,77750,45375"
|
---|
3587 | )
|
---|
3588 | tg (CPTG
|
---|
3589 | uid 1587,0
|
---|
3590 | ps "CptPortTextPlaceStrategy"
|
---|
3591 | stg "RightVerticalLayoutStrategy"
|
---|
3592 | f (Text
|
---|
3593 | uid 1588,0
|
---|
3594 | va (VaSet
|
---|
3595 | )
|
---|
3596 | xt "71000,44500,76000,45500"
|
---|
3597 | st "roi_setting"
|
---|
3598 | ju 2
|
---|
3599 | blo "76000,45300"
|
---|
3600 | tm "CptPortNameMgr"
|
---|
3601 | )
|
---|
3602 | )
|
---|
3603 | dt (MLText
|
---|
3604 | uid 1589,0
|
---|
3605 | va (VaSet
|
---|
3606 | font "Courier New,8,0"
|
---|
3607 | )
|
---|
3608 | xt "2000,46400,70000,47200"
|
---|
3609 | st "roi_setting : OUT roi_array_type := DEFAULT_ROI ; --<<-- default defined in fad_definitions.vhd
|
---|
3610 | "
|
---|
3611 | )
|
---|
3612 | thePort (LogicalPort
|
---|
3613 | m 1
|
---|
3614 | decl (Decl
|
---|
3615 | n "roi_setting"
|
---|
3616 | t "roi_array_type"
|
---|
3617 | eolc "--<<-- default defined in fad_definitions.vhd"
|
---|
3618 | preAdd 0
|
---|
3619 | posAdd 0
|
---|
3620 | o 34
|
---|
3621 | suid 61,0
|
---|
3622 | i "DEFAULT_ROI"
|
---|
3623 | )
|
---|
3624 | )
|
---|
3625 | )
|
---|
3626 | *202 (CptPort
|
---|
3627 | uid 1595,0
|
---|
3628 | ps "OnEdgeStrategy"
|
---|
3629 | shape (Triangle
|
---|
3630 | uid 1596,0
|
---|
3631 | ro 90
|
---|
3632 | va (VaSet
|
---|
3633 | vasetType 1
|
---|
3634 | fg "0,65535,0"
|
---|
3635 | )
|
---|
3636 | xt "77000,45625,77750,46375"
|
---|
3637 | )
|
---|
3638 | tg (CPTG
|
---|
3639 | uid 1597,0
|
---|
3640 | ps "CptPortTextPlaceStrategy"
|
---|
3641 | stg "RightVerticalLayoutStrategy"
|
---|
3642 | f (Text
|
---|
3643 | uid 1598,0
|
---|
3644 | va (VaSet
|
---|
3645 | )
|
---|
3646 | xt "63600,45500,76000,46500"
|
---|
3647 | st "spi_interface_config_start_o"
|
---|
3648 | ju 2
|
---|
3649 | blo "76000,46300"
|
---|
3650 | tm "CptPortNameMgr"
|
---|
3651 | )
|
---|
3652 | )
|
---|
3653 | dt (MLText
|
---|
3654 | uid 1599,0
|
---|
3655 | va (VaSet
|
---|
3656 | font "Courier New,8,0"
|
---|
3657 | )
|
---|
3658 | xt "2000,42400,43000,43200"
|
---|
3659 | st "spi_interface_config_start_o : OUT std_logic := '0' ;
|
---|
3660 | "
|
---|
3661 | )
|
---|
3662 | thePort (LogicalPort
|
---|
3663 | m 1
|
---|
3664 | decl (Decl
|
---|
3665 | n "spi_interface_config_start_o"
|
---|
3666 | t "std_logic"
|
---|
3667 | o 31
|
---|
3668 | suid 63,0
|
---|
3669 | i "'0'"
|
---|
3670 | )
|
---|
3671 | )
|
---|
3672 | )
|
---|
3673 | *203 (CptPort
|
---|
3674 | uid 1600,0
|
---|
3675 | ps "OnEdgeStrategy"
|
---|
3676 | shape (Triangle
|
---|
3677 | uid 1601,0
|
---|
3678 | ro 90
|
---|
3679 | va (VaSet
|
---|
3680 | vasetType 1
|
---|
3681 | fg "0,65535,0"
|
---|
3682 | )
|
---|
3683 | xt "42250,31625,43000,32375"
|
---|
3684 | )
|
---|
3685 | tg (CPTG
|
---|
3686 | uid 1602,0
|
---|
3687 | ps "CptPortTextPlaceStrategy"
|
---|
3688 | stg "VerticalLayoutStrategy"
|
---|
3689 | f (Text
|
---|
3690 | uid 1603,0
|
---|
3691 | va (VaSet
|
---|
3692 | )
|
---|
3693 | xt "44000,31500,55900,32500"
|
---|
3694 | st "spi_interface_config_valid_i"
|
---|
3695 | blo "44000,32300"
|
---|
3696 | tm "CptPortNameMgr"
|
---|
3697 | )
|
---|
3698 | )
|
---|
3699 | dt (MLText
|
---|
3700 | uid 1604,0
|
---|
3701 | va (VaSet
|
---|
3702 | font "Courier New,8,0"
|
---|
3703 | )
|
---|
3704 | xt "2000,43200,29500,44000"
|
---|
3705 | st "spi_interface_config_valid_i : IN std_logic ;
|
---|
3706 | "
|
---|
3707 | )
|
---|
3708 | thePort (LogicalPort
|
---|
3709 | decl (Decl
|
---|
3710 | n "spi_interface_config_valid_i"
|
---|
3711 | t "std_logic"
|
---|
3712 | posAdd 0
|
---|
3713 | o 32
|
---|
3714 | suid 64,0
|
---|
3715 | )
|
---|
3716 | )
|
---|
3717 | )
|
---|
3718 | *204 (CptPort
|
---|
3719 | uid 1802,0
|
---|
3720 | ps "OnEdgeStrategy"
|
---|
3721 | shape (Triangle
|
---|
3722 | uid 1803,0
|
---|
3723 | ro 90
|
---|
3724 | va (VaSet
|
---|
3725 | vasetType 1
|
---|
3726 | fg "0,65535,0"
|
---|
3727 | )
|
---|
3728 | xt "42250,32625,43000,33375"
|
---|
3729 | )
|
---|
3730 | tg (CPTG
|
---|
3731 | uid 1804,0
|
---|
3732 | ps "CptPortTextPlaceStrategy"
|
---|
3733 | stg "VerticalLayoutStrategy"
|
---|
3734 | f (Text
|
---|
3735 | uid 1805,0
|
---|
3736 | va (VaSet
|
---|
3737 | )
|
---|
3738 | xt "44000,32500,51200,33500"
|
---|
3739 | st "data_ram_empty"
|
---|
3740 | blo "44000,33300"
|
---|
3741 | tm "CptPortNameMgr"
|
---|
3742 | )
|
---|
3743 | )
|
---|
3744 | dt (MLText
|
---|
3745 | uid 1806,0
|
---|
3746 | va (VaSet
|
---|
3747 | font "Courier New,8,0"
|
---|
3748 | )
|
---|
3749 | xt "2000,48800,29500,49600"
|
---|
3750 | st "data_ram_empty : IN std_logic ;
|
---|
3751 | "
|
---|
3752 | )
|
---|
3753 | thePort (LogicalPort
|
---|
3754 | decl (Decl
|
---|
3755 | n "data_ram_empty"
|
---|
3756 | t "std_logic"
|
---|
3757 | preAdd 0
|
---|
3758 | o 37
|
---|
3759 | suid 65,0
|
---|
3760 | )
|
---|
3761 | )
|
---|
3762 | )
|
---|
3763 | *205 (CptPort
|
---|
3764 | uid 1834,0
|
---|
3765 | ps "OnEdgeStrategy"
|
---|
3766 | shape (Triangle
|
---|
3767 | uid 1835,0
|
---|
3768 | ro 90
|
---|
3769 | va (VaSet
|
---|
3770 | vasetType 1
|
---|
3771 | fg "0,65535,0"
|
---|
3772 | )
|
---|
3773 | xt "42250,33625,43000,34375"
|
---|
3774 | )
|
---|
3775 | tg (CPTG
|
---|
3776 | uid 1836,0
|
---|
3777 | ps "CptPortTextPlaceStrategy"
|
---|
3778 | stg "VerticalLayoutStrategy"
|
---|
3779 | f (Text
|
---|
3780 | uid 1837,0
|
---|
3781 | va (VaSet
|
---|
3782 | )
|
---|
3783 | xt "44000,33500,47800,34500"
|
---|
3784 | st "ps_ready"
|
---|
3785 | blo "44000,34300"
|
---|
3786 | tm "CptPortNameMgr"
|
---|
3787 | )
|
---|
3788 | )
|
---|
3789 | dt (MLText
|
---|
3790 | uid 1838,0
|
---|
3791 | va (VaSet
|
---|
3792 | font "Courier New,8,0"
|
---|
3793 | )
|
---|
3794 | xt "2000,71200,29500,72000"
|
---|
3795 | st "ps_ready : IN std_logic ;
|
---|
3796 | "
|
---|
3797 | )
|
---|
3798 | thePort (LogicalPort
|
---|
3799 | decl (Decl
|
---|
3800 | n "ps_ready"
|
---|
3801 | t "std_logic"
|
---|
3802 | o 52
|
---|
3803 | suid 66,0
|
---|
3804 | )
|
---|
3805 | )
|
---|
3806 | )
|
---|
3807 | *206 (CptPort
|
---|
3808 | uid 1891,0
|
---|
3809 | ps "OnEdgeStrategy"
|
---|
3810 | shape (Triangle
|
---|
3811 | uid 1892,0
|
---|
3812 | ro 90
|
---|
3813 | va (VaSet
|
---|
3814 | vasetType 1
|
---|
3815 | fg "0,65535,0"
|
---|
3816 | )
|
---|
3817 | xt "77000,46625,77750,47375"
|
---|
3818 | )
|
---|
3819 | tg (CPTG
|
---|
3820 | uid 1893,0
|
---|
3821 | ps "CptPortTextPlaceStrategy"
|
---|
3822 | stg "RightVerticalLayoutStrategy"
|
---|
3823 | f (Text
|
---|
3824 | uid 1894,0
|
---|
3825 | va (VaSet
|
---|
3826 | )
|
---|
3827 | xt "71700,46500,76000,47500"
|
---|
3828 | st "runnumber"
|
---|
3829 | ju 2
|
---|
3830 | blo "76000,47300"
|
---|
3831 | tm "CptPortNameMgr"
|
---|
3832 | )
|
---|
3833 | )
|
---|
3834 | dt (MLText
|
---|
3835 | uid 1895,0
|
---|
3836 | va (VaSet
|
---|
3837 | font "Courier New,8,0"
|
---|
3838 | )
|
---|
3839 | xt "2000,47200,55500,48000"
|
---|
3840 | st "runnumber : OUT std_logic_vector (31 DOWNTO 0) := conv_std_logic_vector(0 ,32) ;
|
---|
3841 | "
|
---|
3842 | )
|
---|
3843 | thePort (LogicalPort
|
---|
3844 | m 1
|
---|
3845 | decl (Decl
|
---|
3846 | n "runnumber"
|
---|
3847 | t "std_logic_vector"
|
---|
3848 | b "(31 DOWNTO 0)"
|
---|
3849 | o 35
|
---|
3850 | suid 67,0
|
---|
3851 | i "conv_std_logic_vector(0 ,32)"
|
---|
3852 | )
|
---|
3853 | )
|
---|
3854 | )
|
---|
3855 | *207 (CptPort
|
---|
3856 | uid 1923,0
|
---|
3857 | ps "OnEdgeStrategy"
|
---|
3858 | shape (Triangle
|
---|
3859 | uid 1924,0
|
---|
3860 | ro 90
|
---|
3861 | va (VaSet
|
---|
3862 | vasetType 1
|
---|
3863 | fg "0,65535,0"
|
---|
3864 | )
|
---|
3865 | xt "77000,47625,77750,48375"
|
---|
3866 | )
|
---|
3867 | tg (CPTG
|
---|
3868 | uid 1925,0
|
---|
3869 | ps "CptPortTextPlaceStrategy"
|
---|
3870 | stg "RightVerticalLayoutStrategy"
|
---|
3871 | f (Text
|
---|
3872 | uid 1926,0
|
---|
3873 | va (VaSet
|
---|
3874 | )
|
---|
3875 | xt "68800,47500,76000,48500"
|
---|
3876 | st "reset_trigger_id"
|
---|
3877 | ju 2
|
---|
3878 | blo "76000,48300"
|
---|
3879 | tm "CptPortNameMgr"
|
---|
3880 | )
|
---|
3881 | )
|
---|
3882 | dt (MLText
|
---|
3883 | uid 1927,0
|
---|
3884 | va (VaSet
|
---|
3885 | font "Courier New,8,0"
|
---|
3886 | )
|
---|
3887 | xt "2000,48000,43000,48800"
|
---|
3888 | st "reset_trigger_id : OUT std_logic := '0' ;
|
---|
3889 | "
|
---|
3890 | )
|
---|
3891 | thePort (LogicalPort
|
---|
3892 | m 1
|
---|
3893 | decl (Decl
|
---|
3894 | n "reset_trigger_id"
|
---|
3895 | t "std_logic"
|
---|
3896 | o 36
|
---|
3897 | suid 68,0
|
---|
3898 | i "'0'"
|
---|
3899 | )
|
---|
3900 | )
|
---|
3901 | )
|
---|
3902 | *208 (CptPort
|
---|
3903 | uid 1955,0
|
---|
3904 | ps "OnEdgeStrategy"
|
---|
3905 | shape (Triangle
|
---|
3906 | uid 1956,0
|
---|
3907 | ro 90
|
---|
3908 | va (VaSet
|
---|
3909 | vasetType 1
|
---|
3910 | fg "0,65535,0"
|
---|
3911 | )
|
---|
3912 | xt "77000,48625,77750,49375"
|
---|
3913 | )
|
---|
3914 | tg (CPTG
|
---|
3915 | uid 1957,0
|
---|
3916 | ps "CptPortTextPlaceStrategy"
|
---|
3917 | stg "RightVerticalLayoutStrategy"
|
---|
3918 | f (Text
|
---|
3919 | uid 1958,0
|
---|
3920 | va (VaSet
|
---|
3921 | )
|
---|
3922 | xt "73600,48500,76000,49500"
|
---|
3923 | st "state"
|
---|
3924 | ju 2
|
---|
3925 | blo "76000,49300"
|
---|
3926 | tm "CptPortNameMgr"
|
---|
3927 | )
|
---|
3928 | )
|
---|
3929 | dt (MLText
|
---|
3930 | uid 1959,0
|
---|
3931 | va (VaSet
|
---|
3932 | font "Courier New,8,0"
|
---|
3933 | )
|
---|
3934 | xt "2000,16000,64500,16800"
|
---|
3935 | st "state : OUT std_logic_vector (7 DOWNTO 0) ; -- state is encoded here ... useful for debugging.
|
---|
3936 | "
|
---|
3937 | )
|
---|
3938 | thePort (LogicalPort
|
---|
3939 | m 1
|
---|
3940 | decl (Decl
|
---|
3941 | n "state"
|
---|
3942 | t "std_logic_vector"
|
---|
3943 | b "(7 DOWNTO 0)"
|
---|
3944 | eolc "-- state is encoded here ... useful for debugging."
|
---|
3945 | posAdd 0
|
---|
3946 | o 1
|
---|
3947 | suid 69,0
|
---|
3948 | )
|
---|
3949 | )
|
---|
3950 | )
|
---|
3951 | *209 (CptPort
|
---|
3952 | uid 2012,0
|
---|
3953 | ps "OnEdgeStrategy"
|
---|
3954 | shape (Triangle
|
---|
3955 | uid 2013,0
|
---|
3956 | ro 90
|
---|
3957 | va (VaSet
|
---|
3958 | vasetType 1
|
---|
3959 | fg "0,65535,0"
|
---|
3960 | )
|
---|
3961 | xt "77000,49625,77750,50375"
|
---|
3962 | )
|
---|
3963 | tg (CPTG
|
---|
3964 | uid 2014,0
|
---|
3965 | ps "CptPortTextPlaceStrategy"
|
---|
3966 | stg "RightVerticalLayoutStrategy"
|
---|
3967 | f (Text
|
---|
3968 | uid 2015,0
|
---|
3969 | va (VaSet
|
---|
3970 | )
|
---|
3971 | xt "65600,49500,76000,50500"
|
---|
3972 | st "debug_data_ram_empty"
|
---|
3973 | ju 2
|
---|
3974 | blo "76000,50300"
|
---|
3975 | tm "CptPortNameMgr"
|
---|
3976 | )
|
---|
3977 | )
|
---|
3978 | dt (MLText
|
---|
3979 | uid 2016,0
|
---|
3980 | va (VaSet
|
---|
3981 | font "Courier New,8,0"
|
---|
3982 | )
|
---|
3983 | xt "2000,16800,29500,17600"
|
---|
3984 | st "debug_data_ram_empty : OUT std_logic ;
|
---|
3985 | "
|
---|
3986 | )
|
---|
3987 | thePort (LogicalPort
|
---|
3988 | m 1
|
---|
3989 | decl (Decl
|
---|
3990 | n "debug_data_ram_empty"
|
---|
3991 | t "std_logic"
|
---|
3992 | o 2
|
---|
3993 | suid 70,0
|
---|
3994 | )
|
---|
3995 | )
|
---|
3996 | )
|
---|
3997 | *210 (CptPort
|
---|
3998 | uid 2017,0
|
---|
3999 | ps "OnEdgeStrategy"
|
---|
4000 | shape (Triangle
|
---|
4001 | uid 2018,0
|
---|
4002 | ro 90
|
---|
4003 | va (VaSet
|
---|
4004 | vasetType 1
|
---|
4005 | fg "0,65535,0"
|
---|
4006 | )
|
---|
4007 | xt "77000,50625,77750,51375"
|
---|
4008 | )
|
---|
4009 | tg (CPTG
|
---|
4010 | uid 2019,0
|
---|
4011 | ps "CptPortTextPlaceStrategy"
|
---|
4012 | stg "RightVerticalLayoutStrategy"
|
---|
4013 | f (Text
|
---|
4014 | uid 2020,0
|
---|
4015 | va (VaSet
|
---|
4016 | )
|
---|
4017 | xt "68500,50500,76000,51500"
|
---|
4018 | st "debug_data_valid"
|
---|
4019 | ju 2
|
---|
4020 | blo "76000,51300"
|
---|
4021 | tm "CptPortNameMgr"
|
---|
4022 | )
|
---|
4023 | )
|
---|
4024 | dt (MLText
|
---|
4025 | uid 2021,0
|
---|
4026 | va (VaSet
|
---|
4027 | font "Courier New,8,0"
|
---|
4028 | )
|
---|
4029 | xt "2000,17600,29500,18400"
|
---|
4030 | st "debug_data_valid : OUT std_logic ;
|
---|
4031 | "
|
---|
4032 | )
|
---|
4033 | thePort (LogicalPort
|
---|
4034 | m 1
|
---|
4035 | decl (Decl
|
---|
4036 | n "debug_data_valid"
|
---|
4037 | t "std_logic"
|
---|
4038 | o 3
|
---|
4039 | suid 71,0
|
---|
4040 | )
|
---|
4041 | )
|
---|
4042 | )
|
---|
4043 | *211 (CptPort
|
---|
4044 | uid 2051,0
|
---|
4045 | ps "OnEdgeStrategy"
|
---|
4046 | shape (Triangle
|
---|
4047 | uid 2052,0
|
---|
4048 | ro 90
|
---|
4049 | va (VaSet
|
---|
4050 | vasetType 1
|
---|
4051 | fg "0,65535,0"
|
---|
4052 | )
|
---|
4053 | xt "42250,34625,43000,35375"
|
---|
4054 | )
|
---|
4055 | tg (CPTG
|
---|
4056 | uid 2053,0
|
---|
4057 | ps "CptPortTextPlaceStrategy"
|
---|
4058 | stg "VerticalLayoutStrategy"
|
---|
4059 | f (Text
|
---|
4060 | uid 2054,0
|
---|
4061 | va (VaSet
|
---|
4062 | )
|
---|
4063 | xt "44000,34500,53700,35500"
|
---|
4064 | st "data_generator_idle_i"
|
---|
4065 | blo "44000,35300"
|
---|
4066 | tm "CptPortNameMgr"
|
---|
4067 | )
|
---|
4068 | )
|
---|
4069 | dt (MLText
|
---|
4070 | uid 2055,0
|
---|
4071 | va (VaSet
|
---|
4072 | font "Courier New,8,0"
|
---|
4073 | )
|
---|
4074 | xt "2000,18400,29500,19200"
|
---|
4075 | st "data_generator_idle_i : IN std_logic ;
|
---|
4076 | "
|
---|
4077 | )
|
---|
4078 | thePort (LogicalPort
|
---|
4079 | decl (Decl
|
---|
4080 | n "data_generator_idle_i"
|
---|
4081 | t "std_logic"
|
---|
4082 | o 4
|
---|
4083 | suid 72,0
|
---|
4084 | )
|
---|
4085 | )
|
---|
4086 | )
|
---|
4087 | *212 (CptPort
|
---|
4088 | uid 2133,0
|
---|
4089 | ps "OnEdgeStrategy"
|
---|
4090 | shape (Triangle
|
---|
4091 | uid 2134,0
|
---|
4092 | ro 90
|
---|
4093 | va (VaSet
|
---|
4094 | vasetType 1
|
---|
4095 | fg "0,65535,0"
|
---|
4096 | )
|
---|
4097 | xt "77000,51625,77750,52375"
|
---|
4098 | )
|
---|
4099 | tg (CPTG
|
---|
4100 | uid 2135,0
|
---|
4101 | ps "CptPortTextPlaceStrategy"
|
---|
4102 | stg "RightVerticalLayoutStrategy"
|
---|
4103 | f (Text
|
---|
4104 | uid 2136,0
|
---|
4105 | va (VaSet
|
---|
4106 | )
|
---|
4107 | xt "67400,51500,76000,52500"
|
---|
4108 | st "socket_tx_free_out"
|
---|
4109 | ju 2
|
---|
4110 | blo "76000,52300"
|
---|
4111 | tm "CptPortNameMgr"
|
---|
4112 | )
|
---|
4113 | )
|
---|
4114 | dt (MLText
|
---|
4115 | uid 2137,0
|
---|
4116 | va (VaSet
|
---|
4117 | font "Courier New,8,0"
|
---|
4118 | )
|
---|
4119 | xt "2000,20000,54500,20800"
|
---|
4120 | st "socket_tx_free_out : OUT std_logic_vector (16 DOWNTO 0) ; -- 17bit value .. that's true
|
---|
4121 | "
|
---|
4122 | )
|
---|
4123 | thePort (LogicalPort
|
---|
4124 | m 1
|
---|
4125 | decl (Decl
|
---|
4126 | n "socket_tx_free_out"
|
---|
4127 | t "std_logic_vector"
|
---|
4128 | b "(16 DOWNTO 0)"
|
---|
4129 | eolc "-- 17bit value .. that's true"
|
---|
4130 | posAdd 0
|
---|
4131 | o 6
|
---|
4132 | suid 73,0
|
---|
4133 | )
|
---|
4134 | )
|
---|
4135 | )
|
---|
4136 | *213 (CptPort
|
---|
4137 | uid 2165,0
|
---|
4138 | ps "OnEdgeStrategy"
|
---|
4139 | shape (Triangle
|
---|
4140 | uid 2166,0
|
---|
4141 | ro 90
|
---|
4142 | va (VaSet
|
---|
4143 | vasetType 1
|
---|
4144 | fg "0,65535,0"
|
---|
4145 | )
|
---|
4146 | xt "77000,52625,77750,53375"
|
---|
4147 | )
|
---|
4148 | tg (CPTG
|
---|
4149 | uid 2167,0
|
---|
4150 | ps "CptPortTextPlaceStrategy"
|
---|
4151 | stg "RightVerticalLayoutStrategy"
|
---|
4152 | f (Text
|
---|
4153 | uid 2168,0
|
---|
4154 | va (VaSet
|
---|
4155 | )
|
---|
4156 | xt "70600,52500,76000,53500"
|
---|
4157 | st "busy_enable"
|
---|
4158 | ju 2
|
---|
4159 | blo "76000,53300"
|
---|
4160 | tm "CptPortNameMgr"
|
---|
4161 | )
|
---|
4162 | )
|
---|
4163 | dt (MLText
|
---|
4164 | uid 2169,0
|
---|
4165 | va (VaSet
|
---|
4166 | font "Courier New,8,0"
|
---|
4167 | )
|
---|
4168 | xt "2000,62400,43000,63200"
|
---|
4169 | st "busy_enable : OUT std_logic := '0' ;
|
---|
4170 | "
|
---|
4171 | )
|
---|
4172 | thePort (LogicalPort
|
---|
4173 | m 1
|
---|
4174 | decl (Decl
|
---|
4175 | n "busy_enable"
|
---|
4176 | t "std_logic"
|
---|
4177 | o 46
|
---|
4178 | suid 74,0
|
---|
4179 | i "'0'"
|
---|
4180 | )
|
---|
4181 | )
|
---|
4182 | )
|
---|
4183 | *214 (CptPort
|
---|
4184 | uid 2170,0
|
---|
4185 | ps "OnEdgeStrategy"
|
---|
4186 | shape (Triangle
|
---|
4187 | uid 2171,0
|
---|
4188 | ro 90
|
---|
4189 | va (VaSet
|
---|
4190 | vasetType 1
|
---|
4191 | fg "0,65535,0"
|
---|
4192 | )
|
---|
4193 | xt "77000,53625,77750,54375"
|
---|
4194 | )
|
---|
4195 | tg (CPTG
|
---|
4196 | uid 2172,0
|
---|
4197 | ps "CptPortTextPlaceStrategy"
|
---|
4198 | stg "RightVerticalLayoutStrategy"
|
---|
4199 | f (Text
|
---|
4200 | uid 2173,0
|
---|
4201 | va (VaSet
|
---|
4202 | )
|
---|
4203 | xt "65800,53500,76000,54500"
|
---|
4204 | st "socket_send_mode_out"
|
---|
4205 | ju 2
|
---|
4206 | blo "76000,54300"
|
---|
4207 | tm "CptPortNameMgr"
|
---|
4208 | )
|
---|
4209 | )
|
---|
4210 | dt (MLText
|
---|
4211 | uid 2174,0
|
---|
4212 | va (VaSet
|
---|
4213 | font "Courier New,8,0"
|
---|
4214 | )
|
---|
4215 | xt "2000,63200,29500,64000"
|
---|
4216 | st "socket_send_mode_out : OUT std_logic ;
|
---|
4217 | "
|
---|
4218 | )
|
---|
4219 | thePort (LogicalPort
|
---|
4220 | m 1
|
---|
4221 | decl (Decl
|
---|
4222 | n "socket_send_mode_out"
|
---|
4223 | t "std_logic"
|
---|
4224 | o 47
|
---|
4225 | suid 75,0
|
---|
4226 | )
|
---|
4227 | )
|
---|
4228 | )
|
---|
4229 | *215 (CptPort
|
---|
4230 | uid 2229,0
|
---|
4231 | ps "OnEdgeStrategy"
|
---|
4232 | shape (Triangle
|
---|
4233 | uid 2230,0
|
---|
4234 | ro 90
|
---|
4235 | va (VaSet
|
---|
4236 | vasetType 1
|
---|
4237 | fg "0,65535,0"
|
---|
4238 | )
|
---|
4239 | xt "77000,54625,77750,55375"
|
---|
4240 | )
|
---|
4241 | tg (CPTG
|
---|
4242 | uid 2231,0
|
---|
4243 | ps "CptPortTextPlaceStrategy"
|
---|
4244 | stg "RightVerticalLayoutStrategy"
|
---|
4245 | f (Text
|
---|
4246 | uid 2232,0
|
---|
4247 | va (VaSet
|
---|
4248 | )
|
---|
4249 | xt "70500,54500,76000,55500"
|
---|
4250 | st "busy_manual"
|
---|
4251 | ju 2
|
---|
4252 | blo "76000,55300"
|
---|
4253 | tm "CptPortNameMgr"
|
---|
4254 | )
|
---|
4255 | )
|
---|
4256 | dt (MLText
|
---|
4257 | uid 2233,0
|
---|
4258 | va (VaSet
|
---|
4259 | font "Courier New,8,0"
|
---|
4260 | )
|
---|
4261 | xt "2000,64000,43000,64800"
|
---|
4262 | st "busy_manual : OUT std_logic := '0' ;
|
---|
4263 | "
|
---|
4264 | )
|
---|
4265 | thePort (LogicalPort
|
---|
4266 | m 1
|
---|
4267 | decl (Decl
|
---|
4268 | n "busy_manual"
|
---|
4269 | t "std_logic"
|
---|
4270 | o 48
|
---|
4271 | suid 76,0
|
---|
4272 | i "'0'"
|
---|
4273 | )
|
---|
4274 | )
|
---|
4275 | )
|
---|
4276 | *216 (CptPort
|
---|
4277 | uid 2234,0
|
---|
4278 | ps "OnEdgeStrategy"
|
---|
4279 | shape (Triangle
|
---|
4280 | uid 2235,0
|
---|
4281 | ro 90
|
---|
4282 | va (VaSet
|
---|
4283 | vasetType 1
|
---|
4284 | fg "0,65535,0"
|
---|
4285 | )
|
---|
4286 | xt "42250,35625,43000,36375"
|
---|
4287 | )
|
---|
4288 | tg (CPTG
|
---|
4289 | uid 2236,0
|
---|
4290 | ps "CptPortTextPlaceStrategy"
|
---|
4291 | stg "VerticalLayoutStrategy"
|
---|
4292 | f (Text
|
---|
4293 | uid 2237,0
|
---|
4294 | va (VaSet
|
---|
4295 | )
|
---|
4296 | xt "44000,35500,51900,36500"
|
---|
4297 | st "data_ram_not_full"
|
---|
4298 | blo "44000,36300"
|
---|
4299 | tm "CptPortNameMgr"
|
---|
4300 | )
|
---|
4301 | )
|
---|
4302 | dt (MLText
|
---|
4303 | uid 2238,0
|
---|
4304 | va (VaSet
|
---|
4305 | font "Courier New,8,0"
|
---|
4306 | )
|
---|
4307 | xt "2000,19200,29500,20000"
|
---|
4308 | st "data_ram_not_full : IN std_logic ;
|
---|
4309 | "
|
---|
4310 | )
|
---|
4311 | thePort (LogicalPort
|
---|
4312 | decl (Decl
|
---|
4313 | n "data_ram_not_full"
|
---|
4314 | t "std_logic"
|
---|
4315 | o 5
|
---|
4316 | suid 77,0
|
---|
4317 | )
|
---|
4318 | )
|
---|
4319 | )
|
---|
4320 | ]
|
---|
4321 | shape (Rectangle
|
---|
4322 | uid 9,0
|
---|
4323 | va (VaSet
|
---|
4324 | vasetType 1
|
---|
4325 | fg "0,65535,0"
|
---|
4326 | lineColor "0,32896,0"
|
---|
4327 | lineWidth 2
|
---|
4328 | )
|
---|
4329 | xt "43000,2000,77000,56000"
|
---|
4330 | )
|
---|
4331 | oxt "43000,2000,56000,22000"
|
---|
4332 | biTextGroup (BiTextGroup
|
---|
4333 | uid 10,0
|
---|
4334 | ps "CenterOffsetStrategy"
|
---|
4335 | stg "VerticalLayoutStrategy"
|
---|
4336 | first (Text
|
---|
4337 | uid 11,0
|
---|
4338 | va (VaSet
|
---|
4339 | font "Arial,8,1"
|
---|
4340 | )
|
---|
4341 | xt "47700,11000,53900,12000"
|
---|
4342 | st "FACT_FAD_lib"
|
---|
4343 | blo "47700,11800"
|
---|
4344 | )
|
---|
4345 | second (Text
|
---|
4346 | uid 12,0
|
---|
4347 | va (VaSet
|
---|
4348 | font "Arial,8,1"
|
---|
4349 | )
|
---|
4350 | xt "47700,12000,53400,13000"
|
---|
4351 | st "w5300_modul"
|
---|
4352 | blo "47700,12800"
|
---|
4353 | )
|
---|
4354 | )
|
---|
4355 | gi *217 (GenericInterface
|
---|
4356 | uid 13,0
|
---|
4357 | ps "CenterOffsetStrategy"
|
---|
4358 | matrix (Matrix
|
---|
4359 | uid 14,0
|
---|
4360 | text (MLText
|
---|
4361 | uid 15,0
|
---|
4362 | va (VaSet
|
---|
4363 | font "Courier New,8,0"
|
---|
4364 | )
|
---|
4365 | xt "43000,200,58000,2600"
|
---|
4366 | st "Generic Declarations
|
---|
4367 |
|
---|
4368 | RAM_ADDR_WIDTH integer 14
|
---|
4369 | "
|
---|
4370 | )
|
---|
4371 | header "Generic Declarations"
|
---|
4372 | showHdrWhenContentsEmpty 1
|
---|
4373 | )
|
---|
4374 | elements [
|
---|
4375 | (GiElement
|
---|
4376 | name "RAM_ADDR_WIDTH"
|
---|
4377 | type "integer"
|
---|
4378 | value "14"
|
---|
4379 | )
|
---|
4380 | ]
|
---|
4381 | )
|
---|
4382 | portInstanceVisAsIs 1
|
---|
4383 | portInstanceVis (PortSigDisplay
|
---|
4384 | sIVOD 1
|
---|
4385 | )
|
---|
4386 | portVis (PortSigDisplay
|
---|
4387 | sTC 0
|
---|
4388 | sF 0
|
---|
4389 | )
|
---|
4390 | )
|
---|
4391 | *218 (Grouping
|
---|
4392 | uid 16,0
|
---|
4393 | optionalChildren [
|
---|
4394 | *219 (CommentText
|
---|
4395 | uid 18,0
|
---|
4396 | shape (Rectangle
|
---|
4397 | uid 19,0
|
---|
4398 | sl 0
|
---|
4399 | va (VaSet
|
---|
4400 | vasetType 1
|
---|
4401 | fg "65280,65280,46080"
|
---|
4402 | )
|
---|
4403 | xt "47000,30000,64000,31000"
|
---|
4404 | )
|
---|
4405 | oxt "18000,70000,35000,71000"
|
---|
4406 | text (MLText
|
---|
4407 | uid 20,0
|
---|
4408 | va (VaSet
|
---|
4409 | fg "0,0,32768"
|
---|
4410 | bg "0,0,32768"
|
---|
4411 | )
|
---|
4412 | xt "47200,30000,57900,31000"
|
---|
4413 | st "
|
---|
4414 | by %user on %dd %month %year
|
---|
4415 | "
|
---|
4416 | tm "CommentText"
|
---|
4417 | wrapOption 3
|
---|
4418 | visibleHeight 1000
|
---|
4419 | visibleWidth 17000
|
---|
4420 | )
|
---|
4421 | position 1
|
---|
4422 | ignorePrefs 1
|
---|
4423 | titleBlock 1
|
---|
4424 | )
|
---|
4425 | *220 (CommentText
|
---|
4426 | uid 21,0
|
---|
4427 | shape (Rectangle
|
---|
4428 | uid 22,0
|
---|
4429 | sl 0
|
---|
4430 | va (VaSet
|
---|
4431 | vasetType 1
|
---|
4432 | fg "65280,65280,46080"
|
---|
4433 | )
|
---|
4434 | xt "64000,26000,68000,27000"
|
---|
4435 | )
|
---|
4436 | oxt "35000,66000,39000,67000"
|
---|
4437 | text (MLText
|
---|
4438 | uid 23,0
|
---|
4439 | va (VaSet
|
---|
4440 | fg "0,0,32768"
|
---|
4441 | bg "0,0,32768"
|
---|
4442 | )
|
---|
4443 | xt "64200,26000,67500,27000"
|
---|
4444 | st "
|
---|
4445 | Project:
|
---|
4446 | "
|
---|
4447 | tm "CommentText"
|
---|
4448 | wrapOption 3
|
---|
4449 | visibleHeight 1000
|
---|
4450 | visibleWidth 4000
|
---|
4451 | )
|
---|
4452 | position 1
|
---|
4453 | ignorePrefs 1
|
---|
4454 | titleBlock 1
|
---|
4455 | )
|
---|
4456 | *221 (CommentText
|
---|
4457 | uid 24,0
|
---|
4458 | shape (Rectangle
|
---|
4459 | uid 25,0
|
---|
4460 | sl 0
|
---|
4461 | va (VaSet
|
---|
4462 | vasetType 1
|
---|
4463 | fg "65280,65280,46080"
|
---|
4464 | )
|
---|
4465 | xt "47000,28000,64000,29000"
|
---|
4466 | )
|
---|
4467 | oxt "18000,68000,35000,69000"
|
---|
4468 | text (MLText
|
---|
4469 | uid 26,0
|
---|
4470 | va (VaSet
|
---|
4471 | fg "0,0,32768"
|
---|
4472 | bg "0,0,32768"
|
---|
4473 | )
|
---|
4474 | xt "47200,28000,58100,29000"
|
---|
4475 | st "
|
---|
4476 | <enter diagram title here>
|
---|
4477 | "
|
---|
4478 | tm "CommentText"
|
---|
4479 | wrapOption 3
|
---|
4480 | visibleHeight 1000
|
---|
4481 | visibleWidth 17000
|
---|
4482 | )
|
---|
4483 | position 1
|
---|
4484 | ignorePrefs 1
|
---|
4485 | titleBlock 1
|
---|
4486 | )
|
---|
4487 | *222 (CommentText
|
---|
4488 | uid 27,0
|
---|
4489 | shape (Rectangle
|
---|
4490 | uid 28,0
|
---|
4491 | sl 0
|
---|
4492 | va (VaSet
|
---|
4493 | vasetType 1
|
---|
4494 | fg "65280,65280,46080"
|
---|
4495 | )
|
---|
4496 | xt "43000,28000,47000,29000"
|
---|
4497 | )
|
---|
4498 | oxt "14000,68000,18000,69000"
|
---|
4499 | text (MLText
|
---|
4500 | uid 29,0
|
---|
4501 | va (VaSet
|
---|
4502 | fg "0,0,32768"
|
---|
4503 | bg "0,0,32768"
|
---|
4504 | )
|
---|
4505 | xt "43200,28000,45500,29000"
|
---|
4506 | st "
|
---|
4507 | Title:
|
---|
4508 | "
|
---|
4509 | tm "CommentText"
|
---|
4510 | wrapOption 3
|
---|
4511 | visibleHeight 1000
|
---|
4512 | visibleWidth 4000
|
---|
4513 | )
|
---|
4514 | position 1
|
---|
4515 | ignorePrefs 1
|
---|
4516 | titleBlock 1
|
---|
4517 | )
|
---|
4518 | *223 (CommentText
|
---|
4519 | uid 30,0
|
---|
4520 | shape (Rectangle
|
---|
4521 | uid 31,0
|
---|
4522 | sl 0
|
---|
4523 | va (VaSet
|
---|
4524 | vasetType 1
|
---|
4525 | fg "65280,65280,46080"
|
---|
4526 | )
|
---|
4527 | xt "64000,27000,84000,31000"
|
---|
4528 | )
|
---|
4529 | oxt "35000,67000,55000,71000"
|
---|
4530 | text (MLText
|
---|
4531 | uid 32,0
|
---|
4532 | va (VaSet
|
---|
4533 | fg "0,0,32768"
|
---|
4534 | bg "0,0,32768"
|
---|
4535 | )
|
---|
4536 | xt "64200,27200,74000,28200"
|
---|
4537 | st "
|
---|
4538 | <enter comments here>
|
---|
4539 | "
|
---|
4540 | tm "CommentText"
|
---|
4541 | wrapOption 3
|
---|
4542 | visibleHeight 4000
|
---|
4543 | visibleWidth 20000
|
---|
4544 | )
|
---|
4545 | ignorePrefs 1
|
---|
4546 | titleBlock 1
|
---|
4547 | )
|
---|
4548 | *224 (CommentText
|
---|
4549 | uid 33,0
|
---|
4550 | shape (Rectangle
|
---|
4551 | uid 34,0
|
---|
4552 | sl 0
|
---|
4553 | va (VaSet
|
---|
4554 | vasetType 1
|
---|
4555 | fg "65280,65280,46080"
|
---|
4556 | )
|
---|
4557 | xt "68000,26000,84000,27000"
|
---|
4558 | )
|
---|
4559 | oxt "39000,66000,55000,67000"
|
---|
4560 | text (MLText
|
---|
4561 | uid 35,0
|
---|
4562 | va (VaSet
|
---|
4563 | fg "0,0,32768"
|
---|
4564 | bg "0,0,32768"
|
---|
4565 | )
|
---|
4566 | xt "68200,26000,72900,27000"
|
---|
4567 | st "
|
---|
4568 | %project_name
|
---|
4569 | "
|
---|
4570 | tm "CommentText"
|
---|
4571 | wrapOption 3
|
---|
4572 | visibleHeight 1000
|
---|
4573 | visibleWidth 16000
|
---|
4574 | )
|
---|
4575 | position 1
|
---|
4576 | ignorePrefs 1
|
---|
4577 | titleBlock 1
|
---|
4578 | )
|
---|
4579 | *225 (CommentText
|
---|
4580 | uid 36,0
|
---|
4581 | shape (Rectangle
|
---|
4582 | uid 37,0
|
---|
4583 | sl 0
|
---|
4584 | va (VaSet
|
---|
4585 | vasetType 1
|
---|
4586 | fg "65280,65280,46080"
|
---|
4587 | )
|
---|
4588 | xt "43000,26000,64000,28000"
|
---|
4589 | )
|
---|
4590 | oxt "14000,66000,35000,68000"
|
---|
4591 | text (MLText
|
---|
4592 | uid 38,0
|
---|
4593 | va (VaSet
|
---|
4594 | fg "32768,0,0"
|
---|
4595 | )
|
---|
4596 | xt "50200,26500,56800,27500"
|
---|
4597 | st "
|
---|
4598 | <company name>
|
---|
4599 | "
|
---|
4600 | ju 0
|
---|
4601 | tm "CommentText"
|
---|
4602 | wrapOption 3
|
---|
4603 | visibleHeight 2000
|
---|
4604 | visibleWidth 21000
|
---|
4605 | )
|
---|
4606 | position 1
|
---|
4607 | ignorePrefs 1
|
---|
4608 | titleBlock 1
|
---|
4609 | )
|
---|
4610 | *226 (CommentText
|
---|
4611 | uid 39,0
|
---|
4612 | shape (Rectangle
|
---|
4613 | uid 40,0
|
---|
4614 | sl 0
|
---|
4615 | va (VaSet
|
---|
4616 | vasetType 1
|
---|
4617 | fg "65280,65280,46080"
|
---|
4618 | )
|
---|
4619 | xt "43000,29000,47000,30000"
|
---|
4620 | )
|
---|
4621 | oxt "14000,69000,18000,70000"
|
---|
4622 | text (MLText
|
---|
4623 | uid 41,0
|
---|
4624 | va (VaSet
|
---|
4625 | fg "0,0,32768"
|
---|
4626 | bg "0,0,32768"
|
---|
4627 | )
|
---|
4628 | xt "43200,29000,45500,30000"
|
---|
4629 | st "
|
---|
4630 | Path:
|
---|
4631 | "
|
---|
4632 | tm "CommentText"
|
---|
4633 | wrapOption 3
|
---|
4634 | visibleHeight 1000
|
---|
4635 | visibleWidth 4000
|
---|
4636 | )
|
---|
4637 | position 1
|
---|
4638 | ignorePrefs 1
|
---|
4639 | titleBlock 1
|
---|
4640 | )
|
---|
4641 | *227 (CommentText
|
---|
4642 | uid 42,0
|
---|
4643 | shape (Rectangle
|
---|
4644 | uid 43,0
|
---|
4645 | sl 0
|
---|
4646 | va (VaSet
|
---|
4647 | vasetType 1
|
---|
4648 | fg "65280,65280,46080"
|
---|
4649 | )
|
---|
4650 | xt "43000,30000,47000,31000"
|
---|
4651 | )
|
---|
4652 | oxt "14000,70000,18000,71000"
|
---|
4653 | text (MLText
|
---|
4654 | uid 44,0
|
---|
4655 | va (VaSet
|
---|
4656 | fg "0,0,32768"
|
---|
4657 | bg "0,0,32768"
|
---|
4658 | )
|
---|
4659 | xt "43200,30000,46300,31000"
|
---|
4660 | st "
|
---|
4661 | Edited:
|
---|
4662 | "
|
---|
4663 | tm "CommentText"
|
---|
4664 | wrapOption 3
|
---|
4665 | visibleHeight 1000
|
---|
4666 | visibleWidth 4000
|
---|
4667 | )
|
---|
4668 | position 1
|
---|
4669 | ignorePrefs 1
|
---|
4670 | titleBlock 1
|
---|
4671 | )
|
---|
4672 | *228 (CommentText
|
---|
4673 | uid 45,0
|
---|
4674 | shape (Rectangle
|
---|
4675 | uid 46,0
|
---|
4676 | sl 0
|
---|
4677 | va (VaSet
|
---|
4678 | vasetType 1
|
---|
4679 | fg "65280,65280,46080"
|
---|
4680 | )
|
---|
4681 | xt "47000,29000,64000,30000"
|
---|
4682 | )
|
---|
4683 | oxt "18000,69000,35000,70000"
|
---|
4684 | text (MLText
|
---|
4685 | uid 47,0
|
---|
4686 | va (VaSet
|
---|
4687 | fg "0,0,32768"
|
---|
4688 | bg "0,0,32768"
|
---|
4689 | )
|
---|
4690 | xt "47200,29000,62800,30000"
|
---|
4691 | st "
|
---|
4692 | %library/%unit/%view
|
---|
4693 | "
|
---|
4694 | tm "CommentText"
|
---|
4695 | wrapOption 3
|
---|
4696 | visibleHeight 1000
|
---|
4697 | visibleWidth 17000
|
---|
4698 | )
|
---|
4699 | position 1
|
---|
4700 | ignorePrefs 1
|
---|
4701 | titleBlock 1
|
---|
4702 | )
|
---|
4703 | ]
|
---|
4704 | shape (GroupingShape
|
---|
4705 | uid 17,0
|
---|
4706 | va (VaSet
|
---|
4707 | vasetType 1
|
---|
4708 | fg "65535,65535,65535"
|
---|
4709 | lineStyle 2
|
---|
4710 | lineWidth 2
|
---|
4711 | )
|
---|
4712 | xt "43000,26000,84000,31000"
|
---|
4713 | )
|
---|
4714 | oxt "14000,66000,55000,71000"
|
---|
4715 | )
|
---|
4716 | *229 (CommentText
|
---|
4717 | uid 133,0
|
---|
4718 | shape (Rectangle
|
---|
4719 | uid 134,0
|
---|
4720 | layer 0
|
---|
4721 | va (VaSet
|
---|
4722 | vasetType 1
|
---|
4723 | fg "65280,65280,46080"
|
---|
4724 | lineColor "0,0,32768"
|
---|
4725 | )
|
---|
4726 | xt "0,-6000,33000,0"
|
---|
4727 | )
|
---|
4728 | text (MLText
|
---|
4729 | uid 135,0
|
---|
4730 | va (VaSet
|
---|
4731 | fg "0,0,32768"
|
---|
4732 | font "Arial,10,0"
|
---|
4733 | )
|
---|
4734 | xt "200,-5800,32200,-600"
|
---|
4735 | st "
|
---|
4736 | Created using Mentor Graphics HDL2Graphics(TM) Technology
|
---|
4737 | on - 10:21:37 04.02.2010
|
---|
4738 | from - D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hdl\\w5300_modul.vhd
|
---|
4739 |
|
---|
4740 | "
|
---|
4741 | tm "CommentText"
|
---|
4742 | wrapOption 3
|
---|
4743 | visibleHeight 5600
|
---|
4744 | visibleWidth 32600
|
---|
4745 | )
|
---|
4746 | )
|
---|
4747 | ]
|
---|
4748 | bg "65535,65535,65535"
|
---|
4749 | grid (Grid
|
---|
4750 | origin "0,0"
|
---|
4751 | isVisible 1
|
---|
4752 | isActive 1
|
---|
4753 | xSpacing 1000
|
---|
4754 | xySpacing 1000
|
---|
4755 | xShown 1
|
---|
4756 | yShown 1
|
---|
4757 | color "26368,26368,26368"
|
---|
4758 | )
|
---|
4759 | packageList *230 (PackageList
|
---|
4760 | uid 170,0
|
---|
4761 | stg "VerticalLayoutStrategy"
|
---|
4762 | textVec [
|
---|
4763 | *231 (Text
|
---|
4764 | uid 171,0
|
---|
4765 | va (VaSet
|
---|
4766 | font "arial,8,1"
|
---|
4767 | )
|
---|
4768 | xt "0,1000,5400,2000"
|
---|
4769 | st "Package List"
|
---|
4770 | blo "0,1800"
|
---|
4771 | )
|
---|
4772 | *232 (MLText
|
---|
4773 | uid 172,0
|
---|
4774 | va (VaSet
|
---|
4775 | )
|
---|
4776 | xt "0,2000,16200,8000"
|
---|
4777 | st "library IEEE;
|
---|
4778 | use IEEE.STD_LOGIC_1164.ALL;
|
---|
4779 | use IEEE.STD_LOGIC_ARITH.ALL;
|
---|
4780 | use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
---|
4781 | library FACT_FAD_lib;
|
---|
4782 | use FACT_FAD_lib.fad_definitions.ALL;"
|
---|
4783 | tm "PackageList"
|
---|
4784 | )
|
---|
4785 | ]
|
---|
4786 | )
|
---|
4787 | windowSize "0,0,1015,690"
|
---|
4788 | viewArea "0,0,0,0"
|
---|
4789 | cachedDiagramExtent "0,0,0,0"
|
---|
4790 | pageBreakOrigin "0,0"
|
---|
4791 | defaultCommentText (CommentText
|
---|
4792 | shape (Rectangle
|
---|
4793 | layer 0
|
---|
4794 | va (VaSet
|
---|
4795 | vasetType 1
|
---|
4796 | fg "65280,65280,46080"
|
---|
4797 | lineColor "0,0,32768"
|
---|
4798 | )
|
---|
4799 | xt "0,0,15000,5000"
|
---|
4800 | )
|
---|
4801 | text (MLText
|
---|
4802 | va (VaSet
|
---|
4803 | fg "0,0,32768"
|
---|
4804 | )
|
---|
4805 | xt "200,200,2400,1200"
|
---|
4806 | st "
|
---|
4807 | Text
|
---|
4808 | "
|
---|
4809 | tm "CommentText"
|
---|
4810 | wrapOption 3
|
---|
4811 | visibleHeight 4600
|
---|
4812 | visibleWidth 14600
|
---|
4813 | )
|
---|
4814 | )
|
---|
4815 | defaultPanel (Panel
|
---|
4816 | shape (RectFrame
|
---|
4817 | va (VaSet
|
---|
4818 | vasetType 1
|
---|
4819 | fg "65535,65535,65535"
|
---|
4820 | lineColor "32768,0,0"
|
---|
4821 | lineWidth 3
|
---|
4822 | )
|
---|
4823 | xt "0,0,20000,20000"
|
---|
4824 | )
|
---|
4825 | title (TextAssociate
|
---|
4826 | ps "TopLeftStrategy"
|
---|
4827 | text (Text
|
---|
4828 | va (VaSet
|
---|
4829 | font "Arial,8,1"
|
---|
4830 | )
|
---|
4831 | xt "1000,1000,3800,2000"
|
---|
4832 | st "Panel0"
|
---|
4833 | blo "1000,1800"
|
---|
4834 | tm "PanelText"
|
---|
4835 | )
|
---|
4836 | )
|
---|
4837 | )
|
---|
4838 | parentGraphicsRef (HdmGraphicsRef
|
---|
4839 | libraryName ""
|
---|
4840 | entityName ""
|
---|
4841 | viewName ""
|
---|
4842 | )
|
---|
4843 | defaultSymbolBody (SymbolBody
|
---|
4844 | shape (Rectangle
|
---|
4845 | va (VaSet
|
---|
4846 | vasetType 1
|
---|
4847 | fg "0,65535,0"
|
---|
4848 | lineColor "0,32896,0"
|
---|
4849 | lineWidth 2
|
---|
4850 | )
|
---|
4851 | xt "15000,6000,33000,26000"
|
---|
4852 | )
|
---|
4853 | biTextGroup (BiTextGroup
|
---|
4854 | ps "CenterOffsetStrategy"
|
---|
4855 | stg "VerticalLayoutStrategy"
|
---|
4856 | first (Text
|
---|
4857 | va (VaSet
|
---|
4858 | font "Arial,8,1"
|
---|
4859 | )
|
---|
4860 | xt "22200,15000,25800,16000"
|
---|
4861 | st "<library>"
|
---|
4862 | blo "22200,15800"
|
---|
4863 | )
|
---|
4864 | second (Text
|
---|
4865 | va (VaSet
|
---|
4866 | font "Arial,8,1"
|
---|
4867 | )
|
---|
4868 | xt "22200,16000,24800,17000"
|
---|
4869 | st "<cell>"
|
---|
4870 | blo "22200,16800"
|
---|
4871 | )
|
---|
4872 | )
|
---|
4873 | gi *233 (GenericInterface
|
---|
4874 | ps "CenterOffsetStrategy"
|
---|
4875 | matrix (Matrix
|
---|
4876 | text (MLText
|
---|
4877 | va (VaSet
|
---|
4878 | font "Courier New,8,0"
|
---|
4879 | )
|
---|
4880 | xt "0,12000,11500,12800"
|
---|
4881 | st "Generic Declarations"
|
---|
4882 | )
|
---|
4883 | header "Generic Declarations"
|
---|
4884 | showHdrWhenContentsEmpty 1
|
---|
4885 | )
|
---|
4886 | elements [
|
---|
4887 | ]
|
---|
4888 | )
|
---|
4889 | portInstanceVisAsIs 1
|
---|
4890 | portInstanceVis (PortSigDisplay
|
---|
4891 | sIVOD 1
|
---|
4892 | )
|
---|
4893 | portVis (PortSigDisplay
|
---|
4894 | sIVOD 1
|
---|
4895 | )
|
---|
4896 | )
|
---|
4897 | defaultCptPort (CptPort
|
---|
4898 | ps "OnEdgeStrategy"
|
---|
4899 | shape (Triangle
|
---|
4900 | ro 90
|
---|
4901 | va (VaSet
|
---|
4902 | vasetType 1
|
---|
4903 | fg "0,65535,0"
|
---|
4904 | )
|
---|
4905 | xt "0,0,750,750"
|
---|
4906 | )
|
---|
4907 | tg (CPTG
|
---|
4908 | ps "CptPortTextPlaceStrategy"
|
---|
4909 | stg "VerticalLayoutStrategy"
|
---|
4910 | f (Text
|
---|
4911 | va (VaSet
|
---|
4912 | )
|
---|
4913 | xt "0,750,1400,1750"
|
---|
4914 | st "In0"
|
---|
4915 | blo "0,1550"
|
---|
4916 | tm "CptPortNameMgr"
|
---|
4917 | )
|
---|
4918 | )
|
---|
4919 | dt (MLText
|
---|
4920 | va (VaSet
|
---|
4921 | font "Courier New,8,0"
|
---|
4922 | )
|
---|
4923 | )
|
---|
4924 | thePort (LogicalPort
|
---|
4925 | decl (Decl
|
---|
4926 | n "In0"
|
---|
4927 | t "std_logic_vector"
|
---|
4928 | b "(15 DOWNTO 0)"
|
---|
4929 | o 0
|
---|
4930 | )
|
---|
4931 | )
|
---|
4932 | )
|
---|
4933 | defaultCptPortBuffer (CptPort
|
---|
4934 | ps "OnEdgeStrategy"
|
---|
4935 | shape (Diamond
|
---|
4936 | va (VaSet
|
---|
4937 | vasetType 1
|
---|
4938 | fg "65535,65535,65535"
|
---|
4939 | bg "0,0,0"
|
---|
4940 | )
|
---|
4941 | xt "0,0,750,750"
|
---|
4942 | )
|
---|
4943 | tg (CPTG
|
---|
4944 | ps "CptPortTextPlaceStrategy"
|
---|
4945 | stg "VerticalLayoutStrategy"
|
---|
4946 | f (Text
|
---|
4947 | va (VaSet
|
---|
4948 | )
|
---|
4949 | xt "0,750,2800,1750"
|
---|
4950 | st "Buffer0"
|
---|
4951 | blo "0,1550"
|
---|
4952 | tm "CptPortNameMgr"
|
---|
4953 | )
|
---|
4954 | )
|
---|
4955 | dt (MLText
|
---|
4956 | va (VaSet
|
---|
4957 | font "Courier New,8,0"
|
---|
4958 | )
|
---|
4959 | )
|
---|
4960 | thePort (LogicalPort
|
---|
4961 | m 3
|
---|
4962 | decl (Decl
|
---|
4963 | n "Buffer0"
|
---|
4964 | t "std_logic_vector"
|
---|
4965 | b "(15 DOWNTO 0)"
|
---|
4966 | o 0
|
---|
4967 | )
|
---|
4968 | )
|
---|
4969 | )
|
---|
4970 | DeclarativeBlock *234 (SymDeclBlock
|
---|
4971 | uid 1,0
|
---|
4972 | stg "SymDeclLayoutStrategy"
|
---|
4973 | declLabel (Text
|
---|
4974 | uid 2,0
|
---|
4975 | va (VaSet
|
---|
4976 | font "Arial,8,1"
|
---|
4977 | )
|
---|
4978 | xt "0,14000,5400,15000"
|
---|
4979 | st "Declarations"
|
---|
4980 | blo "0,14800"
|
---|
4981 | )
|
---|
4982 | portLabel (Text
|
---|
4983 | uid 3,0
|
---|
4984 | va (VaSet
|
---|
4985 | font "Arial,8,1"
|
---|
4986 | )
|
---|
4987 | xt "0,15000,2700,16000"
|
---|
4988 | st "Ports:"
|
---|
4989 | blo "0,15800"
|
---|
4990 | )
|
---|
4991 | externalLabel (Text
|
---|
4992 | uid 4,0
|
---|
4993 | va (VaSet
|
---|
4994 | font "Arial,8,1"
|
---|
4995 | )
|
---|
4996 | xt "0,78400,2400,79400"
|
---|
4997 | st "User:"
|
---|
4998 | blo "0,79200"
|
---|
4999 | )
|
---|
5000 | internalLabel (Text
|
---|
5001 | uid 6,0
|
---|
5002 | va (VaSet
|
---|
5003 | isHidden 1
|
---|
5004 | font "Arial,8,1"
|
---|
5005 | )
|
---|
5006 | xt "0,14000,5800,15000"
|
---|
5007 | st "Internal User:"
|
---|
5008 | blo "0,14800"
|
---|
5009 | )
|
---|
5010 | externalText (MLText
|
---|
5011 | uid 5,0
|
---|
5012 | va (VaSet
|
---|
5013 | font "Courier New,8,0"
|
---|
5014 | )
|
---|
5015 | xt "2000,79400,2000,79400"
|
---|
5016 | tm "SyDeclarativeTextMgr"
|
---|
5017 | )
|
---|
5018 | internalText (MLText
|
---|
5019 | uid 7,0
|
---|
5020 | va (VaSet
|
---|
5021 | isHidden 1
|
---|
5022 | font "Courier New,8,0"
|
---|
5023 | )
|
---|
5024 | xt "0,14000,0,14000"
|
---|
5025 | tm "SyDeclarativeTextMgr"
|
---|
5026 | )
|
---|
5027 | )
|
---|
5028 | lastUid 2294,0
|
---|
5029 | activeModelName "Symbol:CDM"
|
---|
5030 | )
|
---|