source: firmware/FAD/FACT_FAD_lib/hds/w5300_modul/symbol.sb@ 13147

Last change on this file since 13147 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 69.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "FACT_FAD_lib"
22unitName "fad_definitions"
23itemName "ALL"
24)
25]
26libraryRefs [
27"IEEE"
28"FACT_FAD_lib"
29]
30)
31version "24.1"
32appVersion "2009.1 (Build 12)"
33model (Symbol
34commonDM (CommonDM
35ldm (LogicalDM
36ordering 1
37suid 77,0
38usingSuid 1
39emptyRow *1 (LEmptyRow
40)
41uid 175,0
42optionalChildren [
43*2 (RefLabelRowHdr
44)
45*3 (TitleRowHdr
46)
47*4 (FilterRowHdr
48)
49*5 (RefLabelColHdr
50tm "RefLabelColHdrMgr"
51)
52*6 (RowExpandColHdr
53tm "RowExpandColHdrMgr"
54)
55*7 (GroupColHdr
56tm "GroupColHdrMgr"
57)
58*8 (NameColHdr
59tm "NameColHdrMgr"
60)
61*9 (ModeColHdr
62tm "ModeColHdrMgr"
63)
64*10 (TypeColHdr
65tm "TypeColHdrMgr"
66)
67*11 (BoundsColHdr
68tm "BoundsColHdrMgr"
69)
70*12 (InitColHdr
71tm "InitColHdrMgr"
72)
73*13 (EolColHdr
74tm "EolColHdrMgr"
75)
76*14 (LogPort
77port (LogicalPort
78decl (Decl
79n "clk"
80t "std_logic"
81preAdd 0
82posAdd 0
83o 7
84suid 1,0
85)
86)
87uid 136,0
88)
89*15 (LogPort
90port (LogicalPort
91m 1
92decl (Decl
93n "wiz_reset"
94t "std_logic"
95preAdd 0
96posAdd 0
97o 8
98suid 2,0
99i "'1'"
100)
101)
102uid 138,0
103)
104*16 (LogPort
105port (LogicalPort
106m 1
107decl (Decl
108n "addr"
109t "std_logic_vector"
110b "(9 DOWNTO 0)"
111preAdd 0
112posAdd 0
113o 9
114suid 3,0
115)
116)
117uid 140,0
118)
119*17 (LogPort
120port (LogicalPort
121m 2
122decl (Decl
123n "data"
124t "std_logic_vector"
125b "(15 DOWNTO 0)"
126preAdd 0
127posAdd 0
128o 10
129suid 4,0
130)
131)
132uid 142,0
133)
134*18 (LogPort
135port (LogicalPort
136m 1
137decl (Decl
138n "cs"
139t "std_logic"
140preAdd 0
141posAdd 0
142o 11
143suid 5,0
144i "'1'"
145)
146)
147uid 144,0
148)
149*19 (LogPort
150port (LogicalPort
151m 1
152decl (Decl
153n "wr"
154t "std_logic"
155preAdd 0
156posAdd 0
157o 12
158suid 6,0
159i "'1'"
160)
161)
162uid 146,0
163)
164*20 (LogPort
165port (LogicalPort
166m 1
167decl (Decl
168n "rd"
169t "std_logic"
170preAdd 0
171posAdd 0
172o 14
173suid 8,0
174i "'1'"
175)
176)
177uid 150,0
178)
179*21 (LogPort
180port (LogicalPort
181decl (Decl
182n "int"
183t "std_logic"
184preAdd 0
185posAdd 0
186o 15
187suid 9,0
188)
189)
190uid 152,0
191)
192*22 (LogPort
193port (LogicalPort
194decl (Decl
195n "write_length"
196t "std_logic_vector"
197b "(16 DOWNTO 0)"
198preAdd 0
199posAdd 0
200o 16
201suid 10,0
202)
203)
204uid 154,0
205)
206*23 (LogPort
207port (LogicalPort
208decl (Decl
209n "ram_start_addr"
210t "std_logic_vector"
211b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
212preAdd 0
213posAdd 0
214o 17
215suid 11,0
216)
217)
218uid 156,0
219)
220*24 (LogPort
221port (LogicalPort
222decl (Decl
223n "ram_data"
224t "std_logic_vector"
225b "(15 DOWNTO 0)"
226preAdd 0
227posAdd 0
228o 18
229suid 12,0
230)
231)
232uid 158,0
233)
234*25 (LogPort
235port (LogicalPort
236m 1
237decl (Decl
238n "ram_addr"
239t "std_logic_vector"
240b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
241preAdd 0
242posAdd 0
243o 19
244suid 13,0
245)
246)
247uid 160,0
248)
249*26 (LogPort
250port (LogicalPort
251decl (Decl
252n "data_valid"
253t "std_logic"
254preAdd 0
255posAdd 0
256o 20
257suid 14,0
258)
259)
260uid 162,0
261)
262*27 (LogPort
263port (LogicalPort
264m 1
265decl (Decl
266n "busy"
267t "std_logic"
268preAdd 0
269posAdd 0
270o 22
271suid 15,0
272i "'1'"
273)
274)
275uid 164,0
276)
277*28 (LogPort
278port (LogicalPort
279decl (Decl
280n "write_end_flag"
281t "std_logic"
282o 24
283suid 18,0
284)
285)
286uid 358,0
287)
288*29 (LogPort
289port (LogicalPort
290decl (Decl
291n "write_header_flag"
292t "std_logic"
293o 23
294suid 19,0
295)
296)
297uid 360,0
298)
299*30 (LogPort
300port (LogicalPort
301decl (Decl
302n "fifo_channels"
303t "std_logic_vector"
304b "(3 downto 0)"
305posAdd 0
306o 25
307suid 20,0
308)
309)
310uid 390,0
311)
312*31 (LogPort
313port (LogicalPort
314m 1
315decl (Decl
316n "led"
317t "std_logic_vector"
318b "(7 DOWNTO 0)"
319posAdd 0
320o 13
321suid 22,0
322i "(OTHERS => '0')"
323)
324)
325uid 496,0
326)
327*32 (LogPort
328port (LogicalPort
329m 1
330decl (Decl
331n "s_trigger"
332t "std_logic"
333prec "-- softtrigger:"
334preAdd 0
335o 26
336suid 23,0
337i "'0'"
338)
339)
340uid 526,0
341)
342*33 (LogPort
343port (LogicalPort
344m 1
345decl (Decl
346n "denable"
347t "std_logic"
348eolc "-- default domino wave on. ... in case if REFCLK error ... REFCLK counter will override."
349preAdd 0
350posAdd 0
351o 42
352suid 31,0
353i "'0'"
354)
355)
356uid 675,0
357)
358*34 (LogPort
359port (LogicalPort
360m 1
361decl (Decl
362n "dwrite_enable"
363t "std_logic"
364eolc "-- default DWRITE low."
365preAdd 0
366posAdd 0
367o 43
368suid 32,0
369i "'1'"
370)
371)
372uid 728,0
373)
374*35 (LogPort
375port (LogicalPort
376m 1
377decl (Decl
378n "data_valid_ack"
379t "std_logic"
380o 21
381suid 34,0
382i "'0'"
383)
384)
385uid 890,0
386)
387*36 (LogPort
388port (LogicalPort
389m 1
390decl (Decl
391n "sclk_enable"
392t "std_logic"
393eolc "-- default DWRITE HIGH."
394posAdd 0
395o 44
396suid 35,0
397i "'1'"
398)
399)
400uid 922,0
401)
402*37 (LogPort
403port (LogicalPort
404m 1
405decl (Decl
406n "ps_direction"
407t "std_logic"
408prec "------------------------------------------------------------------------------
409
410-- ADC CLK generator, is able to shift phase with respect to X_50M
411-- these signals control the behavior of the digital clock manager (DCM)
412------------------------------------------------------------------------------"
413eolc "-- default phase shift upwards"
414preAdd 0
415posAdd 0
416o 49
417suid 36,0
418i "'1'"
419)
420)
421uid 959,0
422)
423*38 (LogPort
424port (LogicalPort
425m 1
426decl (Decl
427n "ps_do_phase_shift"
428t "std_logic"
429eolc "--pulse this to phase shift once"
430preAdd 0
431posAdd 0
432o 50
433suid 37,0
434i "'0'"
435)
436)
437uid 961,0
438)
439*39 (LogPort
440port (LogicalPort
441m 1
442decl (Decl
443n "ps_reset"
444t "std_logic"
445eolc "-- pulse this to reset the variable phase shift"
446posAdd 0
447o 51
448suid 38,0
449i "'0'"
450)
451)
452uid 993,0
453)
454*40 (LogPort
455port (LogicalPort
456m 1
457decl (Decl
458n "srclk_enable"
459t "std_logic"
460eolc "-- default SRCLK on."
461posAdd 0
462o 45
463suid 39,0
464i "'1'"
465)
466)
467uid 1025,0
468)
469*41 (LogPort
470port (LogicalPort
471m 1
472decl (Decl
473n "socks_connected"
474t "std_logic"
475posc "------------------------------------------------------------------------------"
476posAdd 0
477o 54
478suid 42,0
479)
480)
481uid 1101,0
482)
483*42 (LogPort
484port (LogicalPort
485m 1
486decl (Decl
487n "socks_waiting"
488t "std_logic"
489prec "------------------------------------------------------------------------------
490
491-- signals used to control FAD LED bahavior:
492-- one of the three LEDs is used for com-status info
493------------------------------------------------------------------------------"
494preAdd 0
495o 53
496suid 43,0
497)
498)
499uid 1103,0
500)
501*43 (LogPort
502port (LogicalPort
503m 1
504decl (Decl
505n "trigger_enable"
506t "std_logic"
507prec "------------------------------------------------------------------------------
508
509-- user controllable enable signals
510------------------------------------------------------------------------------"
511preAdd 0
512posAdd 0
513o 41
514suid 44,0
515)
516)
517uid 1135,0
518)
519*44 (LogPort
520port (LogicalPort
521m 1
522decl (Decl
523n "c_trigger_enable"
524t "std_logic"
525o 27
526suid 45,0
527i "'0'"
528)
529)
530uid 1197,0
531)
532*45 (LogPort
533port (LogicalPort
534m 1
535decl (Decl
536n "c_trigger_mult"
537t "std_logic_vector"
538b "(15 DOWNTO 0)"
539eolc "--subject to changes"
540posAdd 0
541o 28
542suid 46,0
543i "conv_std_logic_vector(0 ,16)"
544)
545)
546uid 1199,0
547)
548*46 (LogPort
549port (LogicalPort
550decl (Decl
551n "MAC_jumper"
552t "std_logic_vector"
553b "(1 downto 0)"
554prec "------------------------------------------------------------------------------
555
556-- MAC/IP calculation signals:
557------------------------------------------------------------------------------"
558preAdd 0
559o 38
560suid 48,0
561)
562)
563uid 1288,0
564)
565*47 (LogPort
566port (LogicalPort
567decl (Decl
568n "BoardID"
569t "std_logic_vector"
570b "(3 downto 0)"
571o 39
572suid 49,0
573)
574)
575uid 1325,0
576)
577*48 (LogPort
578port (LogicalPort
579decl (Decl
580n "CrateID"
581t "std_logic_vector"
582b "(1 downto 0)"
583posAdd 0
584o 40
585suid 50,0
586)
587)
588uid 1327,0
589)
590*49 (LogPort
591port (LogicalPort
592m 1
593decl (Decl
594n "dac_setting"
595t "dac_array_type"
596prec "--data_generator_config_start_o : out std_logic := '0';
597--data_generator_config_valid_i : in std_logic;"
598eolc "--<<-- default defined in fad_definitions.vhd"
599preAdd 0
600posAdd 0
601o 33
602suid 54,0
603i "DEFAULT_DAC"
604)
605)
606uid 1605,0
607)
608*50 (LogPort
609port (LogicalPort
610m 1
611decl (Decl
612n "memory_manager_config_start_o"
613t "std_logic"
614prec "-- FAD configuration signals:
615------------------------------------------------------------------------------"
616preAdd 0
617o 29
618suid 59,0
619i "'0'"
620)
621)
622uid 1615,0
623)
624*51 (LogPort
625port (LogicalPort
626decl (Decl
627n "memory_manager_config_valid_i"
628t "std_logic"
629o 30
630suid 60,0
631)
632)
633uid 1617,0
634)
635*52 (LogPort
636port (LogicalPort
637m 1
638decl (Decl
639n "roi_setting"
640t "roi_array_type"
641eolc "--<<-- default defined in fad_definitions.vhd"
642preAdd 0
643posAdd 0
644o 34
645suid 61,0
646i "DEFAULT_ROI"
647)
648)
649uid 1619,0
650)
651*53 (LogPort
652port (LogicalPort
653m 1
654decl (Decl
655n "spi_interface_config_start_o"
656t "std_logic"
657o 31
658suid 63,0
659i "'0'"
660)
661)
662uid 1623,0
663)
664*54 (LogPort
665port (LogicalPort
666decl (Decl
667n "spi_interface_config_valid_i"
668t "std_logic"
669posAdd 0
670o 32
671suid 64,0
672)
673)
674uid 1625,0
675)
676*55 (LogPort
677port (LogicalPort
678decl (Decl
679n "data_ram_empty"
680t "std_logic"
681preAdd 0
682o 37
683suid 65,0
684)
685)
686uid 1807,0
687)
688*56 (LogPort
689port (LogicalPort
690decl (Decl
691n "ps_ready"
692t "std_logic"
693o 52
694suid 66,0
695)
696)
697uid 1839,0
698)
699*57 (LogPort
700port (LogicalPort
701m 1
702decl (Decl
703n "runnumber"
704t "std_logic_vector"
705b "(31 DOWNTO 0)"
706o 35
707suid 67,0
708i "conv_std_logic_vector(0 ,32)"
709)
710)
711uid 1896,0
712)
713*58 (LogPort
714port (LogicalPort
715m 1
716decl (Decl
717n "reset_trigger_id"
718t "std_logic"
719o 36
720suid 68,0
721i "'0'"
722)
723)
724uid 1928,0
725)
726*59 (LogPort
727port (LogicalPort
728m 1
729decl (Decl
730n "state"
731t "std_logic_vector"
732b "(7 DOWNTO 0)"
733eolc "-- state is encoded here ... useful for debugging."
734posAdd 0
735o 1
736suid 69,0
737)
738)
739uid 1960,0
740)
741*60 (LogPort
742port (LogicalPort
743m 1
744decl (Decl
745n "debug_data_ram_empty"
746t "std_logic"
747o 2
748suid 70,0
749)
750)
751uid 2022,0
752)
753*61 (LogPort
754port (LogicalPort
755m 1
756decl (Decl
757n "debug_data_valid"
758t "std_logic"
759o 3
760suid 71,0
761)
762)
763uid 2024,0
764)
765*62 (LogPort
766port (LogicalPort
767decl (Decl
768n "data_generator_idle_i"
769t "std_logic"
770o 4
771suid 72,0
772)
773)
774uid 2056,0
775)
776*63 (LogPort
777port (LogicalPort
778m 1
779decl (Decl
780n "socket_tx_free_out"
781t "std_logic_vector"
782b "(16 DOWNTO 0)"
783eolc "-- 17bit value .. that's true"
784posAdd 0
785o 6
786suid 73,0
787)
788)
789uid 2138,0
790)
791*64 (LogPort
792port (LogicalPort
793m 1
794decl (Decl
795n "busy_enable"
796t "std_logic"
797o 46
798suid 74,0
799i "'0'"
800)
801)
802uid 2175,0
803)
804*65 (LogPort
805port (LogicalPort
806m 1
807decl (Decl
808n "socket_send_mode_out"
809t "std_logic"
810o 47
811suid 75,0
812)
813)
814uid 2177,0
815)
816*66 (LogPort
817port (LogicalPort
818m 1
819decl (Decl
820n "busy_manual"
821t "std_logic"
822o 48
823suid 76,0
824i "'0'"
825)
826)
827uid 2239,0
828)
829*67 (LogPort
830port (LogicalPort
831decl (Decl
832n "data_ram_not_full"
833t "std_logic"
834o 5
835suid 77,0
836)
837)
838uid 2241,0
839)
840]
841)
842pdm (PhysicalDM
843displayShortBounds 1
844editShortBounds 1
845uid 188,0
846optionalChildren [
847*68 (Sheet
848sheetRow (SheetRow
849headerVa (MVa
850cellColor "49152,49152,49152"
851fontColor "0,0,0"
852font "Tahoma,10,0"
853)
854cellVa (MVa
855cellColor "65535,65535,65535"
856fontColor "0,0,0"
857font "Tahoma,10,0"
858)
859groupVa (MVa
860cellColor "39936,56832,65280"
861fontColor "0,0,0"
862font "Tahoma,10,0"
863)
864emptyMRCItem *69 (MRCItem
865litem &1
866pos 3
867dimension 20
868)
869uid 190,0
870optionalChildren [
871*70 (MRCItem
872litem &2
873pos 0
874dimension 20
875uid 191,0
876)
877*71 (MRCItem
878litem &3
879pos 1
880dimension 23
881uid 192,0
882)
883*72 (MRCItem
884litem &4
885pos 2
886hidden 1
887dimension 20
888uid 193,0
889)
890*73 (MRCItem
891litem &14
892pos 0
893dimension 20
894uid 137,0
895)
896*74 (MRCItem
897litem &15
898pos 1
899dimension 20
900uid 139,0
901)
902*75 (MRCItem
903litem &16
904pos 2
905dimension 20
906uid 141,0
907)
908*76 (MRCItem
909litem &17
910pos 3
911dimension 20
912uid 143,0
913)
914*77 (MRCItem
915litem &18
916pos 4
917dimension 20
918uid 145,0
919)
920*78 (MRCItem
921litem &19
922pos 5
923dimension 20
924uid 147,0
925)
926*79 (MRCItem
927litem &20
928pos 6
929dimension 20
930uid 151,0
931)
932*80 (MRCItem
933litem &21
934pos 7
935dimension 20
936uid 153,0
937)
938*81 (MRCItem
939litem &22
940pos 8
941dimension 20
942uid 155,0
943)
944*82 (MRCItem
945litem &23
946pos 9
947dimension 20
948uid 157,0
949)
950*83 (MRCItem
951litem &24
952pos 10
953dimension 20
954uid 159,0
955)
956*84 (MRCItem
957litem &25
958pos 11
959dimension 20
960uid 161,0
961)
962*85 (MRCItem
963litem &26
964pos 12
965dimension 20
966uid 163,0
967)
968*86 (MRCItem
969litem &27
970pos 13
971dimension 20
972uid 165,0
973)
974*87 (MRCItem
975litem &28
976pos 14
977dimension 20
978uid 359,0
979)
980*88 (MRCItem
981litem &29
982pos 15
983dimension 20
984uid 361,0
985)
986*89 (MRCItem
987litem &30
988pos 16
989dimension 20
990uid 391,0
991)
992*90 (MRCItem
993litem &31
994pos 17
995dimension 20
996uid 497,0
997)
998*91 (MRCItem
999litem &32
1000pos 18
1001dimension 20
1002uid 527,0
1003)
1004*92 (MRCItem
1005litem &33
1006pos 19
1007dimension 20
1008uid 676,0
1009)
1010*93 (MRCItem
1011litem &34
1012pos 20
1013dimension 20
1014uid 729,0
1015)
1016*94 (MRCItem
1017litem &35
1018pos 21
1019dimension 20
1020uid 891,0
1021)
1022*95 (MRCItem
1023litem &36
1024pos 22
1025dimension 20
1026uid 923,0
1027)
1028*96 (MRCItem
1029litem &37
1030pos 23
1031dimension 20
1032uid 960,0
1033)
1034*97 (MRCItem
1035litem &38
1036pos 24
1037dimension 20
1038uid 962,0
1039)
1040*98 (MRCItem
1041litem &39
1042pos 25
1043dimension 20
1044uid 994,0
1045)
1046*99 (MRCItem
1047litem &40
1048pos 26
1049dimension 20
1050uid 1026,0
1051)
1052*100 (MRCItem
1053litem &41
1054pos 27
1055dimension 20
1056uid 1102,0
1057)
1058*101 (MRCItem
1059litem &42
1060pos 28
1061dimension 20
1062uid 1104,0
1063)
1064*102 (MRCItem
1065litem &43
1066pos 29
1067dimension 20
1068uid 1136,0
1069)
1070*103 (MRCItem
1071litem &44
1072pos 30
1073dimension 20
1074uid 1198,0
1075)
1076*104 (MRCItem
1077litem &45
1078pos 31
1079dimension 20
1080uid 1200,0
1081)
1082*105 (MRCItem
1083litem &46
1084pos 32
1085dimension 20
1086uid 1289,0
1087)
1088*106 (MRCItem
1089litem &47
1090pos 33
1091dimension 20
1092uid 1326,0
1093)
1094*107 (MRCItem
1095litem &48
1096pos 34
1097dimension 20
1098uid 1328,0
1099)
1100*108 (MRCItem
1101litem &49
1102pos 35
1103dimension 20
1104uid 1606,0
1105)
1106*109 (MRCItem
1107litem &50
1108pos 36
1109dimension 20
1110uid 1616,0
1111)
1112*110 (MRCItem
1113litem &51
1114pos 37
1115dimension 20
1116uid 1618,0
1117)
1118*111 (MRCItem
1119litem &52
1120pos 38
1121dimension 20
1122uid 1620,0
1123)
1124*112 (MRCItem
1125litem &53
1126pos 39
1127dimension 20
1128uid 1624,0
1129)
1130*113 (MRCItem
1131litem &54
1132pos 40
1133dimension 20
1134uid 1626,0
1135)
1136*114 (MRCItem
1137litem &55
1138pos 41
1139dimension 20
1140uid 1808,0
1141)
1142*115 (MRCItem
1143litem &56
1144pos 42
1145dimension 20
1146uid 1840,0
1147)
1148*116 (MRCItem
1149litem &57
1150pos 43
1151dimension 20
1152uid 1897,0
1153)
1154*117 (MRCItem
1155litem &58
1156pos 44
1157dimension 20
1158uid 1929,0
1159)
1160*118 (MRCItem
1161litem &59
1162pos 45
1163dimension 20
1164uid 1961,0
1165)
1166*119 (MRCItem
1167litem &60
1168pos 46
1169dimension 20
1170uid 2023,0
1171)
1172*120 (MRCItem
1173litem &61
1174pos 47
1175dimension 20
1176uid 2025,0
1177)
1178*121 (MRCItem
1179litem &62
1180pos 48
1181dimension 20
1182uid 2057,0
1183)
1184*122 (MRCItem
1185litem &63
1186pos 49
1187dimension 20
1188uid 2139,0
1189)
1190*123 (MRCItem
1191litem &64
1192pos 50
1193dimension 20
1194uid 2176,0
1195)
1196*124 (MRCItem
1197litem &65
1198pos 51
1199dimension 20
1200uid 2178,0
1201)
1202*125 (MRCItem
1203litem &66
1204pos 52
1205dimension 20
1206uid 2240,0
1207)
1208*126 (MRCItem
1209litem &67
1210pos 53
1211dimension 20
1212uid 2242,0
1213)
1214]
1215)
1216sheetCol (SheetCol
1217propVa (MVa
1218cellColor "0,49152,49152"
1219fontColor "0,0,0"
1220font "Tahoma,10,0"
1221textAngle 90
1222)
1223uid 194,0
1224optionalChildren [
1225*127 (MRCItem
1226litem &5
1227pos 0
1228dimension 20
1229uid 195,0
1230)
1231*128 (MRCItem
1232litem &7
1233pos 1
1234dimension 50
1235uid 196,0
1236)
1237*129 (MRCItem
1238litem &8
1239pos 2
1240dimension 100
1241uid 197,0
1242)
1243*130 (MRCItem
1244litem &9
1245pos 3
1246dimension 50
1247uid 198,0
1248)
1249*131 (MRCItem
1250litem &10
1251pos 4
1252dimension 100
1253uid 199,0
1254)
1255*132 (MRCItem
1256litem &11
1257pos 5
1258dimension 100
1259uid 200,0
1260)
1261*133 (MRCItem
1262litem &12
1263pos 6
1264dimension 50
1265uid 201,0
1266)
1267*134 (MRCItem
1268litem &13
1269pos 7
1270dimension 80
1271uid 202,0
1272)
1273]
1274)
1275fixedCol 4
1276fixedRow 2
1277name "Ports"
1278uid 189,0
1279vaOverrides [
1280]
1281)
1282]
1283)
1284uid 174,0
1285)
1286genericsCommonDM (CommonDM
1287ldm (LogicalDM
1288emptyRow *135 (LEmptyRow
1289)
1290uid 204,0
1291optionalChildren [
1292*136 (RefLabelRowHdr
1293)
1294*137 (TitleRowHdr
1295)
1296*138 (FilterRowHdr
1297)
1298*139 (RefLabelColHdr
1299tm "RefLabelColHdrMgr"
1300)
1301*140 (RowExpandColHdr
1302tm "RowExpandColHdrMgr"
1303)
1304*141 (GroupColHdr
1305tm "GroupColHdrMgr"
1306)
1307*142 (NameColHdr
1308tm "GenericNameColHdrMgr"
1309)
1310*143 (TypeColHdr
1311tm "GenericTypeColHdrMgr"
1312)
1313*144 (InitColHdr
1314tm "GenericValueColHdrMgr"
1315)
1316*145 (PragmaColHdr
1317tm "GenericPragmaColHdrMgr"
1318)
1319*146 (EolColHdr
1320tm "GenericEolColHdrMgr"
1321)
1322*147 (LogGeneric
1323generic (GiElement
1324name "RAM_ADDR_WIDTH"
1325type "integer"
1326value "14"
1327)
1328uid 2293,0
1329)
1330]
1331)
1332pdm (PhysicalDM
1333displayShortBounds 1
1334editShortBounds 1
1335uid 216,0
1336optionalChildren [
1337*148 (Sheet
1338sheetRow (SheetRow
1339headerVa (MVa
1340cellColor "49152,49152,49152"
1341fontColor "0,0,0"
1342font "Tahoma,10,0"
1343)
1344cellVa (MVa
1345cellColor "65535,65535,65535"
1346fontColor "0,0,0"
1347font "Tahoma,10,0"
1348)
1349groupVa (MVa
1350cellColor "39936,56832,65280"
1351fontColor "0,0,0"
1352font "Tahoma,10,0"
1353)
1354emptyMRCItem *149 (MRCItem
1355litem &135
1356pos 3
1357dimension 20
1358)
1359uid 218,0
1360optionalChildren [
1361*150 (MRCItem
1362litem &136
1363pos 0
1364dimension 20
1365uid 219,0
1366)
1367*151 (MRCItem
1368litem &137
1369pos 1
1370dimension 23
1371uid 220,0
1372)
1373*152 (MRCItem
1374litem &138
1375pos 2
1376hidden 1
1377dimension 20
1378uid 221,0
1379)
1380*153 (MRCItem
1381litem &147
1382pos 0
1383dimension 20
1384uid 2294,0
1385)
1386]
1387)
1388sheetCol (SheetCol
1389propVa (MVa
1390cellColor "0,49152,49152"
1391fontColor "0,0,0"
1392font "Tahoma,10,0"
1393textAngle 90
1394)
1395uid 222,0
1396optionalChildren [
1397*154 (MRCItem
1398litem &139
1399pos 0
1400dimension 20
1401uid 223,0
1402)
1403*155 (MRCItem
1404litem &141
1405pos 1
1406dimension 50
1407uid 224,0
1408)
1409*156 (MRCItem
1410litem &142
1411pos 2
1412dimension 100
1413uid 225,0
1414)
1415*157 (MRCItem
1416litem &143
1417pos 3
1418dimension 100
1419uid 226,0
1420)
1421*158 (MRCItem
1422litem &144
1423pos 4
1424dimension 50
1425uid 227,0
1426)
1427*159 (MRCItem
1428litem &145
1429pos 5
1430dimension 50
1431uid 228,0
1432)
1433*160 (MRCItem
1434litem &146
1435pos 6
1436dimension 80
1437uid 229,0
1438)
1439]
1440)
1441fixedCol 3
1442fixedRow 2
1443name "Ports"
1444uid 217,0
1445vaOverrides [
1446]
1447)
1448]
1449)
1450uid 203,0
1451type 1
1452)
1453VExpander (VariableExpander
1454vvMap [
1455(vvPair
1456variable "HDLDir"
1457value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
1458)
1459(vvPair
1460variable "HDSDir"
1461value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1462)
1463(vvPair
1464variable "SideDataDesignDir"
1465value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.info"
1466)
1467(vvPair
1468variable "SideDataUserDir"
1469value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb.user"
1470)
1471(vvPair
1472variable "SourceDir"
1473value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1474)
1475(vvPair
1476variable "appl"
1477value "HDL Designer"
1478)
1479(vvPair
1480variable "arch_name"
1481value "symbol"
1482)
1483(vvPair
1484variable "config"
1485value "%(unit)_%(view)_config"
1486)
1487(vvPair
1488variable "d"
1489value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul"
1490)
1491(vvPair
1492variable "d_logical"
1493value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul"
1494)
1495(vvPair
1496variable "date"
1497value "27.07.2011"
1498)
1499(vvPair
1500variable "day"
1501value "Mi"
1502)
1503(vvPair
1504variable "day_long"
1505value "Mittwoch"
1506)
1507(vvPair
1508variable "dd"
1509value "27"
1510)
1511(vvPair
1512variable "entity_name"
1513value "w5300_modul"
1514)
1515(vvPair
1516variable "ext"
1517value "<TBD>"
1518)
1519(vvPair
1520variable "f"
1521value "symbol.sb"
1522)
1523(vvPair
1524variable "f_logical"
1525value "symbol.sb"
1526)
1527(vvPair
1528variable "f_noext"
1529value "symbol"
1530)
1531(vvPair
1532variable "group"
1533value "UNKNOWN"
1534)
1535(vvPair
1536variable "host"
1537value "IHP110"
1538)
1539(vvPair
1540variable "language"
1541value "VHDL"
1542)
1543(vvPair
1544variable "library"
1545value "FACT_FAD_lib"
1546)
1547(vvPair
1548variable "library_downstream_HdsLintPlugin"
1549value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
1550)
1551(vvPair
1552variable "library_downstream_ISEPARInvoke"
1553value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1554)
1555(vvPair
1556variable "library_downstream_ImpactInvoke"
1557value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1558)
1559(vvPair
1560variable "library_downstream_ModelSimCompiler"
1561value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
1562)
1563(vvPair
1564variable "library_downstream_PrecisionSynthesisDataPrep"
1565value "$HDS_PROJECT_DIR/FACT_FAD_lib/ps"
1566)
1567(vvPair
1568variable "library_downstream_XSTDataPrep"
1569value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1570)
1571(vvPair
1572variable "mm"
1573value "07"
1574)
1575(vvPair
1576variable "module_name"
1577value "w5300_modul"
1578)
1579(vvPair
1580variable "month"
1581value "Jul"
1582)
1583(vvPair
1584variable "month_long"
1585value "Juli"
1586)
1587(vvPair
1588variable "p"
1589value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
1590)
1591(vvPair
1592variable "p_logical"
1593value "D:\\juli26\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\w5300_modul\\symbol.sb"
1594)
1595(vvPair
1596variable "package_name"
1597value "<Undefined Variable>"
1598)
1599(vvPair
1600variable "project_name"
1601value "FACT_FAD"
1602)
1603(vvPair
1604variable "series"
1605value "HDL Designer Series"
1606)
1607(vvPair
1608variable "task_DesignCompilerPath"
1609value "<TBD>"
1610)
1611(vvPair
1612variable "task_LeonardoPath"
1613value "<TBD>"
1614)
1615(vvPair
1616variable "task_ModelSimPath"
1617value "D:\\modeltech_6.5e\\win32"
1618)
1619(vvPair
1620variable "task_NC-SimPath"
1621value "<TBD>"
1622)
1623(vvPair
1624variable "task_PrecisionRTLPath"
1625value "<TBD>"
1626)
1627(vvPair
1628variable "task_QuestaSimPath"
1629value "<TBD>"
1630)
1631(vvPair
1632variable "task_VCSPath"
1633value "<TBD>"
1634)
1635(vvPair
1636variable "this_ext"
1637value "sb"
1638)
1639(vvPair
1640variable "this_file"
1641value "symbol"
1642)
1643(vvPair
1644variable "this_file_logical"
1645value "symbol"
1646)
1647(vvPair
1648variable "time"
1649value "23:14:11"
1650)
1651(vvPair
1652variable "unit"
1653value "w5300_modul"
1654)
1655(vvPair
1656variable "user"
1657value "daqct3"
1658)
1659(vvPair
1660variable "version"
1661value "2009.1 (Build 12)"
1662)
1663(vvPair
1664variable "view"
1665value "symbol"
1666)
1667(vvPair
1668variable "year"
1669value "2011"
1670)
1671(vvPair
1672variable "yy"
1673value "11"
1674)
1675]
1676)
1677LanguageMgr "VhdlLangMgr"
1678uid 173,0
1679optionalChildren [
1680*161 (SymbolBody
1681uid 8,0
1682optionalChildren [
1683*162 (CptPort
1684uid 48,0
1685ps "OnEdgeStrategy"
1686shape (Triangle
1687uid 49,0
1688ro 90
1689va (VaSet
1690vasetType 1
1691fg "0,65535,0"
1692)
1693xt "42250,3625,43000,4375"
1694)
1695tg (CPTG
1696uid 50,0
1697ps "CptPortTextPlaceStrategy"
1698stg "VerticalLayoutStrategy"
1699f (Text
1700uid 51,0
1701va (VaSet
1702)
1703xt "44000,3500,45500,4500"
1704st "clk"
1705blo "44000,4300"
1706tm "CptPortNameMgr"
1707)
1708)
1709dt (MLText
1710uid 52,0
1711va (VaSet
1712font "Courier New,8,0"
1713)
1714xt "2000,20800,29500,21600"
1715st "clk : IN std_logic ;
1716"
1717)
1718thePort (LogicalPort
1719decl (Decl
1720n "clk"
1721t "std_logic"
1722preAdd 0
1723posAdd 0
1724o 7
1725suid 1,0
1726)
1727)
1728)
1729*163 (CptPort
1730uid 53,0
1731ps "OnEdgeStrategy"
1732shape (Triangle
1733uid 54,0
1734ro 90
1735va (VaSet
1736vasetType 1
1737fg "0,65535,0"
1738)
1739xt "77000,3625,77750,4375"
1740)
1741tg (CPTG
1742uid 55,0
1743ps "CptPortTextPlaceStrategy"
1744stg "RightVerticalLayoutStrategy"
1745f (Text
1746uid 56,0
1747va (VaSet
1748)
1749xt "71800,3500,76000,4500"
1750st "wiz_reset"
1751ju 2
1752blo "76000,4300"
1753tm "CptPortNameMgr"
1754)
1755)
1756dt (MLText
1757uid 57,0
1758va (VaSet
1759font "Courier New,8,0"
1760)
1761xt "2000,21600,43000,22400"
1762st "wiz_reset : OUT std_logic := '1' ;
1763"
1764)
1765thePort (LogicalPort
1766m 1
1767decl (Decl
1768n "wiz_reset"
1769t "std_logic"
1770preAdd 0
1771posAdd 0
1772o 8
1773suid 2,0
1774i "'1'"
1775)
1776)
1777)
1778*164 (CptPort
1779uid 58,0
1780ps "OnEdgeStrategy"
1781shape (Triangle
1782uid 59,0
1783ro 90
1784va (VaSet
1785vasetType 1
1786fg "0,65535,0"
1787)
1788xt "77000,5625,77750,6375"
1789)
1790tg (CPTG
1791uid 60,0
1792ps "CptPortTextPlaceStrategy"
1793stg "RightVerticalLayoutStrategy"
1794f (Text
1795uid 61,0
1796va (VaSet
1797)
1798xt "73800,5500,76000,6500"
1799st "addr"
1800ju 2
1801blo "76000,6300"
1802tm "CptPortNameMgr"
1803)
1804)
1805dt (MLText
1806uid 62,0
1807va (VaSet
1808font "Courier New,8,0"
1809)
1810xt "2000,22400,39000,23200"
1811st "addr : OUT std_logic_vector (9 DOWNTO 0) ;
1812"
1813)
1814thePort (LogicalPort
1815m 1
1816decl (Decl
1817n "addr"
1818t "std_logic_vector"
1819b "(9 DOWNTO 0)"
1820preAdd 0
1821posAdd 0
1822o 9
1823suid 3,0
1824)
1825)
1826)
1827*165 (CptPort
1828uid 63,0
1829ps "OnEdgeStrategy"
1830shape (Diamond
1831uid 64,0
1832ro 90
1833va (VaSet
1834vasetType 1
1835fg "0,65535,0"
1836)
1837xt "77000,7625,77750,8375"
1838)
1839tg (CPTG
1840uid 65,0
1841ps "CptPortTextPlaceStrategy"
1842stg "RightVerticalLayoutStrategy"
1843f (Text
1844uid 66,0
1845va (VaSet
1846)
1847xt "73900,7500,76000,8500"
1848st "data"
1849ju 2
1850blo "76000,8300"
1851tm "CptPortNameMgr"
1852)
1853)
1854dt (MLText
1855uid 67,0
1856va (VaSet
1857font "Courier New,8,0"
1858)
1859xt "2000,23200,39500,24000"
1860st "data : INOUT std_logic_vector (15 DOWNTO 0) ;
1861"
1862)
1863thePort (LogicalPort
1864m 2
1865decl (Decl
1866n "data"
1867t "std_logic_vector"
1868b "(15 DOWNTO 0)"
1869preAdd 0
1870posAdd 0
1871o 10
1872suid 4,0
1873)
1874)
1875)
1876*166 (CptPort
1877uid 68,0
1878ps "OnEdgeStrategy"
1879shape (Triangle
1880uid 69,0
1881ro 90
1882va (VaSet
1883vasetType 1
1884fg "0,65535,0"
1885)
1886xt "77000,9625,77750,10375"
1887)
1888tg (CPTG
1889uid 70,0
1890ps "CptPortTextPlaceStrategy"
1891stg "RightVerticalLayoutStrategy"
1892f (Text
1893uid 71,0
1894va (VaSet
1895)
1896xt "74800,9500,76000,10500"
1897st "cs"
1898ju 2
1899blo "76000,10300"
1900tm "CptPortNameMgr"
1901)
1902)
1903dt (MLText
1904uid 72,0
1905va (VaSet
1906font "Courier New,8,0"
1907)
1908xt "2000,24000,43000,24800"
1909st "cs : OUT std_logic := '1' ;
1910"
1911)
1912thePort (LogicalPort
1913m 1
1914decl (Decl
1915n "cs"
1916t "std_logic"
1917preAdd 0
1918posAdd 0
1919o 11
1920suid 5,0
1921i "'1'"
1922)
1923)
1924)
1925*167 (CptPort
1926uid 73,0
1927ps "OnEdgeStrategy"
1928shape (Triangle
1929uid 74,0
1930ro 90
1931va (VaSet
1932vasetType 1
1933fg "0,65535,0"
1934)
1935xt "77000,11625,77750,12375"
1936)
1937tg (CPTG
1938uid 75,0
1939ps "CptPortTextPlaceStrategy"
1940stg "RightVerticalLayoutStrategy"
1941f (Text
1942uid 76,0
1943va (VaSet
1944)
1945xt "74600,11500,76000,12500"
1946st "wr"
1947ju 2
1948blo "76000,12300"
1949tm "CptPortNameMgr"
1950)
1951)
1952dt (MLText
1953uid 77,0
1954va (VaSet
1955font "Courier New,8,0"
1956)
1957xt "2000,24800,43000,25600"
1958st "wr : OUT std_logic := '1' ;
1959"
1960)
1961thePort (LogicalPort
1962m 1
1963decl (Decl
1964n "wr"
1965t "std_logic"
1966preAdd 0
1967posAdd 0
1968o 12
1969suid 6,0
1970i "'1'"
1971)
1972)
1973)
1974*168 (CptPort
1975uid 83,0
1976ps "OnEdgeStrategy"
1977shape (Triangle
1978uid 84,0
1979ro 90
1980va (VaSet
1981vasetType 1
1982fg "0,65535,0"
1983)
1984xt "77000,15625,77750,16375"
1985)
1986tg (CPTG
1987uid 85,0
1988ps "CptPortTextPlaceStrategy"
1989stg "RightVerticalLayoutStrategy"
1990f (Text
1991uid 86,0
1992va (VaSet
1993)
1994xt "74700,15500,76000,16500"
1995st "rd"
1996ju 2
1997blo "76000,16300"
1998tm "CptPortNameMgr"
1999)
2000)
2001dt (MLText
2002uid 87,0
2003va (VaSet
2004font "Courier New,8,0"
2005)
2006xt "2000,26400,43000,27200"
2007st "rd : OUT std_logic := '1' ;
2008"
2009)
2010thePort (LogicalPort
2011m 1
2012decl (Decl
2013n "rd"
2014t "std_logic"
2015preAdd 0
2016posAdd 0
2017o 14
2018suid 8,0
2019i "'1'"
2020)
2021)
2022)
2023*169 (CptPort
2024uid 88,0
2025ps "OnEdgeStrategy"
2026shape (Triangle
2027uid 89,0
2028ro 90
2029va (VaSet
2030vasetType 1
2031fg "0,65535,0"
2032)
2033xt "42250,5625,43000,6375"
2034)
2035tg (CPTG
2036uid 90,0
2037ps "CptPortTextPlaceStrategy"
2038stg "VerticalLayoutStrategy"
2039f (Text
2040uid 91,0
2041va (VaSet
2042)
2043xt "44000,5500,45400,6500"
2044st "int"
2045blo "44000,6300"
2046tm "CptPortNameMgr"
2047)
2048)
2049dt (MLText
2050uid 92,0
2051va (VaSet
2052font "Courier New,8,0"
2053)
2054xt "2000,27200,29500,28000"
2055st "int : IN std_logic ;
2056"
2057)
2058thePort (LogicalPort
2059decl (Decl
2060n "int"
2061t "std_logic"
2062preAdd 0
2063posAdd 0
2064o 15
2065suid 9,0
2066)
2067)
2068)
2069*170 (CptPort
2070uid 93,0
2071ps "OnEdgeStrategy"
2072shape (Triangle
2073uid 94,0
2074ro 90
2075va (VaSet
2076vasetType 1
2077fg "0,65535,0"
2078)
2079xt "42250,7625,43000,8375"
2080)
2081tg (CPTG
2082uid 95,0
2083ps "CptPortTextPlaceStrategy"
2084stg "VerticalLayoutStrategy"
2085f (Text
2086uid 96,0
2087va (VaSet
2088)
2089xt "44000,7500,49700,8500"
2090st "write_length"
2091blo "44000,8300"
2092tm "CptPortNameMgr"
2093)
2094)
2095dt (MLText
2096uid 97,0
2097va (VaSet
2098font "Courier New,8,0"
2099)
2100xt "2000,28000,39500,28800"
2101st "write_length : IN std_logic_vector (16 DOWNTO 0) ;
2102"
2103)
2104thePort (LogicalPort
2105decl (Decl
2106n "write_length"
2107t "std_logic_vector"
2108b "(16 DOWNTO 0)"
2109preAdd 0
2110posAdd 0
2111o 16
2112suid 10,0
2113)
2114)
2115)
2116*171 (CptPort
2117uid 98,0
2118ps "OnEdgeStrategy"
2119shape (Triangle
2120uid 99,0
2121ro 90
2122va (VaSet
2123vasetType 1
2124fg "0,65535,0"
2125)
2126xt "42250,9625,43000,10375"
2127)
2128tg (CPTG
2129uid 100,0
2130ps "CptPortTextPlaceStrategy"
2131stg "VerticalLayoutStrategy"
2132f (Text
2133uid 101,0
2134va (VaSet
2135)
2136xt "44000,9500,51100,10500"
2137st "ram_start_addr"
2138blo "44000,10300"
2139tm "CptPortNameMgr"
2140)
2141)
2142dt (MLText
2143uid 102,0
2144va (VaSet
2145font "Courier New,8,0"
2146)
2147xt "2000,28800,46500,29600"
2148st "ram_start_addr : IN std_logic_vector (RAM_ADDR_WIDTH-1 DOWNTO 0) ;
2149"
2150)
2151thePort (LogicalPort
2152decl (Decl
2153n "ram_start_addr"
2154t "std_logic_vector"
2155b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
2156preAdd 0
2157posAdd 0
2158o 17
2159suid 11,0
2160)
2161)
2162)
2163*172 (CptPort
2164uid 103,0
2165ps "OnEdgeStrategy"
2166shape (Triangle
2167uid 104,0
2168ro 90
2169va (VaSet
2170vasetType 1
2171fg "0,65535,0"
2172)
2173xt "42250,11625,43000,12375"
2174)
2175tg (CPTG
2176uid 105,0
2177ps "CptPortTextPlaceStrategy"
2178stg "VerticalLayoutStrategy"
2179f (Text
2180uid 106,0
2181va (VaSet
2182)
2183xt "44000,11500,48000,12500"
2184st "ram_data"
2185blo "44000,12300"
2186tm "CptPortNameMgr"
2187)
2188)
2189dt (MLText
2190uid 107,0
2191va (VaSet
2192font "Courier New,8,0"
2193)
2194xt "2000,29600,39500,30400"
2195st "ram_data : IN std_logic_vector (15 DOWNTO 0) ;
2196"
2197)
2198thePort (LogicalPort
2199decl (Decl
2200n "ram_data"
2201t "std_logic_vector"
2202b "(15 DOWNTO 0)"
2203preAdd 0
2204posAdd 0
2205o 18
2206suid 12,0
2207)
2208)
2209)
2210*173 (CptPort
2211uid 108,0
2212ps "OnEdgeStrategy"
2213shape (Triangle
2214uid 109,0
2215ro 90
2216va (VaSet
2217vasetType 1
2218fg "0,65535,0"
2219)
2220xt "77000,17625,77750,18375"
2221)
2222tg (CPTG
2223uid 110,0
2224ps "CptPortTextPlaceStrategy"
2225stg "RightVerticalLayoutStrategy"
2226f (Text
2227uid 111,0
2228va (VaSet
2229)
2230xt "71900,17500,76000,18500"
2231st "ram_addr"
2232ju 2
2233blo "76000,18300"
2234tm "CptPortNameMgr"
2235)
2236)
2237dt (MLText
2238uid 112,0
2239va (VaSet
2240font "Courier New,8,0"
2241)
2242xt "2000,30400,46500,31200"
2243st "ram_addr : OUT std_logic_vector (RAM_ADDR_WIDTH-1 DOWNTO 0) ;
2244"
2245)
2246thePort (LogicalPort
2247m 1
2248decl (Decl
2249n "ram_addr"
2250t "std_logic_vector"
2251b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
2252preAdd 0
2253posAdd 0
2254o 19
2255suid 13,0
2256)
2257)
2258)
2259*174 (CptPort
2260uid 113,0
2261ps "OnEdgeStrategy"
2262shape (Triangle
2263uid 114,0
2264ro 90
2265va (VaSet
2266vasetType 1
2267fg "0,65535,0"
2268)
2269xt "42250,13625,43000,14375"
2270)
2271tg (CPTG
2272uid 115,0
2273ps "CptPortTextPlaceStrategy"
2274stg "VerticalLayoutStrategy"
2275f (Text
2276uid 116,0
2277va (VaSet
2278)
2279xt "44000,13500,48800,14500"
2280st "data_valid"
2281blo "44000,14300"
2282tm "CptPortNameMgr"
2283)
2284)
2285dt (MLText
2286uid 117,0
2287va (VaSet
2288font "Courier New,8,0"
2289)
2290xt "2000,31200,29500,32000"
2291st "data_valid : IN std_logic ;
2292"
2293)
2294thePort (LogicalPort
2295decl (Decl
2296n "data_valid"
2297t "std_logic"
2298preAdd 0
2299posAdd 0
2300o 20
2301suid 14,0
2302)
2303)
2304)
2305*175 (CptPort
2306uid 118,0
2307ps "OnEdgeStrategy"
2308shape (Triangle
2309uid 119,0
2310ro 90
2311va (VaSet
2312vasetType 1
2313fg "0,65535,0"
2314)
2315xt "77000,19625,77750,20375"
2316)
2317tg (CPTG
2318uid 120,0
2319ps "CptPortTextPlaceStrategy"
2320stg "RightVerticalLayoutStrategy"
2321f (Text
2322uid 121,0
2323va (VaSet
2324)
2325xt "73900,19500,76000,20500"
2326st "busy"
2327ju 2
2328blo "76000,20300"
2329tm "CptPortNameMgr"
2330)
2331)
2332dt (MLText
2333uid 122,0
2334va (VaSet
2335font "Courier New,8,0"
2336)
2337xt "2000,32800,43000,33600"
2338st "busy : OUT std_logic := '1' ;
2339"
2340)
2341thePort (LogicalPort
2342m 1
2343decl (Decl
2344n "busy"
2345t "std_logic"
2346preAdd 0
2347posAdd 0
2348o 22
2349suid 15,0
2350i "'1'"
2351)
2352)
2353)
2354*176 (CommentText
2355uid 299,0
2356ps "EdgeToEdgeStrategy"
2357shape (Rectangle
2358uid 300,0
2359layer 0
2360va (VaSet
2361vasetType 1
2362fg "65280,65280,46080"
2363lineColor "0,0,32768"
2364)
2365xt "0,30800,15000,35800"
2366)
2367oxt "0,0,15000,5000"
2368text (MLText
2369uid 301,0
2370va (VaSet
2371fg "0,0,32768"
2372)
2373xt "200,31000,13900,35000"
2374st "
2375
2376"
2377tm "CommentText"
2378wrapOption 3
2379visibleHeight 4600
2380visibleWidth 14600
2381)
2382included 1
2383excludeCommentLeader 1
2384)
2385*177 (CptPort
2386uid 348,0
2387ps "OnEdgeStrategy"
2388shape (Triangle
2389uid 349,0
2390ro 90
2391va (VaSet
2392vasetType 1
2393fg "0,65535,0"
2394)
2395xt "42250,14625,43000,15375"
2396)
2397tg (CPTG
2398uid 350,0
2399ps "CptPortTextPlaceStrategy"
2400stg "VerticalLayoutStrategy"
2401f (Text
2402uid 351,0
2403va (VaSet
2404)
2405xt "44000,14500,50600,15500"
2406st "write_end_flag"
2407blo "44000,15300"
2408tm "CptPortNameMgr"
2409)
2410)
2411dt (MLText
2412uid 352,0
2413va (VaSet
2414font "Courier New,8,0"
2415)
2416xt "2000,34400,29500,35200"
2417st "write_end_flag : IN std_logic ;
2418"
2419)
2420thePort (LogicalPort
2421decl (Decl
2422n "write_end_flag"
2423t "std_logic"
2424o 24
2425suid 18,0
2426)
2427)
2428)
2429*178 (CptPort
2430uid 353,0
2431ps "OnEdgeStrategy"
2432shape (Triangle
2433uid 354,0
2434ro 90
2435va (VaSet
2436vasetType 1
2437fg "0,65535,0"
2438)
2439xt "42250,15625,43000,16375"
2440)
2441tg (CPTG
2442uid 355,0
2443ps "CptPortTextPlaceStrategy"
2444stg "VerticalLayoutStrategy"
2445f (Text
2446uid 356,0
2447va (VaSet
2448)
2449xt "44000,15500,51900,16500"
2450st "write_header_flag"
2451blo "44000,16300"
2452tm "CptPortNameMgr"
2453)
2454)
2455dt (MLText
2456uid 357,0
2457va (VaSet
2458font "Courier New,8,0"
2459)
2460xt "2000,33600,29500,34400"
2461st "write_header_flag : IN std_logic ;
2462"
2463)
2464thePort (LogicalPort
2465decl (Decl
2466n "write_header_flag"
2467t "std_logic"
2468o 23
2469suid 19,0
2470)
2471)
2472)
2473*179 (CptPort
2474uid 385,0
2475ps "OnEdgeStrategy"
2476shape (Triangle
2477uid 386,0
2478ro 90
2479va (VaSet
2480vasetType 1
2481fg "0,65535,0"
2482)
2483xt "42250,16625,43000,17375"
2484)
2485tg (CPTG
2486uid 387,0
2487ps "CptPortTextPlaceStrategy"
2488stg "VerticalLayoutStrategy"
2489f (Text
2490uid 388,0
2491va (VaSet
2492)
2493xt "44000,16500,49900,17500"
2494st "fifo_channels"
2495blo "44000,17300"
2496tm "CptPortNameMgr"
2497)
2498)
2499dt (MLText
2500uid 389,0
2501va (VaSet
2502font "Courier New,8,0"
2503)
2504xt "2000,35200,39000,36000"
2505st "fifo_channels : IN std_logic_vector (3 downto 0) ;
2506"
2507)
2508thePort (LogicalPort
2509decl (Decl
2510n "fifo_channels"
2511t "std_logic_vector"
2512b "(3 downto 0)"
2513posAdd 0
2514o 25
2515suid 20,0
2516)
2517)
2518)
2519*180 (CptPort
2520uid 491,0
2521ps "OnEdgeStrategy"
2522shape (Triangle
2523uid 492,0
2524ro 90
2525va (VaSet
2526vasetType 1
2527fg "0,65535,0"
2528)
2529xt "77000,20625,77750,21375"
2530)
2531tg (CPTG
2532uid 493,0
2533ps "CptPortTextPlaceStrategy"
2534stg "RightVerticalLayoutStrategy"
2535f (Text
2536uid 494,0
2537va (VaSet
2538)
2539xt "74500,20500,76000,21500"
2540st "led"
2541ju 2
2542blo "76000,21300"
2543tm "CptPortNameMgr"
2544)
2545)
2546dt (MLText
2547uid 495,0
2548va (VaSet
2549font "Courier New,8,0"
2550)
2551xt "2000,25600,49000,26400"
2552st "led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
2553"
2554)
2555thePort (LogicalPort
2556m 1
2557decl (Decl
2558n "led"
2559t "std_logic_vector"
2560b "(7 DOWNTO 0)"
2561posAdd 0
2562o 13
2563suid 22,0
2564i "(OTHERS => '0')"
2565)
2566)
2567)
2568*181 (CptPort
2569uid 521,0
2570ps "OnEdgeStrategy"
2571shape (Triangle
2572uid 522,0
2573ro 90
2574va (VaSet
2575vasetType 1
2576fg "0,65535,0"
2577)
2578xt "77000,21625,77750,22375"
2579)
2580tg (CPTG
2581uid 523,0
2582ps "CptPortTextPlaceStrategy"
2583stg "RightVerticalLayoutStrategy"
2584f (Text
2585uid 524,0
2586va (VaSet
2587)
2588xt "72100,21500,76000,22500"
2589st "s_trigger"
2590ju 2
2591blo "76000,22300"
2592tm "CptPortNameMgr"
2593)
2594)
2595dt (MLText
2596uid 525,0
2597va (VaSet
2598font "Courier New,8,0"
2599)
2600xt "2000,36000,43000,37600"
2601st "-- softtrigger:
2602s_trigger : OUT std_logic := '0' ;
2603"
2604)
2605thePort (LogicalPort
2606m 1
2607decl (Decl
2608n "s_trigger"
2609t "std_logic"
2610prec "-- softtrigger:"
2611preAdd 0
2612o 26
2613suid 23,0
2614i "'0'"
2615)
2616)
2617)
2618*182 (CptPort
2619uid 670,0
2620ps "OnEdgeStrategy"
2621shape (Triangle
2622uid 671,0
2623ro 90
2624va (VaSet
2625vasetType 1
2626fg "0,65535,0"
2627)
2628xt "77000,27625,77750,28375"
2629)
2630tg (CPTG
2631uid 672,0
2632ps "CptPortTextPlaceStrategy"
2633stg "RightVerticalLayoutStrategy"
2634f (Text
2635uid 673,0
2636va (VaSet
2637)
2638xt "72800,27500,76000,28500"
2639st "denable"
2640ju 2
2641blo "76000,28300"
2642tm "CptPortNameMgr"
2643)
2644)
2645dt (MLText
2646uid 674,0
2647va (VaSet
2648font "Courier New,8,0"
2649)
2650xt "2000,59200,87500,60000"
2651st "denable : OUT std_logic := '0' ; -- default domino wave on. ... in case if REFCLK error ... REFCLK counter will override.
2652"
2653)
2654thePort (LogicalPort
2655m 1
2656decl (Decl
2657n "denable"
2658t "std_logic"
2659eolc "-- default domino wave on. ... in case if REFCLK error ... REFCLK counter will override."
2660preAdd 0
2661posAdd 0
2662o 42
2663suid 31,0
2664i "'0'"
2665)
2666)
2667)
2668*183 (CptPort
2669uid 723,0
2670ps "OnEdgeStrategy"
2671shape (Triangle
2672uid 724,0
2673ro 90
2674va (VaSet
2675vasetType 1
2676fg "0,65535,0"
2677)
2678xt "77000,28625,77750,29375"
2679)
2680tg (CPTG
2681uid 725,0
2682ps "CptPortTextPlaceStrategy"
2683stg "RightVerticalLayoutStrategy"
2684f (Text
2685uid 726,0
2686va (VaSet
2687)
2688xt "69800,28500,76000,29500"
2689st "dwrite_enable"
2690ju 2
2691blo "76000,29300"
2692tm "CptPortNameMgr"
2693)
2694)
2695dt (MLText
2696uid 727,0
2697va (VaSet
2698font "Courier New,8,0"
2699)
2700xt "2000,60000,54500,60800"
2701st "dwrite_enable : OUT std_logic := '1' ; -- default DWRITE low.
2702"
2703)
2704thePort (LogicalPort
2705m 1
2706decl (Decl
2707n "dwrite_enable"
2708t "std_logic"
2709eolc "-- default DWRITE low."
2710preAdd 0
2711posAdd 0
2712o 43
2713suid 32,0
2714i "'1'"
2715)
2716)
2717)
2718*184 (CptPort
2719uid 885,0
2720ps "OnEdgeStrategy"
2721shape (Triangle
2722uid 886,0
2723ro 90
2724va (VaSet
2725vasetType 1
2726fg "0,65535,0"
2727)
2728xt "77000,29625,77750,30375"
2729)
2730tg (CPTG
2731uid 887,0
2732ps "CptPortTextPlaceStrategy"
2733stg "RightVerticalLayoutStrategy"
2734f (Text
2735uid 888,0
2736va (VaSet
2737)
2738xt "69400,29500,76000,30500"
2739st "data_valid_ack"
2740ju 2
2741blo "76000,30300"
2742tm "CptPortNameMgr"
2743)
2744)
2745dt (MLText
2746uid 889,0
2747va (VaSet
2748font "Courier New,8,0"
2749)
2750xt "2000,32000,43000,32800"
2751st "data_valid_ack : OUT std_logic := '0' ;
2752"
2753)
2754thePort (LogicalPort
2755m 1
2756decl (Decl
2757n "data_valid_ack"
2758t "std_logic"
2759o 21
2760suid 34,0
2761i "'0'"
2762)
2763)
2764)
2765*185 (CptPort
2766uid 917,0
2767ps "OnEdgeStrategy"
2768shape (Triangle
2769uid 918,0
2770ro 90
2771va (VaSet
2772vasetType 1
2773fg "0,65535,0"
2774)
2775xt "77000,30625,77750,31375"
2776)
2777tg (CPTG
2778uid 919,0
2779ps "CptPortTextPlaceStrategy"
2780stg "RightVerticalLayoutStrategy"
2781f (Text
2782uid 920,0
2783va (VaSet
2784)
2785xt "70800,30500,76000,31500"
2786st "sclk_enable"
2787ju 2
2788blo "76000,31300"
2789tm "CptPortNameMgr"
2790)
2791)
2792dt (MLText
2793uid 921,0
2794va (VaSet
2795font "Courier New,8,0"
2796)
2797xt "2000,60800,55000,61600"
2798st "sclk_enable : OUT std_logic := '1' ; -- default DWRITE HIGH.
2799"
2800)
2801thePort (LogicalPort
2802m 1
2803decl (Decl
2804n "sclk_enable"
2805t "std_logic"
2806eolc "-- default DWRITE HIGH."
2807posAdd 0
2808o 44
2809suid 35,0
2810i "'1'"
2811)
2812)
2813)
2814*186 (CptPort
2815uid 949,0
2816ps "OnEdgeStrategy"
2817shape (Triangle
2818uid 950,0
2819ro 90
2820va (VaSet
2821vasetType 1
2822fg "0,65535,0"
2823)
2824xt "77000,31625,77750,32375"
2825)
2826tg (CPTG
2827uid 951,0
2828ps "CptPortTextPlaceStrategy"
2829stg "RightVerticalLayoutStrategy"
2830f (Text
2831uid 952,0
2832va (VaSet
2833)
2834xt "70500,31500,76000,32500"
2835st "ps_direction"
2836ju 2
2837blo "76000,32300"
2838tm "CptPortNameMgr"
2839)
2840)
2841dt (MLText
2842uid 953,0
2843va (VaSet
2844font "Courier New,8,0"
2845)
2846xt "2000,64800,58500,69600"
2847st "------------------------------------------------------------------------------
2848
2849-- ADC CLK generator, is able to shift phase with respect to X_50M
2850-- these signals control the behavior of the digital clock manager (DCM)
2851------------------------------------------------------------------------------
2852ps_direction : OUT std_logic := '1' ; -- default phase shift upwards
2853"
2854)
2855thePort (LogicalPort
2856m 1
2857decl (Decl
2858n "ps_direction"
2859t "std_logic"
2860prec "------------------------------------------------------------------------------
2861
2862-- ADC CLK generator, is able to shift phase with respect to X_50M
2863-- these signals control the behavior of the digital clock manager (DCM)
2864------------------------------------------------------------------------------"
2865eolc "-- default phase shift upwards"
2866preAdd 0
2867posAdd 0
2868o 49
2869suid 36,0
2870i "'1'"
2871)
2872)
2873)
2874*187 (CptPort
2875uid 954,0
2876ps "OnEdgeStrategy"
2877shape (Triangle
2878uid 955,0
2879ro 90
2880va (VaSet
2881vasetType 1
2882fg "0,65535,0"
2883)
2884xt "77000,32625,77750,33375"
2885)
2886tg (CPTG
2887uid 956,0
2888ps "CptPortTextPlaceStrategy"
2889stg "RightVerticalLayoutStrategy"
2890f (Text
2891uid 957,0
2892va (VaSet
2893)
2894xt "67900,32500,76000,33500"
2895st "ps_do_phase_shift"
2896ju 2
2897blo "76000,33300"
2898tm "CptPortNameMgr"
2899)
2900)
2901dt (MLText
2902uid 958,0
2903va (VaSet
2904font "Courier New,8,0"
2905)
2906xt "2000,69600,59500,70400"
2907st "ps_do_phase_shift : OUT std_logic := '0' ; --pulse this to phase shift once
2908"
2909)
2910thePort (LogicalPort
2911m 1
2912decl (Decl
2913n "ps_do_phase_shift"
2914t "std_logic"
2915eolc "--pulse this to phase shift once"
2916preAdd 0
2917posAdd 0
2918o 50
2919suid 37,0
2920i "'0'"
2921)
2922)
2923)
2924*188 (CptPort
2925uid 988,0
2926ps "OnEdgeStrategy"
2927shape (Triangle
2928uid 989,0
2929ro 90
2930va (VaSet
2931vasetType 1
2932fg "0,65535,0"
2933)
2934xt "77000,33625,77750,34375"
2935)
2936tg (CPTG
2937uid 990,0
2938ps "CptPortTextPlaceStrategy"
2939stg "RightVerticalLayoutStrategy"
2940f (Text
2941uid 991,0
2942va (VaSet
2943)
2944xt "72300,33500,76000,34500"
2945st "ps_reset"
2946ju 2
2947blo "76000,34300"
2948tm "CptPortNameMgr"
2949)
2950)
2951dt (MLText
2952uid 992,0
2953va (VaSet
2954font "Courier New,8,0"
2955)
2956xt "2000,70400,67000,71200"
2957st "ps_reset : OUT std_logic := '0' ; -- pulse this to reset the variable phase shift
2958"
2959)
2960thePort (LogicalPort
2961m 1
2962decl (Decl
2963n "ps_reset"
2964t "std_logic"
2965eolc "-- pulse this to reset the variable phase shift"
2966posAdd 0
2967o 51
2968suid 38,0
2969i "'0'"
2970)
2971)
2972)
2973*189 (CptPort
2974uid 1020,0
2975ps "OnEdgeStrategy"
2976shape (Triangle
2977uid 1021,0
2978ro 90
2979va (VaSet
2980vasetType 1
2981fg "0,65535,0"
2982)
2983xt "77000,34625,77750,35375"
2984)
2985tg (CPTG
2986uid 1022,0
2987ps "CptPortTextPlaceStrategy"
2988stg "RightVerticalLayoutStrategy"
2989f (Text
2990uid 1023,0
2991va (VaSet
2992)
2993xt "70400,34500,76000,35500"
2994st "srclk_enable"
2995ju 2
2996blo "76000,35300"
2997tm "CptPortNameMgr"
2998)
2999)
3000dt (MLText
3001uid 1024,0
3002va (VaSet
3003font "Courier New,8,0"
3004)
3005xt "2000,61600,53500,62400"
3006st "srclk_enable : OUT std_logic := '1' ; -- default SRCLK on.
3007"
3008)
3009thePort (LogicalPort
3010m 1
3011decl (Decl
3012n "srclk_enable"
3013t "std_logic"
3014eolc "-- default SRCLK on."
3015posAdd 0
3016o 45
3017suid 39,0
3018i "'1'"
3019)
3020)
3021)
3022*190 (CptPort
3023uid 1091,0
3024ps "OnEdgeStrategy"
3025shape (Triangle
3026uid 1092,0
3027ro 90
3028va (VaSet
3029vasetType 1
3030fg "0,65535,0"
3031)
3032xt "77000,35625,77750,36375"
3033)
3034tg (CPTG
3035uid 1093,0
3036ps "CptPortTextPlaceStrategy"
3037stg "RightVerticalLayoutStrategy"
3038f (Text
3039uid 1094,0
3040va (VaSet
3041)
3042xt "68800,35500,76000,36500"
3043st "socks_connected"
3044ju 2
3045blo "76000,36300"
3046tm "CptPortNameMgr"
3047)
3048)
3049dt (MLText
3050uid 1095,0
3051va (VaSet
3052font "Courier New,8,0"
3053)
3054xt "2000,76800,43000,78400"
3055st "socks_connected : OUT std_logic
3056------------------------------------------------------------------------------
3057"
3058)
3059thePort (LogicalPort
3060m 1
3061decl (Decl
3062n "socks_connected"
3063t "std_logic"
3064posc "------------------------------------------------------------------------------"
3065posAdd 0
3066o 54
3067suid 42,0
3068)
3069)
3070)
3071*191 (CptPort
3072uid 1096,0
3073ps "OnEdgeStrategy"
3074shape (Triangle
3075uid 1097,0
3076ro 90
3077va (VaSet
3078vasetType 1
3079fg "0,65535,0"
3080)
3081xt "77000,36625,77750,37375"
3082)
3083tg (CPTG
3084uid 1098,0
3085ps "CptPortTextPlaceStrategy"
3086stg "RightVerticalLayoutStrategy"
3087f (Text
3088uid 1099,0
3089va (VaSet
3090)
3091xt "69900,36500,76000,37500"
3092st "socks_waiting"
3093ju 2
3094blo "76000,37300"
3095tm "CptPortNameMgr"
3096)
3097)
3098dt (MLText
3099uid 1100,0
3100va (VaSet
3101font "Courier New,8,0"
3102)
3103xt "2000,72000,43000,76800"
3104st "------------------------------------------------------------------------------
3105
3106-- signals used to control FAD LED bahavior:
3107-- one of the three LEDs is used for com-status info
3108------------------------------------------------------------------------------
3109socks_waiting : OUT std_logic ;
3110"
3111)
3112thePort (LogicalPort
3113m 1
3114decl (Decl
3115n "socks_waiting"
3116t "std_logic"
3117prec "------------------------------------------------------------------------------
3118
3119-- signals used to control FAD LED bahavior:
3120-- one of the three LEDs is used for com-status info
3121------------------------------------------------------------------------------"
3122preAdd 0
3123o 53
3124suid 43,0
3125)
3126)
3127)
3128*192 (CptPort
3129uid 1130,0
3130ps "OnEdgeStrategy"
3131shape (Triangle
3132uid 1131,0
3133ro 90
3134va (VaSet
3135vasetType 1
3136fg "0,65535,0"
3137)
3138xt "77000,37625,77750,38375"
3139)
3140tg (CPTG
3141uid 1132,0
3142ps "CptPortTextPlaceStrategy"
3143stg "RightVerticalLayoutStrategy"
3144f (Text
3145uid 1133,0
3146va (VaSet
3147)
3148xt "69700,37500,76000,38500"
3149st "trigger_enable"
3150ju 2
3151blo "76000,38300"
3152tm "CptPortNameMgr"
3153)
3154)
3155dt (MLText
3156uid 1134,0
3157va (VaSet
3158font "Courier New,8,0"
3159)
3160xt "2000,55200,43000,59200"
3161st "------------------------------------------------------------------------------
3162
3163-- user controllable enable signals
3164------------------------------------------------------------------------------
3165trigger_enable : OUT std_logic ;
3166"
3167)
3168thePort (LogicalPort
3169m 1
3170decl (Decl
3171n "trigger_enable"
3172t "std_logic"
3173prec "------------------------------------------------------------------------------
3174
3175-- user controllable enable signals
3176------------------------------------------------------------------------------"
3177preAdd 0
3178posAdd 0
3179o 41
3180suid 44,0
3181)
3182)
3183)
3184*193 (CptPort
3185uid 1187,0
3186ps "OnEdgeStrategy"
3187shape (Triangle
3188uid 1188,0
3189ro 90
3190va (VaSet
3191vasetType 1
3192fg "0,65535,0"
3193)
3194xt "77000,38625,77750,39375"
3195)
3196tg (CPTG
3197uid 1189,0
3198ps "CptPortTextPlaceStrategy"
3199stg "RightVerticalLayoutStrategy"
3200f (Text
3201uid 1190,0
3202va (VaSet
3203)
3204xt "68800,38500,76000,39500"
3205st "c_trigger_enable"
3206ju 2
3207blo "76000,39300"
3208tm "CptPortNameMgr"
3209)
3210)
3211dt (MLText
3212uid 1191,0
3213va (VaSet
3214font "Courier New,8,0"
3215)
3216xt "2000,37600,43000,38400"
3217st "c_trigger_enable : OUT std_logic := '0' ;
3218"
3219)
3220thePort (LogicalPort
3221m 1
3222decl (Decl
3223n "c_trigger_enable"
3224t "std_logic"
3225o 27
3226suid 45,0
3227i "'0'"
3228)
3229)
3230)
3231*194 (CptPort
3232uid 1192,0
3233ps "OnEdgeStrategy"
3234shape (Triangle
3235uid 1193,0
3236ro 90
3237va (VaSet
3238vasetType 1
3239fg "0,65535,0"
3240)
3241xt "77000,39625,77750,40375"
3242)
3243tg (CPTG
3244uid 1194,0
3245ps "CptPortTextPlaceStrategy"
3246stg "RightVerticalLayoutStrategy"
3247f (Text
3248uid 1195,0
3249va (VaSet
3250)
3251xt "69500,39500,76000,40500"
3252st "c_trigger_mult"
3253ju 2
3254blo "76000,40300"
3255tm "CptPortNameMgr"
3256)
3257)
3258dt (MLText
3259uid 1196,0
3260va (VaSet
3261font "Courier New,8,0"
3262)
3263xt "2000,38400,66000,39200"
3264st "c_trigger_mult : OUT std_logic_vector (15 DOWNTO 0) := conv_std_logic_vector(0 ,16) ; --subject to changes
3265"
3266)
3267thePort (LogicalPort
3268m 1
3269decl (Decl
3270n "c_trigger_mult"
3271t "std_logic_vector"
3272b "(15 DOWNTO 0)"
3273eolc "--subject to changes"
3274posAdd 0
3275o 28
3276suid 46,0
3277i "conv_std_logic_vector(0 ,16)"
3278)
3279)
3280)
3281*195 (CptPort
3282uid 1283,0
3283ps "OnEdgeStrategy"
3284shape (Triangle
3285uid 1284,0
3286ro 90
3287va (VaSet
3288vasetType 1
3289fg "0,65535,0"
3290)
3291xt "42250,21625,43000,22375"
3292)
3293tg (CPTG
3294uid 1285,0
3295ps "CptPortTextPlaceStrategy"
3296stg "VerticalLayoutStrategy"
3297f (Text
3298uid 1286,0
3299va (VaSet
3300)
3301xt "44000,21500,49700,22500"
3302st "MAC_jumper"
3303blo "44000,22300"
3304tm "CptPortNameMgr"
3305)
3306)
3307dt (MLText
3308uid 1287,0
3309va (VaSet
3310font "Courier New,8,0"
3311)
3312xt "2000,49600,43000,53600"
3313st "------------------------------------------------------------------------------
3314
3315-- MAC/IP calculation signals:
3316------------------------------------------------------------------------------
3317MAC_jumper : IN std_logic_vector (1 downto 0) ;
3318"
3319)
3320thePort (LogicalPort
3321decl (Decl
3322n "MAC_jumper"
3323t "std_logic_vector"
3324b "(1 downto 0)"
3325prec "------------------------------------------------------------------------------
3326
3327-- MAC/IP calculation signals:
3328------------------------------------------------------------------------------"
3329preAdd 0
3330o 38
3331suid 48,0
3332)
3333)
3334)
3335*196 (CptPort
3336uid 1315,0
3337ps "OnEdgeStrategy"
3338shape (Triangle
3339uid 1316,0
3340ro 90
3341va (VaSet
3342vasetType 1
3343fg "0,65535,0"
3344)
3345xt "42250,22625,43000,23375"
3346)
3347tg (CPTG
3348uid 1317,0
3349ps "CptPortTextPlaceStrategy"
3350stg "VerticalLayoutStrategy"
3351f (Text
3352uid 1318,0
3353va (VaSet
3354)
3355xt "44000,22500,47600,23500"
3356st "BoardID"
3357blo "44000,23300"
3358tm "CptPortNameMgr"
3359)
3360)
3361dt (MLText
3362uid 1319,0
3363va (VaSet
3364font "Courier New,8,0"
3365)
3366xt "2000,53600,39000,54400"
3367st "BoardID : IN std_logic_vector (3 downto 0) ;
3368"
3369)
3370thePort (LogicalPort
3371decl (Decl
3372n "BoardID"
3373t "std_logic_vector"
3374b "(3 downto 0)"
3375o 39
3376suid 49,0
3377)
3378)
3379)
3380*197 (CptPort
3381uid 1320,0
3382ps "OnEdgeStrategy"
3383shape (Triangle
3384uid 1321,0
3385ro 90
3386va (VaSet
3387vasetType 1
3388fg "0,65535,0"
3389)
3390xt "42250,23625,43000,24375"
3391)
3392tg (CPTG
3393uid 1322,0
3394ps "CptPortTextPlaceStrategy"
3395stg "VerticalLayoutStrategy"
3396f (Text
3397uid 1323,0
3398va (VaSet
3399)
3400xt "44000,23500,47500,24500"
3401st "CrateID"
3402blo "44000,24300"
3403tm "CptPortNameMgr"
3404)
3405)
3406dt (MLText
3407uid 1324,0
3408va (VaSet
3409font "Courier New,8,0"
3410)
3411xt "2000,54400,39000,55200"
3412st "CrateID : IN std_logic_vector (1 downto 0) ;
3413"
3414)
3415thePort (LogicalPort
3416decl (Decl
3417n "CrateID"
3418t "std_logic_vector"
3419b "(1 downto 0)"
3420posAdd 0
3421o 40
3422suid 50,0
3423)
3424)
3425)
3426*198 (CptPort
3427uid 1550,0
3428ps "OnEdgeStrategy"
3429shape (Triangle
3430uid 1551,0
3431ro 90
3432va (VaSet
3433vasetType 1
3434fg "0,65535,0"
3435)
3436xt "77000,41625,77750,42375"
3437)
3438tg (CPTG
3439uid 1552,0
3440ps "CptPortTextPlaceStrategy"
3441stg "RightVerticalLayoutStrategy"
3442f (Text
3443uid 1553,0
3444va (VaSet
3445)
3446xt "70700,41500,76000,42500"
3447st "dac_setting"
3448ju 2
3449blo "76000,42300"
3450tm "CptPortNameMgr"
3451)
3452)
3453dt (MLText
3454uid 1554,0
3455va (VaSet
3456font "Courier New,8,0"
3457)
3458xt "2000,44000,70000,46400"
3459st "--data_generator_config_start_o : out std_logic := '0';
3460--data_generator_config_valid_i : in std_logic;
3461dac_setting : OUT dac_array_type := DEFAULT_DAC ; --<<-- default defined in fad_definitions.vhd
3462"
3463)
3464thePort (LogicalPort
3465m 1
3466decl (Decl
3467n "dac_setting"
3468t "dac_array_type"
3469prec "--data_generator_config_start_o : out std_logic := '0';
3470--data_generator_config_valid_i : in std_logic;"
3471eolc "--<<-- default defined in fad_definitions.vhd"
3472preAdd 0
3473posAdd 0
3474o 33
3475suid 54,0
3476i "DEFAULT_DAC"
3477)
3478)
3479)
3480*199 (CptPort
3481uid 1575,0
3482ps "OnEdgeStrategy"
3483shape (Triangle
3484uid 1576,0
3485ro 90
3486va (VaSet
3487vasetType 1
3488fg "0,65535,0"
3489)
3490xt "77000,43625,77750,44375"
3491)
3492tg (CPTG
3493uid 1577,0
3494ps "CptPortTextPlaceStrategy"
3495stg "RightVerticalLayoutStrategy"
3496f (Text
3497uid 1578,0
3498va (VaSet
3499)
3500xt "62200,43500,76000,44500"
3501st "memory_manager_config_start_o"
3502ju 2
3503blo "76000,44300"
3504tm "CptPortNameMgr"
3505)
3506)
3507dt (MLText
3508uid 1579,0
3509va (VaSet
3510font "Courier New,8,0"
3511)
3512xt "2000,39200,43000,41600"
3513st "-- FAD configuration signals:
3514------------------------------------------------------------------------------
3515memory_manager_config_start_o : OUT std_logic := '0' ;
3516"
3517)
3518thePort (LogicalPort
3519m 1
3520decl (Decl
3521n "memory_manager_config_start_o"
3522t "std_logic"
3523prec "-- FAD configuration signals:
3524------------------------------------------------------------------------------"
3525preAdd 0
3526o 29
3527suid 59,0
3528i "'0'"
3529)
3530)
3531)
3532*200 (CptPort
3533uid 1580,0
3534ps "OnEdgeStrategy"
3535shape (Triangle
3536uid 1581,0
3537ro 90
3538va (VaSet
3539vasetType 1
3540fg "0,65535,0"
3541)
3542xt "42250,29625,43000,30375"
3543)
3544tg (CPTG
3545uid 1582,0
3546ps "CptPortTextPlaceStrategy"
3547stg "VerticalLayoutStrategy"
3548f (Text
3549uid 1583,0
3550va (VaSet
3551)
3552xt "44000,29500,57300,30500"
3553st "memory_manager_config_valid_i"
3554blo "44000,30300"
3555tm "CptPortNameMgr"
3556)
3557)
3558dt (MLText
3559uid 1584,0
3560va (VaSet
3561font "Courier New,8,0"
3562)
3563xt "2000,41600,29500,42400"
3564st "memory_manager_config_valid_i : IN std_logic ;
3565"
3566)
3567thePort (LogicalPort
3568decl (Decl
3569n "memory_manager_config_valid_i"
3570t "std_logic"
3571o 30
3572suid 60,0
3573)
3574)
3575)
3576*201 (CptPort
3577uid 1585,0
3578ps "OnEdgeStrategy"
3579shape (Triangle
3580uid 1586,0
3581ro 90
3582va (VaSet
3583vasetType 1
3584fg "0,65535,0"
3585)
3586xt "77000,44625,77750,45375"
3587)
3588tg (CPTG
3589uid 1587,0
3590ps "CptPortTextPlaceStrategy"
3591stg "RightVerticalLayoutStrategy"
3592f (Text
3593uid 1588,0
3594va (VaSet
3595)
3596xt "71000,44500,76000,45500"
3597st "roi_setting"
3598ju 2
3599blo "76000,45300"
3600tm "CptPortNameMgr"
3601)
3602)
3603dt (MLText
3604uid 1589,0
3605va (VaSet
3606font "Courier New,8,0"
3607)
3608xt "2000,46400,70000,47200"
3609st "roi_setting : OUT roi_array_type := DEFAULT_ROI ; --<<-- default defined in fad_definitions.vhd
3610"
3611)
3612thePort (LogicalPort
3613m 1
3614decl (Decl
3615n "roi_setting"
3616t "roi_array_type"
3617eolc "--<<-- default defined in fad_definitions.vhd"
3618preAdd 0
3619posAdd 0
3620o 34
3621suid 61,0
3622i "DEFAULT_ROI"
3623)
3624)
3625)
3626*202 (CptPort
3627uid 1595,0
3628ps "OnEdgeStrategy"
3629shape (Triangle
3630uid 1596,0
3631ro 90
3632va (VaSet
3633vasetType 1
3634fg "0,65535,0"
3635)
3636xt "77000,45625,77750,46375"
3637)
3638tg (CPTG
3639uid 1597,0
3640ps "CptPortTextPlaceStrategy"
3641stg "RightVerticalLayoutStrategy"
3642f (Text
3643uid 1598,0
3644va (VaSet
3645)
3646xt "63600,45500,76000,46500"
3647st "spi_interface_config_start_o"
3648ju 2
3649blo "76000,46300"
3650tm "CptPortNameMgr"
3651)
3652)
3653dt (MLText
3654uid 1599,0
3655va (VaSet
3656font "Courier New,8,0"
3657)
3658xt "2000,42400,43000,43200"
3659st "spi_interface_config_start_o : OUT std_logic := '0' ;
3660"
3661)
3662thePort (LogicalPort
3663m 1
3664decl (Decl
3665n "spi_interface_config_start_o"
3666t "std_logic"
3667o 31
3668suid 63,0
3669i "'0'"
3670)
3671)
3672)
3673*203 (CptPort
3674uid 1600,0
3675ps "OnEdgeStrategy"
3676shape (Triangle
3677uid 1601,0
3678ro 90
3679va (VaSet
3680vasetType 1
3681fg "0,65535,0"
3682)
3683xt "42250,31625,43000,32375"
3684)
3685tg (CPTG
3686uid 1602,0
3687ps "CptPortTextPlaceStrategy"
3688stg "VerticalLayoutStrategy"
3689f (Text
3690uid 1603,0
3691va (VaSet
3692)
3693xt "44000,31500,55900,32500"
3694st "spi_interface_config_valid_i"
3695blo "44000,32300"
3696tm "CptPortNameMgr"
3697)
3698)
3699dt (MLText
3700uid 1604,0
3701va (VaSet
3702font "Courier New,8,0"
3703)
3704xt "2000,43200,29500,44000"
3705st "spi_interface_config_valid_i : IN std_logic ;
3706"
3707)
3708thePort (LogicalPort
3709decl (Decl
3710n "spi_interface_config_valid_i"
3711t "std_logic"
3712posAdd 0
3713o 32
3714suid 64,0
3715)
3716)
3717)
3718*204 (CptPort
3719uid 1802,0
3720ps "OnEdgeStrategy"
3721shape (Triangle
3722uid 1803,0
3723ro 90
3724va (VaSet
3725vasetType 1
3726fg "0,65535,0"
3727)
3728xt "42250,32625,43000,33375"
3729)
3730tg (CPTG
3731uid 1804,0
3732ps "CptPortTextPlaceStrategy"
3733stg "VerticalLayoutStrategy"
3734f (Text
3735uid 1805,0
3736va (VaSet
3737)
3738xt "44000,32500,51200,33500"
3739st "data_ram_empty"
3740blo "44000,33300"
3741tm "CptPortNameMgr"
3742)
3743)
3744dt (MLText
3745uid 1806,0
3746va (VaSet
3747font "Courier New,8,0"
3748)
3749xt "2000,48800,29500,49600"
3750st "data_ram_empty : IN std_logic ;
3751"
3752)
3753thePort (LogicalPort
3754decl (Decl
3755n "data_ram_empty"
3756t "std_logic"
3757preAdd 0
3758o 37
3759suid 65,0
3760)
3761)
3762)
3763*205 (CptPort
3764uid 1834,0
3765ps "OnEdgeStrategy"
3766shape (Triangle
3767uid 1835,0
3768ro 90
3769va (VaSet
3770vasetType 1
3771fg "0,65535,0"
3772)
3773xt "42250,33625,43000,34375"
3774)
3775tg (CPTG
3776uid 1836,0
3777ps "CptPortTextPlaceStrategy"
3778stg "VerticalLayoutStrategy"
3779f (Text
3780uid 1837,0
3781va (VaSet
3782)
3783xt "44000,33500,47800,34500"
3784st "ps_ready"
3785blo "44000,34300"
3786tm "CptPortNameMgr"
3787)
3788)
3789dt (MLText
3790uid 1838,0
3791va (VaSet
3792font "Courier New,8,0"
3793)
3794xt "2000,71200,29500,72000"
3795st "ps_ready : IN std_logic ;
3796"
3797)
3798thePort (LogicalPort
3799decl (Decl
3800n "ps_ready"
3801t "std_logic"
3802o 52
3803suid 66,0
3804)
3805)
3806)
3807*206 (CptPort
3808uid 1891,0
3809ps "OnEdgeStrategy"
3810shape (Triangle
3811uid 1892,0
3812ro 90
3813va (VaSet
3814vasetType 1
3815fg "0,65535,0"
3816)
3817xt "77000,46625,77750,47375"
3818)
3819tg (CPTG
3820uid 1893,0
3821ps "CptPortTextPlaceStrategy"
3822stg "RightVerticalLayoutStrategy"
3823f (Text
3824uid 1894,0
3825va (VaSet
3826)
3827xt "71700,46500,76000,47500"
3828st "runnumber"
3829ju 2
3830blo "76000,47300"
3831tm "CptPortNameMgr"
3832)
3833)
3834dt (MLText
3835uid 1895,0
3836va (VaSet
3837font "Courier New,8,0"
3838)
3839xt "2000,47200,55500,48000"
3840st "runnumber : OUT std_logic_vector (31 DOWNTO 0) := conv_std_logic_vector(0 ,32) ;
3841"
3842)
3843thePort (LogicalPort
3844m 1
3845decl (Decl
3846n "runnumber"
3847t "std_logic_vector"
3848b "(31 DOWNTO 0)"
3849o 35
3850suid 67,0
3851i "conv_std_logic_vector(0 ,32)"
3852)
3853)
3854)
3855*207 (CptPort
3856uid 1923,0
3857ps "OnEdgeStrategy"
3858shape (Triangle
3859uid 1924,0
3860ro 90
3861va (VaSet
3862vasetType 1
3863fg "0,65535,0"
3864)
3865xt "77000,47625,77750,48375"
3866)
3867tg (CPTG
3868uid 1925,0
3869ps "CptPortTextPlaceStrategy"
3870stg "RightVerticalLayoutStrategy"
3871f (Text
3872uid 1926,0
3873va (VaSet
3874)
3875xt "68800,47500,76000,48500"
3876st "reset_trigger_id"
3877ju 2
3878blo "76000,48300"
3879tm "CptPortNameMgr"
3880)
3881)
3882dt (MLText
3883uid 1927,0
3884va (VaSet
3885font "Courier New,8,0"
3886)
3887xt "2000,48000,43000,48800"
3888st "reset_trigger_id : OUT std_logic := '0' ;
3889"
3890)
3891thePort (LogicalPort
3892m 1
3893decl (Decl
3894n "reset_trigger_id"
3895t "std_logic"
3896o 36
3897suid 68,0
3898i "'0'"
3899)
3900)
3901)
3902*208 (CptPort
3903uid 1955,0
3904ps "OnEdgeStrategy"
3905shape (Triangle
3906uid 1956,0
3907ro 90
3908va (VaSet
3909vasetType 1
3910fg "0,65535,0"
3911)
3912xt "77000,48625,77750,49375"
3913)
3914tg (CPTG
3915uid 1957,0
3916ps "CptPortTextPlaceStrategy"
3917stg "RightVerticalLayoutStrategy"
3918f (Text
3919uid 1958,0
3920va (VaSet
3921)
3922xt "73600,48500,76000,49500"
3923st "state"
3924ju 2
3925blo "76000,49300"
3926tm "CptPortNameMgr"
3927)
3928)
3929dt (MLText
3930uid 1959,0
3931va (VaSet
3932font "Courier New,8,0"
3933)
3934xt "2000,16000,64500,16800"
3935st "state : OUT std_logic_vector (7 DOWNTO 0) ; -- state is encoded here ... useful for debugging.
3936"
3937)
3938thePort (LogicalPort
3939m 1
3940decl (Decl
3941n "state"
3942t "std_logic_vector"
3943b "(7 DOWNTO 0)"
3944eolc "-- state is encoded here ... useful for debugging."
3945posAdd 0
3946o 1
3947suid 69,0
3948)
3949)
3950)
3951*209 (CptPort
3952uid 2012,0
3953ps "OnEdgeStrategy"
3954shape (Triangle
3955uid 2013,0
3956ro 90
3957va (VaSet
3958vasetType 1
3959fg "0,65535,0"
3960)
3961xt "77000,49625,77750,50375"
3962)
3963tg (CPTG
3964uid 2014,0
3965ps "CptPortTextPlaceStrategy"
3966stg "RightVerticalLayoutStrategy"
3967f (Text
3968uid 2015,0
3969va (VaSet
3970)
3971xt "65600,49500,76000,50500"
3972st "debug_data_ram_empty"
3973ju 2
3974blo "76000,50300"
3975tm "CptPortNameMgr"
3976)
3977)
3978dt (MLText
3979uid 2016,0
3980va (VaSet
3981font "Courier New,8,0"
3982)
3983xt "2000,16800,29500,17600"
3984st "debug_data_ram_empty : OUT std_logic ;
3985"
3986)
3987thePort (LogicalPort
3988m 1
3989decl (Decl
3990n "debug_data_ram_empty"
3991t "std_logic"
3992o 2
3993suid 70,0
3994)
3995)
3996)
3997*210 (CptPort
3998uid 2017,0
3999ps "OnEdgeStrategy"
4000shape (Triangle
4001uid 2018,0
4002ro 90
4003va (VaSet
4004vasetType 1
4005fg "0,65535,0"
4006)
4007xt "77000,50625,77750,51375"
4008)
4009tg (CPTG
4010uid 2019,0
4011ps "CptPortTextPlaceStrategy"
4012stg "RightVerticalLayoutStrategy"
4013f (Text
4014uid 2020,0
4015va (VaSet
4016)
4017xt "68500,50500,76000,51500"
4018st "debug_data_valid"
4019ju 2
4020blo "76000,51300"
4021tm "CptPortNameMgr"
4022)
4023)
4024dt (MLText
4025uid 2021,0
4026va (VaSet
4027font "Courier New,8,0"
4028)
4029xt "2000,17600,29500,18400"
4030st "debug_data_valid : OUT std_logic ;
4031"
4032)
4033thePort (LogicalPort
4034m 1
4035decl (Decl
4036n "debug_data_valid"
4037t "std_logic"
4038o 3
4039suid 71,0
4040)
4041)
4042)
4043*211 (CptPort
4044uid 2051,0
4045ps "OnEdgeStrategy"
4046shape (Triangle
4047uid 2052,0
4048ro 90
4049va (VaSet
4050vasetType 1
4051fg "0,65535,0"
4052)
4053xt "42250,34625,43000,35375"
4054)
4055tg (CPTG
4056uid 2053,0
4057ps "CptPortTextPlaceStrategy"
4058stg "VerticalLayoutStrategy"
4059f (Text
4060uid 2054,0
4061va (VaSet
4062)
4063xt "44000,34500,53700,35500"
4064st "data_generator_idle_i"
4065blo "44000,35300"
4066tm "CptPortNameMgr"
4067)
4068)
4069dt (MLText
4070uid 2055,0
4071va (VaSet
4072font "Courier New,8,0"
4073)
4074xt "2000,18400,29500,19200"
4075st "data_generator_idle_i : IN std_logic ;
4076"
4077)
4078thePort (LogicalPort
4079decl (Decl
4080n "data_generator_idle_i"
4081t "std_logic"
4082o 4
4083suid 72,0
4084)
4085)
4086)
4087*212 (CptPort
4088uid 2133,0
4089ps "OnEdgeStrategy"
4090shape (Triangle
4091uid 2134,0
4092ro 90
4093va (VaSet
4094vasetType 1
4095fg "0,65535,0"
4096)
4097xt "77000,51625,77750,52375"
4098)
4099tg (CPTG
4100uid 2135,0
4101ps "CptPortTextPlaceStrategy"
4102stg "RightVerticalLayoutStrategy"
4103f (Text
4104uid 2136,0
4105va (VaSet
4106)
4107xt "67400,51500,76000,52500"
4108st "socket_tx_free_out"
4109ju 2
4110blo "76000,52300"
4111tm "CptPortNameMgr"
4112)
4113)
4114dt (MLText
4115uid 2137,0
4116va (VaSet
4117font "Courier New,8,0"
4118)
4119xt "2000,20000,54500,20800"
4120st "socket_tx_free_out : OUT std_logic_vector (16 DOWNTO 0) ; -- 17bit value .. that's true
4121"
4122)
4123thePort (LogicalPort
4124m 1
4125decl (Decl
4126n "socket_tx_free_out"
4127t "std_logic_vector"
4128b "(16 DOWNTO 0)"
4129eolc "-- 17bit value .. that's true"
4130posAdd 0
4131o 6
4132suid 73,0
4133)
4134)
4135)
4136*213 (CptPort
4137uid 2165,0
4138ps "OnEdgeStrategy"
4139shape (Triangle
4140uid 2166,0
4141ro 90
4142va (VaSet
4143vasetType 1
4144fg "0,65535,0"
4145)
4146xt "77000,52625,77750,53375"
4147)
4148tg (CPTG
4149uid 2167,0
4150ps "CptPortTextPlaceStrategy"
4151stg "RightVerticalLayoutStrategy"
4152f (Text
4153uid 2168,0
4154va (VaSet
4155)
4156xt "70600,52500,76000,53500"
4157st "busy_enable"
4158ju 2
4159blo "76000,53300"
4160tm "CptPortNameMgr"
4161)
4162)
4163dt (MLText
4164uid 2169,0
4165va (VaSet
4166font "Courier New,8,0"
4167)
4168xt "2000,62400,43000,63200"
4169st "busy_enable : OUT std_logic := '0' ;
4170"
4171)
4172thePort (LogicalPort
4173m 1
4174decl (Decl
4175n "busy_enable"
4176t "std_logic"
4177o 46
4178suid 74,0
4179i "'0'"
4180)
4181)
4182)
4183*214 (CptPort
4184uid 2170,0
4185ps "OnEdgeStrategy"
4186shape (Triangle
4187uid 2171,0
4188ro 90
4189va (VaSet
4190vasetType 1
4191fg "0,65535,0"
4192)
4193xt "77000,53625,77750,54375"
4194)
4195tg (CPTG
4196uid 2172,0
4197ps "CptPortTextPlaceStrategy"
4198stg "RightVerticalLayoutStrategy"
4199f (Text
4200uid 2173,0
4201va (VaSet
4202)
4203xt "65800,53500,76000,54500"
4204st "socket_send_mode_out"
4205ju 2
4206blo "76000,54300"
4207tm "CptPortNameMgr"
4208)
4209)
4210dt (MLText
4211uid 2174,0
4212va (VaSet
4213font "Courier New,8,0"
4214)
4215xt "2000,63200,29500,64000"
4216st "socket_send_mode_out : OUT std_logic ;
4217"
4218)
4219thePort (LogicalPort
4220m 1
4221decl (Decl
4222n "socket_send_mode_out"
4223t "std_logic"
4224o 47
4225suid 75,0
4226)
4227)
4228)
4229*215 (CptPort
4230uid 2229,0
4231ps "OnEdgeStrategy"
4232shape (Triangle
4233uid 2230,0
4234ro 90
4235va (VaSet
4236vasetType 1
4237fg "0,65535,0"
4238)
4239xt "77000,54625,77750,55375"
4240)
4241tg (CPTG
4242uid 2231,0
4243ps "CptPortTextPlaceStrategy"
4244stg "RightVerticalLayoutStrategy"
4245f (Text
4246uid 2232,0
4247va (VaSet
4248)
4249xt "70500,54500,76000,55500"
4250st "busy_manual"
4251ju 2
4252blo "76000,55300"
4253tm "CptPortNameMgr"
4254)
4255)
4256dt (MLText
4257uid 2233,0
4258va (VaSet
4259font "Courier New,8,0"
4260)
4261xt "2000,64000,43000,64800"
4262st "busy_manual : OUT std_logic := '0' ;
4263"
4264)
4265thePort (LogicalPort
4266m 1
4267decl (Decl
4268n "busy_manual"
4269t "std_logic"
4270o 48
4271suid 76,0
4272i "'0'"
4273)
4274)
4275)
4276*216 (CptPort
4277uid 2234,0
4278ps "OnEdgeStrategy"
4279shape (Triangle
4280uid 2235,0
4281ro 90
4282va (VaSet
4283vasetType 1
4284fg "0,65535,0"
4285)
4286xt "42250,35625,43000,36375"
4287)
4288tg (CPTG
4289uid 2236,0
4290ps "CptPortTextPlaceStrategy"
4291stg "VerticalLayoutStrategy"
4292f (Text
4293uid 2237,0
4294va (VaSet
4295)
4296xt "44000,35500,51900,36500"
4297st "data_ram_not_full"
4298blo "44000,36300"
4299tm "CptPortNameMgr"
4300)
4301)
4302dt (MLText
4303uid 2238,0
4304va (VaSet
4305font "Courier New,8,0"
4306)
4307xt "2000,19200,29500,20000"
4308st "data_ram_not_full : IN std_logic ;
4309"
4310)
4311thePort (LogicalPort
4312decl (Decl
4313n "data_ram_not_full"
4314t "std_logic"
4315o 5
4316suid 77,0
4317)
4318)
4319)
4320]
4321shape (Rectangle
4322uid 9,0
4323va (VaSet
4324vasetType 1
4325fg "0,65535,0"
4326lineColor "0,32896,0"
4327lineWidth 2
4328)
4329xt "43000,2000,77000,56000"
4330)
4331oxt "43000,2000,56000,22000"
4332biTextGroup (BiTextGroup
4333uid 10,0
4334ps "CenterOffsetStrategy"
4335stg "VerticalLayoutStrategy"
4336first (Text
4337uid 11,0
4338va (VaSet
4339font "Arial,8,1"
4340)
4341xt "47700,11000,53900,12000"
4342st "FACT_FAD_lib"
4343blo "47700,11800"
4344)
4345second (Text
4346uid 12,0
4347va (VaSet
4348font "Arial,8,1"
4349)
4350xt "47700,12000,53400,13000"
4351st "w5300_modul"
4352blo "47700,12800"
4353)
4354)
4355gi *217 (GenericInterface
4356uid 13,0
4357ps "CenterOffsetStrategy"
4358matrix (Matrix
4359uid 14,0
4360text (MLText
4361uid 15,0
4362va (VaSet
4363font "Courier New,8,0"
4364)
4365xt "43000,200,58000,2600"
4366st "Generic Declarations
4367
4368RAM_ADDR_WIDTH integer 14
4369"
4370)
4371header "Generic Declarations"
4372showHdrWhenContentsEmpty 1
4373)
4374elements [
4375(GiElement
4376name "RAM_ADDR_WIDTH"
4377type "integer"
4378value "14"
4379)
4380]
4381)
4382portInstanceVisAsIs 1
4383portInstanceVis (PortSigDisplay
4384sIVOD 1
4385)
4386portVis (PortSigDisplay
4387sTC 0
4388sF 0
4389)
4390)
4391*218 (Grouping
4392uid 16,0
4393optionalChildren [
4394*219 (CommentText
4395uid 18,0
4396shape (Rectangle
4397uid 19,0
4398sl 0
4399va (VaSet
4400vasetType 1
4401fg "65280,65280,46080"
4402)
4403xt "47000,30000,64000,31000"
4404)
4405oxt "18000,70000,35000,71000"
4406text (MLText
4407uid 20,0
4408va (VaSet
4409fg "0,0,32768"
4410bg "0,0,32768"
4411)
4412xt "47200,30000,57900,31000"
4413st "
4414by %user on %dd %month %year
4415"
4416tm "CommentText"
4417wrapOption 3
4418visibleHeight 1000
4419visibleWidth 17000
4420)
4421position 1
4422ignorePrefs 1
4423titleBlock 1
4424)
4425*220 (CommentText
4426uid 21,0
4427shape (Rectangle
4428uid 22,0
4429sl 0
4430va (VaSet
4431vasetType 1
4432fg "65280,65280,46080"
4433)
4434xt "64000,26000,68000,27000"
4435)
4436oxt "35000,66000,39000,67000"
4437text (MLText
4438uid 23,0
4439va (VaSet
4440fg "0,0,32768"
4441bg "0,0,32768"
4442)
4443xt "64200,26000,67500,27000"
4444st "
4445Project:
4446"
4447tm "CommentText"
4448wrapOption 3
4449visibleHeight 1000
4450visibleWidth 4000
4451)
4452position 1
4453ignorePrefs 1
4454titleBlock 1
4455)
4456*221 (CommentText
4457uid 24,0
4458shape (Rectangle
4459uid 25,0
4460sl 0
4461va (VaSet
4462vasetType 1
4463fg "65280,65280,46080"
4464)
4465xt "47000,28000,64000,29000"
4466)
4467oxt "18000,68000,35000,69000"
4468text (MLText
4469uid 26,0
4470va (VaSet
4471fg "0,0,32768"
4472bg "0,0,32768"
4473)
4474xt "47200,28000,58100,29000"
4475st "
4476<enter diagram title here>
4477"
4478tm "CommentText"
4479wrapOption 3
4480visibleHeight 1000
4481visibleWidth 17000
4482)
4483position 1
4484ignorePrefs 1
4485titleBlock 1
4486)
4487*222 (CommentText
4488uid 27,0
4489shape (Rectangle
4490uid 28,0
4491sl 0
4492va (VaSet
4493vasetType 1
4494fg "65280,65280,46080"
4495)
4496xt "43000,28000,47000,29000"
4497)
4498oxt "14000,68000,18000,69000"
4499text (MLText
4500uid 29,0
4501va (VaSet
4502fg "0,0,32768"
4503bg "0,0,32768"
4504)
4505xt "43200,28000,45500,29000"
4506st "
4507Title:
4508"
4509tm "CommentText"
4510wrapOption 3
4511visibleHeight 1000
4512visibleWidth 4000
4513)
4514position 1
4515ignorePrefs 1
4516titleBlock 1
4517)
4518*223 (CommentText
4519uid 30,0
4520shape (Rectangle
4521uid 31,0
4522sl 0
4523va (VaSet
4524vasetType 1
4525fg "65280,65280,46080"
4526)
4527xt "64000,27000,84000,31000"
4528)
4529oxt "35000,67000,55000,71000"
4530text (MLText
4531uid 32,0
4532va (VaSet
4533fg "0,0,32768"
4534bg "0,0,32768"
4535)
4536xt "64200,27200,74000,28200"
4537st "
4538<enter comments here>
4539"
4540tm "CommentText"
4541wrapOption 3
4542visibleHeight 4000
4543visibleWidth 20000
4544)
4545ignorePrefs 1
4546titleBlock 1
4547)
4548*224 (CommentText
4549uid 33,0
4550shape (Rectangle
4551uid 34,0
4552sl 0
4553va (VaSet
4554vasetType 1
4555fg "65280,65280,46080"
4556)
4557xt "68000,26000,84000,27000"
4558)
4559oxt "39000,66000,55000,67000"
4560text (MLText
4561uid 35,0
4562va (VaSet
4563fg "0,0,32768"
4564bg "0,0,32768"
4565)
4566xt "68200,26000,72900,27000"
4567st "
4568%project_name
4569"
4570tm "CommentText"
4571wrapOption 3
4572visibleHeight 1000
4573visibleWidth 16000
4574)
4575position 1
4576ignorePrefs 1
4577titleBlock 1
4578)
4579*225 (CommentText
4580uid 36,0
4581shape (Rectangle
4582uid 37,0
4583sl 0
4584va (VaSet
4585vasetType 1
4586fg "65280,65280,46080"
4587)
4588xt "43000,26000,64000,28000"
4589)
4590oxt "14000,66000,35000,68000"
4591text (MLText
4592uid 38,0
4593va (VaSet
4594fg "32768,0,0"
4595)
4596xt "50200,26500,56800,27500"
4597st "
4598<company name>
4599"
4600ju 0
4601tm "CommentText"
4602wrapOption 3
4603visibleHeight 2000
4604visibleWidth 21000
4605)
4606position 1
4607ignorePrefs 1
4608titleBlock 1
4609)
4610*226 (CommentText
4611uid 39,0
4612shape (Rectangle
4613uid 40,0
4614sl 0
4615va (VaSet
4616vasetType 1
4617fg "65280,65280,46080"
4618)
4619xt "43000,29000,47000,30000"
4620)
4621oxt "14000,69000,18000,70000"
4622text (MLText
4623uid 41,0
4624va (VaSet
4625fg "0,0,32768"
4626bg "0,0,32768"
4627)
4628xt "43200,29000,45500,30000"
4629st "
4630Path:
4631"
4632tm "CommentText"
4633wrapOption 3
4634visibleHeight 1000
4635visibleWidth 4000
4636)
4637position 1
4638ignorePrefs 1
4639titleBlock 1
4640)
4641*227 (CommentText
4642uid 42,0
4643shape (Rectangle
4644uid 43,0
4645sl 0
4646va (VaSet
4647vasetType 1
4648fg "65280,65280,46080"
4649)
4650xt "43000,30000,47000,31000"
4651)
4652oxt "14000,70000,18000,71000"
4653text (MLText
4654uid 44,0
4655va (VaSet
4656fg "0,0,32768"
4657bg "0,0,32768"
4658)
4659xt "43200,30000,46300,31000"
4660st "
4661Edited:
4662"
4663tm "CommentText"
4664wrapOption 3
4665visibleHeight 1000
4666visibleWidth 4000
4667)
4668position 1
4669ignorePrefs 1
4670titleBlock 1
4671)
4672*228 (CommentText
4673uid 45,0
4674shape (Rectangle
4675uid 46,0
4676sl 0
4677va (VaSet
4678vasetType 1
4679fg "65280,65280,46080"
4680)
4681xt "47000,29000,64000,30000"
4682)
4683oxt "18000,69000,35000,70000"
4684text (MLText
4685uid 47,0
4686va (VaSet
4687fg "0,0,32768"
4688bg "0,0,32768"
4689)
4690xt "47200,29000,62800,30000"
4691st "
4692%library/%unit/%view
4693"
4694tm "CommentText"
4695wrapOption 3
4696visibleHeight 1000
4697visibleWidth 17000
4698)
4699position 1
4700ignorePrefs 1
4701titleBlock 1
4702)
4703]
4704shape (GroupingShape
4705uid 17,0
4706va (VaSet
4707vasetType 1
4708fg "65535,65535,65535"
4709lineStyle 2
4710lineWidth 2
4711)
4712xt "43000,26000,84000,31000"
4713)
4714oxt "14000,66000,55000,71000"
4715)
4716*229 (CommentText
4717uid 133,0
4718shape (Rectangle
4719uid 134,0
4720layer 0
4721va (VaSet
4722vasetType 1
4723fg "65280,65280,46080"
4724lineColor "0,0,32768"
4725)
4726xt "0,-6000,33000,0"
4727)
4728text (MLText
4729uid 135,0
4730va (VaSet
4731fg "0,0,32768"
4732font "Arial,10,0"
4733)
4734xt "200,-5800,32200,-600"
4735st "
4736Created using Mentor Graphics HDL2Graphics(TM) Technology
4737on - 10:21:37 04.02.2010
4738from - D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hdl\\w5300_modul.vhd
4739
4740"
4741tm "CommentText"
4742wrapOption 3
4743visibleHeight 5600
4744visibleWidth 32600
4745)
4746)
4747]
4748bg "65535,65535,65535"
4749grid (Grid
4750origin "0,0"
4751isVisible 1
4752isActive 1
4753xSpacing 1000
4754xySpacing 1000
4755xShown 1
4756yShown 1
4757color "26368,26368,26368"
4758)
4759packageList *230 (PackageList
4760uid 170,0
4761stg "VerticalLayoutStrategy"
4762textVec [
4763*231 (Text
4764uid 171,0
4765va (VaSet
4766font "arial,8,1"
4767)
4768xt "0,1000,5400,2000"
4769st "Package List"
4770blo "0,1800"
4771)
4772*232 (MLText
4773uid 172,0
4774va (VaSet
4775)
4776xt "0,2000,16200,8000"
4777st "library IEEE;
4778use IEEE.STD_LOGIC_1164.ALL;
4779use IEEE.STD_LOGIC_ARITH.ALL;
4780use IEEE.STD_LOGIC_UNSIGNED.ALL;
4781library FACT_FAD_lib;
4782use FACT_FAD_lib.fad_definitions.ALL;"
4783tm "PackageList"
4784)
4785]
4786)
4787windowSize "0,0,1015,690"
4788viewArea "0,0,0,0"
4789cachedDiagramExtent "0,0,0,0"
4790pageBreakOrigin "0,0"
4791defaultCommentText (CommentText
4792shape (Rectangle
4793layer 0
4794va (VaSet
4795vasetType 1
4796fg "65280,65280,46080"
4797lineColor "0,0,32768"
4798)
4799xt "0,0,15000,5000"
4800)
4801text (MLText
4802va (VaSet
4803fg "0,0,32768"
4804)
4805xt "200,200,2400,1200"
4806st "
4807Text
4808"
4809tm "CommentText"
4810wrapOption 3
4811visibleHeight 4600
4812visibleWidth 14600
4813)
4814)
4815defaultPanel (Panel
4816shape (RectFrame
4817va (VaSet
4818vasetType 1
4819fg "65535,65535,65535"
4820lineColor "32768,0,0"
4821lineWidth 3
4822)
4823xt "0,0,20000,20000"
4824)
4825title (TextAssociate
4826ps "TopLeftStrategy"
4827text (Text
4828va (VaSet
4829font "Arial,8,1"
4830)
4831xt "1000,1000,3800,2000"
4832st "Panel0"
4833blo "1000,1800"
4834tm "PanelText"
4835)
4836)
4837)
4838parentGraphicsRef (HdmGraphicsRef
4839libraryName ""
4840entityName ""
4841viewName ""
4842)
4843defaultSymbolBody (SymbolBody
4844shape (Rectangle
4845va (VaSet
4846vasetType 1
4847fg "0,65535,0"
4848lineColor "0,32896,0"
4849lineWidth 2
4850)
4851xt "15000,6000,33000,26000"
4852)
4853biTextGroup (BiTextGroup
4854ps "CenterOffsetStrategy"
4855stg "VerticalLayoutStrategy"
4856first (Text
4857va (VaSet
4858font "Arial,8,1"
4859)
4860xt "22200,15000,25800,16000"
4861st "<library>"
4862blo "22200,15800"
4863)
4864second (Text
4865va (VaSet
4866font "Arial,8,1"
4867)
4868xt "22200,16000,24800,17000"
4869st "<cell>"
4870blo "22200,16800"
4871)
4872)
4873gi *233 (GenericInterface
4874ps "CenterOffsetStrategy"
4875matrix (Matrix
4876text (MLText
4877va (VaSet
4878font "Courier New,8,0"
4879)
4880xt "0,12000,11500,12800"
4881st "Generic Declarations"
4882)
4883header "Generic Declarations"
4884showHdrWhenContentsEmpty 1
4885)
4886elements [
4887]
4888)
4889portInstanceVisAsIs 1
4890portInstanceVis (PortSigDisplay
4891sIVOD 1
4892)
4893portVis (PortSigDisplay
4894sIVOD 1
4895)
4896)
4897defaultCptPort (CptPort
4898ps "OnEdgeStrategy"
4899shape (Triangle
4900ro 90
4901va (VaSet
4902vasetType 1
4903fg "0,65535,0"
4904)
4905xt "0,0,750,750"
4906)
4907tg (CPTG
4908ps "CptPortTextPlaceStrategy"
4909stg "VerticalLayoutStrategy"
4910f (Text
4911va (VaSet
4912)
4913xt "0,750,1400,1750"
4914st "In0"
4915blo "0,1550"
4916tm "CptPortNameMgr"
4917)
4918)
4919dt (MLText
4920va (VaSet
4921font "Courier New,8,0"
4922)
4923)
4924thePort (LogicalPort
4925decl (Decl
4926n "In0"
4927t "std_logic_vector"
4928b "(15 DOWNTO 0)"
4929o 0
4930)
4931)
4932)
4933defaultCptPortBuffer (CptPort
4934ps "OnEdgeStrategy"
4935shape (Diamond
4936va (VaSet
4937vasetType 1
4938fg "65535,65535,65535"
4939bg "0,0,0"
4940)
4941xt "0,0,750,750"
4942)
4943tg (CPTG
4944ps "CptPortTextPlaceStrategy"
4945stg "VerticalLayoutStrategy"
4946f (Text
4947va (VaSet
4948)
4949xt "0,750,2800,1750"
4950st "Buffer0"
4951blo "0,1550"
4952tm "CptPortNameMgr"
4953)
4954)
4955dt (MLText
4956va (VaSet
4957font "Courier New,8,0"
4958)
4959)
4960thePort (LogicalPort
4961m 3
4962decl (Decl
4963n "Buffer0"
4964t "std_logic_vector"
4965b "(15 DOWNTO 0)"
4966o 0
4967)
4968)
4969)
4970DeclarativeBlock *234 (SymDeclBlock
4971uid 1,0
4972stg "SymDeclLayoutStrategy"
4973declLabel (Text
4974uid 2,0
4975va (VaSet
4976font "Arial,8,1"
4977)
4978xt "0,14000,5400,15000"
4979st "Declarations"
4980blo "0,14800"
4981)
4982portLabel (Text
4983uid 3,0
4984va (VaSet
4985font "Arial,8,1"
4986)
4987xt "0,15000,2700,16000"
4988st "Ports:"
4989blo "0,15800"
4990)
4991externalLabel (Text
4992uid 4,0
4993va (VaSet
4994font "Arial,8,1"
4995)
4996xt "0,78400,2400,79400"
4997st "User:"
4998blo "0,79200"
4999)
5000internalLabel (Text
5001uid 6,0
5002va (VaSet
5003isHidden 1
5004font "Arial,8,1"
5005)
5006xt "0,14000,5800,15000"
5007st "Internal User:"
5008blo "0,14800"
5009)
5010externalText (MLText
5011uid 5,0
5012va (VaSet
5013font "Courier New,8,0"
5014)
5015xt "2000,79400,2000,79400"
5016tm "SyDeclarativeTextMgr"
5017)
5018internalText (MLText
5019uid 7,0
5020va (VaSet
5021isHidden 1
5022font "Courier New,8,0"
5023)
5024xt "0,14000,0,14000"
5025tm "SyDeclarativeTextMgr"
5026)
5027)
5028lastUid 2294,0
5029activeModelName "Symbol:CDM"
5030)
Note: See TracBrowser for help on using the repository browser.