source: firmware/FTM/clock/FTM_dcm_40M_to_250M.vhd@ 10748

Last change on this file since 10748 was 10227, checked in by weitzel, 14 years ago
first version of FTM firmware including ethernet and FTU interface; still some debugging needed
File size: 2.9 KB
Line 
1--------------------------------------------------------------------------------
2-- Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.
3--------------------------------------------------------------------------------
4-- ____ ____
5-- / /\/ /
6-- /___/ \ / Vendor: Xilinx
7-- \ \ \/ Version : 11.5
8-- \ \ Application : xaw2vhdl
9-- / / Filename : FTM_dcm_40M_to_250M.vhd
10-- /___/ /\ Timestamp : 03/02/2011 11:02:39
11-- \ \ / \
12-- \___\/\___\
13--
14--Command: xaw2vhdl-st /ihp/home01/qweitzel/FPGA/trac-ISDC.svn/firmware/FTM/clock/FTM_dcm_40M_to_250M.xaw /ihp/home01/qweitzel/FPGA/trac-ISDC.svn/firmware/FTM/clock/FTM_dcm_40M_to_250M
15--Design Name: FTM_dcm_40M_to_250M
16--Device: xc3sd3400a-4fg676
17--
18-- Module FTM_dcm_40M_to_250M
19-- Generated by Xilinx Architecture Wizard
20-- Written for synthesis tool: XST
21-- Period Jitter (unit interval) for block DCM_SP_INST = 0.20 UI
22-- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 0.79 ns
23
24library ieee;
25use ieee.std_logic_1164.ALL;
26use ieee.numeric_std.ALL;
27library UNISIM;
28use UNISIM.Vcomponents.ALL;
29
30entity FTM_dcm_40M_to_250M is
31 port ( CLKIN_IN : in std_logic;
32 RST_IN : in std_logic;
33 CLKFX_OUT : out std_logic;
34 CLKFX180_OUT : out std_logic;
35 LOCKED_OUT : out std_logic);
36end FTM_dcm_40M_to_250M;
37
38architecture BEHAVIORAL of FTM_dcm_40M_to_250M is
39 signal CLKFX_BUF : std_logic;
40 signal CLKFX180_BUF : std_logic;
41 signal GND_BIT : std_logic;
42begin
43 GND_BIT <= '0';
44 CLKFX_BUFG_INST : BUFG
45 port map (I=>CLKFX_BUF,
46 O=>CLKFX_OUT);
47
48 CLKFX180_BUFG_INST : BUFG
49 port map (I=>CLKFX180_BUF,
50 O=>CLKFX180_OUT);
51
52 DCM_SP_INST : DCM_SP
53 generic map( CLK_FEEDBACK => "NONE",
54 CLKDV_DIVIDE => 2.0,
55 CLKFX_DIVIDE => 4,
56 CLKFX_MULTIPLY => 25,
57 CLKIN_DIVIDE_BY_2 => FALSE,
58 CLKIN_PERIOD => 25.000,
59 CLKOUT_PHASE_SHIFT => "NONE",
60 DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
61 DFS_FREQUENCY_MODE => "LOW",
62 DLL_FREQUENCY_MODE => "LOW",
63 DUTY_CYCLE_CORRECTION => TRUE,
64 FACTORY_JF => x"C080",
65 PHASE_SHIFT => 0,
66 STARTUP_WAIT => FALSE)
67 port map (CLKFB=>GND_BIT,
68 CLKIN=>CLKIN_IN,
69 DSSEN=>GND_BIT,
70 PSCLK=>GND_BIT,
71 PSEN=>GND_BIT,
72 PSINCDEC=>GND_BIT,
73 RST=>RST_IN,
74 CLKDV=>open,
75 CLKFX=>CLKFX_BUF,
76 CLKFX180=>CLKFX180_BUF,
77 CLK0=>open,
78 CLK2X=>open,
79 CLK2X180=>open,
80 CLK90=>open,
81 CLK180=>open,
82 CLK270=>open,
83 LOCKED=>LOCKED_OUT,
84 PSDONE=>open,
85 STATUS=>open);
86
87end BEHAVIORAL;
88
89
Note: See TracBrowser for help on using the repository browser.