source: firmware/FTM/test_firmware/FTM_test4/FTM_test4_dcm.vhd@ 10188

Last change on this file since 10188 was 10046, checked in by vogler, 14 years ago
Test firmware for FTM hardware testing
File size: 3.0 KB
Line 
1--------------------------------------------------------------------------------
2-- Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.
3--------------------------------------------------------------------------------
4-- ____ ____
5-- / /\/ /
6-- /___/ \ / Vendor: Xilinx
7-- \ \ \/ Version : 11.5
8-- \ \ Application : xaw2vhdl
9-- / / Filename : FTM_test4_dcm.vhd
10-- /___/ /\ Timestamp : 10/11/2010 13:44:50
11-- \ \ / \
12-- \___\/\___\
13--
14--Command: xaw2vhdl-st /ihp/home01/pavogler/ISDC_repos/firmware/FTM/test_firmware/FTM_test4/FTM_test4_dcm.xaw /ihp/home01/pavogler/ISDC_repos/firmware/FTM/test_firmware/FTM_test4/FTM_test4_dcm
15--Design Name: FTM_test4_dcm
16--Device: xc3sd3400a-4fg676
17--
18-- Module FTM_test4_dcm
19-- Generated by Xilinx Architecture Wizard
20-- Written for synthesis tool: XST
21-- Period Jitter (unit interval) for block DCM_SP_INST = 0.04 UI
22-- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 0.86 ns
23
24library ieee;
25use ieee.std_logic_1164.ALL;
26use ieee.numeric_std.ALL;
27library UNISIM;
28use UNISIM.Vcomponents.ALL;
29
30entity FTM_test4_dcm is
31 port ( CLKIN_IN : in std_logic;
32 CLKFX_OUT : out std_logic;
33 CLKIN_IBUFG_OUT : out std_logic;
34 CLK0_OUT : out std_logic);
35end FTM_test4_dcm;
36
37architecture BEHAVIORAL of FTM_test4_dcm is
38 signal CLKFB_IN : std_logic;
39 signal CLKFX_BUF : std_logic;
40 signal CLKIN_IBUFG : std_logic;
41 signal CLK0_BUF : std_logic;
42 signal GND_BIT : std_logic;
43begin
44 GND_BIT <= '0';
45 CLKIN_IBUFG_OUT <= CLKIN_IBUFG;
46 CLK0_OUT <= CLKFB_IN;
47 CLKFX_BUFG_INST : BUFG
48 port map (I=>CLKFX_BUF,
49 O=>CLKFX_OUT);
50
51 CLKIN_IBUFG_INST : IBUFG
52 port map (I=>CLKIN_IN,
53 O=>CLKIN_IBUFG);
54
55 CLK0_BUFG_INST : BUFG
56 port map (I=>CLK0_BUF,
57 O=>CLKFB_IN);
58
59 DCM_SP_INST : DCM_SP
60 generic map( CLK_FEEDBACK => "1X",
61 CLKDV_DIVIDE => 2.0,
62 CLKFX_DIVIDE => 4,
63 CLKFX_MULTIPLY => 5,
64 CLKIN_DIVIDE_BY_2 => FALSE,
65 CLKIN_PERIOD => 25.000,
66 CLKOUT_PHASE_SHIFT => "NONE",
67 DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
68 DFS_FREQUENCY_MODE => "LOW",
69 DLL_FREQUENCY_MODE => "LOW",
70 DUTY_CYCLE_CORRECTION => TRUE,
71 FACTORY_JF => x"C080",
72 PHASE_SHIFT => 0,
73 STARTUP_WAIT => FALSE)
74 port map (CLKFB=>CLKFB_IN,
75 CLKIN=>CLKIN_IBUFG,
76 DSSEN=>GND_BIT,
77 PSCLK=>GND_BIT,
78 PSEN=>GND_BIT,
79 PSINCDEC=>GND_BIT,
80 RST=>GND_BIT,
81 CLKDV=>open,
82 CLKFX=>CLKFX_BUF,
83 CLKFX180=>open,
84 CLK0=>CLK0_BUF,
85 CLK2X=>open,
86 CLK2X180=>open,
87 CLK90=>open,
88 CLK180=>open,
89 CLK270=>open,
90 LOCKED=>open,
91 PSDONE=>open,
92 STATUS=>open);
93
94end BEHAVIORAL;
95
96
Note: See TracBrowser for help on using the repository browser.