source: firmware/FTM/test_firmware/FTM_test7/FTM_Test7_dcm.vhd@ 15725

Last change on this file since 15725 was 10046, checked in by vogler, 14 years ago
Test firmware for FTM hardware testing
File size: 3.1 KB
Line 
1--------------------------------------------------------------------------------
2-- Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.
3--------------------------------------------------------------------------------
4-- ____ ____
5-- / /\/ /
6-- /___/ \ / Vendor: Xilinx
7-- \ \ \/ Version : 11.5
8-- \ \ Application : xaw2vhdl
9-- / / Filename : FTM_Test7_dcm.vhd
10-- /___/ /\ Timestamp : 10/18/2010 16:17:48
11-- \ \ / \
12-- \___\/\___\
13--
14--Command: xaw2vhdl-st /ihp/home01/pavogler/Playground/FTM-Tests/FTM_Test7/FTM_Test7/ipcore_dir/FTM_Test7_dcm.xaw /ihp/home01/pavogler/Playground/FTM-Tests/FTM_Test7/FTM_Test7/ipcore_dir/FTM_Test7_dcm
15--Design Name: FTM_Test7_dcm
16--Device: xc3sd3400a-4fg676
17--
18-- Module FTM_Test7_dcm
19-- Generated by Xilinx Architecture Wizard
20-- Written for synthesis tool: XST
21-- Period Jitter (unit interval) for block DCM_SP_INST = 0.03 UI
22-- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 5.54 ns
23
24library ieee;
25use ieee.std_logic_1164.ALL;
26use ieee.numeric_std.ALL;
27library UNISIM;
28use UNISIM.Vcomponents.ALL;
29
30entity FTM_Test7_dcm is
31 port ( CLKIN_IN : in std_logic;
32 RST_IN : in std_logic;
33 CLKFX_OUT : out std_logic;
34 CLKIN_IBUFG_OUT : out std_logic;
35 CLK0_OUT : out std_logic;
36 LOCKED_OUT : out std_logic);
37end FTM_Test7_dcm;
38
39architecture BEHAVIORAL of FTM_Test7_dcm is
40 signal CLKFB_IN : std_logic;
41 signal CLKFX_BUF : std_logic;
42 signal CLKIN_IBUFG : std_logic;
43 signal CLK0_BUF : std_logic;
44 signal GND_BIT : std_logic;
45begin
46 GND_BIT <= '0';
47 CLKIN_IBUFG_OUT <= CLKIN_IBUFG;
48 CLK0_OUT <= CLKFB_IN;
49 CLKFX_BUFG_INST : BUFG
50 port map (I=>CLKFX_BUF,
51 O=>CLKFX_OUT);
52
53 CLKIN_IBUFG_INST : IBUFG
54 port map (I=>CLKIN_IN,
55 O=>CLKIN_IBUFG);
56
57 CLK0_BUFG_INST : BUFG
58 port map (I=>CLK0_BUF,
59 O=>CLKFB_IN);
60
61 DCM_SP_INST : DCM_SP
62 generic map( CLK_FEEDBACK => "1X",
63 CLKDV_DIVIDE => 2.0,
64 CLKFX_DIVIDE => 16,
65 CLKFX_MULTIPLY => 2,
66 CLKIN_DIVIDE_BY_2 => FALSE,
67 CLKIN_PERIOD => 25.000,
68 CLKOUT_PHASE_SHIFT => "NONE",
69 DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
70 DFS_FREQUENCY_MODE => "LOW",
71 DLL_FREQUENCY_MODE => "LOW",
72 DUTY_CYCLE_CORRECTION => TRUE,
73 FACTORY_JF => x"C080",
74 PHASE_SHIFT => 0,
75 STARTUP_WAIT => FALSE)
76 port map (CLKFB=>CLKFB_IN,
77 CLKIN=>CLKIN_IBUFG,
78 DSSEN=>GND_BIT,
79 PSCLK=>GND_BIT,
80 PSEN=>GND_BIT,
81 PSINCDEC=>GND_BIT,
82 RST=>RST_IN,
83 CLKDV=>open,
84 CLKFX=>CLKFX_BUF,
85 CLKFX180=>open,
86 CLK0=>CLK0_BUF,
87 CLK2X=>open,
88 CLK2X180=>open,
89 CLK90=>open,
90 CLK180=>open,
91 CLK270=>open,
92 LOCKED=>LOCKED_OUT,
93 PSDONE=>open,
94 STATUS=>open);
95
96end BEHAVIORAL;
97
98
Note: See TracBrowser for help on using the repository browser.