source: firmware/FTM@ 10346

Name Size Rev Age Author Last Change
../
clock 10227   14 years weitzel first version of FTM firmware including ethernet and FTU interface; ...
Clock_cond_interface 10260   14 years vogler ftm_definitions clock constants and array for conditioner interface updated
doc 10171   14 years weitzel FTM docu v3.3 added
ethernet 10256   14 years weitzel new version of FTM ethernet module; first version of clock conditioner
ftu_control 10328   13 years weitzel changes in FTM firmware to debug rates readout, clock conditioner added
test_firmware 10104   14 years weitzel FTM_test9 added: check FTM-FTU communication
ftm_board.ucf 17.1 KB 10256   14 years weitzel new version of FTM ethernet module; first version of clock conditioner
FTM_central_control.vhd 9.1 KB 10328   13 years weitzel changes in FTM firmware to debug rates readout, clock conditioner added
ftm_definitions.vhd 18.3 KB 10328   13 years weitzel changes in FTM firmware to debug rates readout, clock conditioner added
FTM_top.vhd 31.2 KB 10256   14 years weitzel new version of FTM ethernet module; first version of clock conditioner
FTM_top_tb.vhd 20.3 KB 10328   13 years weitzel changes in FTM firmware to debug rates readout, clock conditioner added
Note: See TracBrowser for help on using the repository browser.