library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- use IEEE.NUMERIC_STD.ALL; package ftu_array_types is type dac_array_type is array (0 to 4) of integer range 0 to 2**12 - 1; end ftu_array_types;