Line | |
---|
1 | library IEEE;
|
---|
2 | use IEEE.STD_LOGIC_1164.all;
|
---|
3 | use IEEE.STD_LOGIC_ARITH.ALL;
|
---|
4 | use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
---|
5 | -- use IEEE.NUMERIC_STD.ALL;
|
---|
6 |
|
---|
7 | package ftu_array_types is
|
---|
8 |
|
---|
9 | type enable_array_type is array (0 to 3) of std_logic_vector(15 downto 0);
|
---|
10 | constant DEFAULT_ENABLE : enable_array_type := ("0000000111111111", --patch A
|
---|
11 | "0000000111111111", --patch B
|
---|
12 | "0000000111111111", --patch C
|
---|
13 | "0000000111111111");--patch D
|
---|
14 |
|
---|
15 | type dac_array_type is array (0 to 7) of integer range 0 to 2**12 - 1;
|
---|
16 | constant DEFAULT_DAC : dac_array_type := (500, 500, 500, 500, 0, 0, 0, 100);
|
---|
17 |
|
---|
18 | end ftu_array_types;
|
---|
Note:
See
TracBrowser
for help on using the repository browser.