source: firmware/FTU/test_firmware/FTU_test6/ftu_definitions_test6.vhd@ 12124

Last change on this file since 12124 was 9621, checked in by weitzel, 15 years ago
FTU_test6 added (RS485 receiver)
File size: 811 bytes
Line 
1library IEEE;
2use IEEE.STD_LOGIC_1164.all;
3use IEEE.STD_LOGIC_ARITH.ALL;
4use IEEE.STD_LOGIC_UNSIGNED.ALL;
5-- use IEEE.NUMERIC_STD.ALL;
6
7package ftu_array_types is
8
9 type enable_array_type is array (0 to 3) of std_logic_vector(15 downto 0);
10 constant DEFAULT_ENABLE : enable_array_type := ("0000000111111111", --patch A
11 "0000000111111111", --patch B
12 "0000000111111111", --patch C
13 "0000000111111111");--patch D
14
15 type dac_array_type is array (0 to 7) of integer range 0 to 2**12 - 1;
16 constant DEFAULT_DAC : dac_array_type := (500, 500, 500, 500, 0, 0, 0, 100);
17
18end ftu_array_types;
Note: See TracBrowser for help on using the repository browser.