Changeset 10123
- Timestamp:
- 01/27/11 17:10:41 (14 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib
- Files:
-
- 19 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/clock_generator_var_ps_struct.vhd
r10121 r10123 3 3 -- Created: 4 4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 11:57:15 26.01.20115 -- at - 09:36:36 27.01.2011 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 40 40 -- Created: 41 41 -- by - dneise.UNKNOWN (E5B-LABOR6) 42 -- at - 11:57:15 26.01.201142 -- at - 09:36:36 27.01.2011 43 43 -- 44 44 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf
r10121 r10123 35 35 36 36 # BOARD ID - inputs 37 NET POSITION_ID<0> LOC = Y1 | IOSTANDARD=LVCMOS33; #ok name was LINE befoer i changed it38 NET POSITION_ID<1> LOC = Y2 | IOSTANDARD=LVCMOS33; #ok39 NET POSITION_ID<2> LOC = AB1 | IOSTANDARD=LVCMOS33; #ok40 NET POSITION_ID<3> LOC = AC1 | IOSTANDARD=LVCMOS33; #ok41 NET POSITION_ID<4> LOC = AD1 | IOSTANDARD=LVCMOS33; #ok42 NET POSITION_ID<5> LOC = AD2 | IOSTANDARD=LVCMOS33; #ok37 NET LINE<0> LOC = Y1 | IOSTANDARD=LVCMOS33; #ok 38 NET LINE<1> LOC = Y2 | IOSTANDARD=LVCMOS33; #ok 39 NET LINE<2> LOC = AB1 | IOSTANDARD=LVCMOS33; #ok 40 NET LINE<3> LOC = AC1 | IOSTANDARD=LVCMOS33; #ok 41 NET LINE<4> LOC = AD1 | IOSTANDARD=LVCMOS33; #ok 42 NET LINE<5> LOC = AD2 | IOSTANDARD=LVCMOS33; #ok 43 43 44 44 # W5300 … … 138 138 NET D_T<4> LOC = K5 | IOSTANDARD=LVCMOS25; #ok 139 139 NET D_T<5> LOC = L4 | IOSTANDARD=LVCMOS25; #ok 140 NET D_T<6> LOC = M3 | IOSTANDARD=LVCMOS25; #ok 141 NET D_T<7> LOC = T3 | IOSTANDARD=LVCMOS25; #ok 140 NET D_T_in<0> LOC = M3 | IOSTANDARD=LVCMOS25 | pullup; #ok was: NET D_T<6> LOC = M3 141 NET D_T_in<1> LOC = T3 | IOSTANDARD=LVCMOS25 | pullup; #ok was: NET D_T<7> LOC = T3 142 #NET D_T<6> LOC = M3 | IOSTANDARD=LVCMOS25; #ok 143 #NET D_T<7> LOC = T3 | IOSTANDARD=LVCMOS25; #ok 142 144 NET D_T2<0> LOC = U2 | IOSTANDARD=LVCMOS25; #ok was D_T<8> 143 145 NET D_T2<1> LOC = V2 | IOSTANDARD=LVCMOS25; #ok was D_T<9> -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf.bak
r10121 r10123 35 35 36 36 # BOARD ID - inputs 37 NET POSITION_ID<0> LOC = Y1 | IOSTANDARD=LVCMOS33; #ok name was LINE befoer i changed it38 NET POSITION_ID<1> LOC = Y2 | IOSTANDARD=LVCMOS33; #ok39 NET POSITION_ID<2> LOC = AB1 | IOSTANDARD=LVCMOS33; #ok40 NET POSITION_ID<3> LOC = AC1 | IOSTANDARD=LVCMOS33; #ok41 NET POSITION_ID<4> LOC = AD1 | IOSTANDARD=LVCMOS33; #ok42 NET POSITION_ID<5> LOC = AD2 | IOSTANDARD=LVCMOS33; #ok37 NET LINE<0> LOC = Y1 | IOSTANDARD=LVCMOS33; #ok 38 NET LINE<1> LOC = Y2 | IOSTANDARD=LVCMOS33; #ok 39 NET LINE<2> LOC = AB1 | IOSTANDARD=LVCMOS33; #ok 40 NET LINE<3> LOC = AC1 | IOSTANDARD=LVCMOS33; #ok 41 NET LINE<4> LOC = AD1 | IOSTANDARD=LVCMOS33; #ok 42 NET LINE<5> LOC = AD2 | IOSTANDARD=LVCMOS33; #ok 43 43 44 44 # W5300 … … 99 99 100 100 NET SRIN LOC = E1 | IOSTANDARD=LVCMOS25; #ok -- nur fuer vollauslese noetig; auf Z legen. 101 #NET REFCLK LOC = AC11 | IOSTANDARD=LVCMOS25; #ok -- listen to REFCLK possible101 NET REFCLK LOC = AC11 | IOSTANDARD=LVCMOS25; #ok -- listen to REFCLK possible 102 102 103 103 … … 138 138 NET D_T<4> LOC = K5 | IOSTANDARD=LVCMOS25; #ok 139 139 NET D_T<5> LOC = L4 | IOSTANDARD=LVCMOS25; #ok 140 NET D_T<6> LOC = M3 | IOSTANDARD=LVCMOS25; #ok 141 NET D_T<7> LOC = T3 | IOSTANDARD=LVCMOS25; #ok 140 #NET D_T_in<0> LOC = M3 | IOSTANDARD=LVCMOS25 | pullup; #ok was: NET D_T<6> LOC = M3 141 #NET D_T_in<1> LOC = T3 | IOSTANDARD=LVCMOS25 | pullup; #ok was: NET D_T<7> LOC = T3 142 NET D_T<6> LOC = M3 | IOSTANDARD=LVCMOS25 | pullup; #ok 143 NET D_T<7> LOC = T3 | IOSTANDARD=LVCMOS25 | pullup; #ok 142 144 NET D_T2<0> LOC = U2 | IOSTANDARD=LVCMOS25; #ok was D_T<8> 143 145 NET D_T2<1> LOC = V2 | IOSTANDARD=LVCMOS25; #ok was D_T<9> -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd
r10121 r10123 3 3 -- Created: 4 4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 1 6:46:19 26.01.20115 -- at - 17:58:59 27.01.2011 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 13 13 ENTITY FAD_Board IS 14 14 PORT( 15 A0_D : IN std_logic_vector (11 DOWNTO 0); 16 A1_D : IN std_logic_vector (11 DOWNTO 0); 17 A2_D : IN std_logic_vector (11 DOWNTO 0); 18 A3_D : IN std_logic_vector (11 DOWNTO 0); 19 A_OTR : IN std_logic_vector (3 DOWNTO 0); 20 D0_SROUT : IN std_logic; 21 D1_SROUT : IN std_logic; 22 D2_SROUT : IN std_logic; 23 D3_SROUT : IN std_logic; 24 D_PLLLCK : IN std_logic_vector (3 DOWNTO 0); 25 POSITION_ID : IN std_logic_vector ( 5 DOWNTO 0 ); 26 REFCLK : IN std_logic; 27 RS485_C_DI : IN std_logic; 28 RS485_E_DI : IN std_logic; 29 RS485_E_DO : IN std_logic; 30 TRG : IN STD_LOGIC; 31 W_INT : IN std_logic; 32 X_50M : IN STD_LOGIC; 33 A0_T : OUT std_logic_vector (7 DOWNTO 0) := (others => '0'); 34 A1_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0'); 35 AMBER_LED : OUT std_logic; 36 A_CLK : OUT std_logic_vector (3 DOWNTO 0); 37 D0_SRCLK : OUT STD_LOGIC; 38 D1_SRCLK : OUT STD_LOGIC; 39 D2_SRCLK : OUT STD_LOGIC; 40 D3_SRCLK : OUT STD_LOGIC; 41 DAC_CS : OUT std_logic; 42 DENABLE : OUT std_logic := '0'; 43 DWRITE : OUT std_logic := '0'; 44 D_A : OUT std_logic_vector (3 DOWNTO 0) := (others => '0'); 45 D_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0'); 46 D_T2 : OUT std_logic_vector (3 DOWNTO 0) := (others => '0'); 47 EE_CS : OUT std_logic; 48 GREEN_LED : OUT std_logic; 49 MOSI : OUT std_logic := '0'; 50 OE_ADC : OUT STD_LOGIC; 51 RED_LED : OUT std_logic; 52 RS485_C_DE : OUT std_logic; 53 RS485_C_DO : OUT std_logic; 54 RS485_C_RE : OUT std_logic; 55 RS485_E_DE : OUT std_logic; 56 RS485_E_RE : OUT std_logic; 57 RSRLOAD : OUT std_logic := '0'; 58 SRIN : OUT std_logic := '0'; 59 S_CLK : OUT std_logic; 60 T0_CS : OUT std_logic; 61 T1_CS : OUT std_logic; 62 T2_CS : OUT std_logic; 63 T3_CS : OUT std_logic; 64 TRG_V : OUT std_logic; 65 W_A : OUT std_logic_vector (9 DOWNTO 0); 66 W_CS : OUT std_logic := '1'; 67 W_RD : OUT std_logic := '1'; 68 W_RES : OUT std_logic := '1'; 69 W_WR : OUT std_logic := '1'; 70 MISO : INOUT std_logic; 71 W_D : INOUT std_logic_vector (15 DOWNTO 0) 15 A0_D : IN std_logic_vector (11 DOWNTO 0); 16 A1_D : IN std_logic_vector (11 DOWNTO 0); 17 A2_D : IN std_logic_vector (11 DOWNTO 0); 18 A3_D : IN std_logic_vector (11 DOWNTO 0); 19 A_OTR : IN std_logic_vector (3 DOWNTO 0); 20 D0_SROUT : IN std_logic; 21 D1_SROUT : IN std_logic; 22 D2_SROUT : IN std_logic; 23 D3_SROUT : IN std_logic; 24 D_PLLLCK : IN std_logic_vector (3 DOWNTO 0); 25 D_T_in : IN std_logic_vector (1 DOWNTO 0); 26 LINE : IN std_logic_vector ( 5 DOWNTO 0 ); 27 REFCLK : IN std_logic; 28 RS485_C_DI : IN std_logic; 29 RS485_E_DI : IN std_logic; 30 RS485_E_DO : IN std_logic; 31 TRG : IN STD_LOGIC; 32 W_INT : IN std_logic; 33 X_50M : IN STD_LOGIC; 34 A0_T : OUT std_logic_vector (7 DOWNTO 0) := (others => '0'); 35 A1_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0'); 36 AMBER_LED : OUT std_logic; 37 A_CLK : OUT std_logic_vector (3 DOWNTO 0); 38 D0_SRCLK : OUT STD_LOGIC; 39 D1_SRCLK : OUT STD_LOGIC; 40 D2_SRCLK : OUT STD_LOGIC; 41 D3_SRCLK : OUT STD_LOGIC; 42 DAC_CS : OUT std_logic; 43 DENABLE : OUT std_logic := '0'; 44 DWRITE : OUT std_logic := '0'; 45 D_A : OUT std_logic_vector (3 DOWNTO 0) := (others => '0'); 46 D_T : OUT std_logic_vector (5 DOWNTO 0) := (OTHERS => '0'); 47 D_T2 : OUT std_logic_vector (3 DOWNTO 0) := (others => '0'); 48 EE_CS : OUT std_logic; 49 GREEN_LED : OUT std_logic; 50 MOSI : OUT std_logic := '0'; 51 OE_ADC : OUT STD_LOGIC; 52 RED_LED : OUT std_logic; 53 RS485_C_DE : OUT std_logic; 54 RS485_C_DO : OUT std_logic; 55 RS485_C_RE : OUT std_logic; 56 RS485_E_DE : OUT std_logic; 57 RS485_E_RE : OUT std_logic; 58 RSRLOAD : OUT std_logic := '0'; 59 SRIN : OUT std_logic := '0'; 60 S_CLK : OUT std_logic; 61 T0_CS : OUT std_logic; 62 T1_CS : OUT std_logic; 63 T2_CS : OUT std_logic; 64 T3_CS : OUT std_logic; 65 TRG_V : OUT std_logic; 66 W_A : OUT std_logic_vector (9 DOWNTO 0); 67 W_CS : OUT std_logic := '1'; 68 W_RD : OUT std_logic := '1'; 69 W_RES : OUT std_logic := '1'; 70 W_WR : OUT std_logic := '1'; 71 MISO : INOUT std_logic; 72 W_D : INOUT std_logic_vector (15 DOWNTO 0) 72 73 ); 73 74 … … 81 82 -- Created: 82 83 -- by - dneise.UNKNOWN (E5B-LABOR6) 83 -- at - 1 6:46:20 26.01.201184 -- at - 17:58:59 27.01.2011 84 85 -- 85 86 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 120 121 SIGNAL drs_channel_id : std_logic_vector(3 DOWNTO 0) := (others => '0'); 121 122 SIGNAL dummy : std_logic; 123 SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); 122 124 SIGNAL ready : std_logic := '0'; 123 125 SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0); … … 136 138 PORT ( 137 139 CLK : IN std_logic ; 140 D_T_in : IN std_logic_vector (1 DOWNTO 0); 138 141 SROUT_in_0 : IN std_logic ; 139 142 SROUT_in_1 : IN std_logic ; … … 198 201 -- HDL Embedded Text Block 1 eb_ID 199 202 -- hard-wired IDs 200 board_id <= "0101";201 crate_id <= "01";203 board_id <= LINE(5 downto 2); 204 crate_id <= LINE(1 downto 0); 202 205 203 206 -- HDL Embedded Text Block 2 ADC_CLK … … 243 246 244 247 EE_CS <= '1'; 248 249 -- HDL Embedded Text Block 7 eb1 250 D_T(5 downto 0) <= (others => '0'); 245 251 246 252 -- HDL Embedded Text Block 8 eb2 … … 269 275 A1_T(7) <= drs_channel_id(3); 270 276 271 A0_T(5 downto 0) <= POSITION_ID;277 A0_T(5 downto 0) <= (others => '0'); 272 278 A0_T(6) <= REFCLK; 273 279 A0_T(7) <= RS485_E_DI; … … 291 297 PORT MAP ( 292 298 CLK => X_50M, 299 D_T_in => D_T_in, 293 300 SROUT_in_0 => D0_SROUT, 294 301 SROUT_in_1 => D1_SROUT, … … 324 331 drs_dwrite => DWRITE, 325 332 green => RED_LED, 326 led => D_T,333 led => led, 327 334 mosi => MOSI, 328 335 offset => OPEN, -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd
r10121 r10123 18 18 type mac_type is array (0 to 2) of std_logic_vector (15 downto 0); 19 19 type ip_type is array (0 to 3) of integer; 20 21 type mac_list_type is array (0 to 2) of mac_type; 22 type ip_list_type is array (0 to 2) of ip_type; 20 23 -- Network Settings 21 24 22 constant MAC_ADDRESS : mac_type := (X"0011", X"9561", X"97B4"); 25 constant ETHZ_GATEWAY : ip_type := (192, 33, 96, 1); 26 constant CAM_GATEWAY : ip_type := (192, 33, 96, 1); --??????????????? 27 constant TUDO_GATEWAY : ip_type := (129, 217, 160, 1); 23 28 24 -- @ ETH zurich 25 -- constant NETMASK : ip_type := (255, 255, 248, 0); 26 -- constant IP_ADDRESS : ip_type := (192, 33, 99, 225); 27 -- constant GATEWAY : ip_type := (192, 33, 96, 1); 29 constant ETHZ_NETMASK : ip_type := (255, 255, 248, 0); 30 constant CAM_NETMASK : ip_type := (255, 255, 248, 0); --??????????????? 31 constant TUDO_NETMASK : ip_type := (255, 255, 255, 0); 28 32 29 -- @ TU Dortmund 30 constant NETMASK : ip_type := (255, 255, 255, 0); 31 constant IP_ADDRESS : ip_type := (129, 217, 160, 119); 32 constant GATEWAY : ip_type := (129, 217, 160, 1); 33 --constant MAC_ZERO : mac_type := (X"0000", X"0000", X"0000"); 34 constant MAC_FAD0 : mac_type := (X"0011", X"9561", X"97B4"); 35 constant MAC_FAD1 : mac_type := (X"FAC7", X"0FAD", X"0001"); 36 constant MAC_FAD2 : mac_type := (X"FAC7", X"0FAD", X"0002"); 37 38 --constant IP_ZERO : ip_type := (0,0,0,0); 39 constant IP_TUDO : ip_type := (129, 217, 160, 119); 40 constant IP_ETHZ_FAD0 : ip_type := (192, 33, 99, 225); 41 constant IP_ETHZ_FAD1 : ip_type := (192, 33, 99, 226); 42 constant IP_ETHZ_FAD2 : ip_type := (192, 33, 99, 237); 43 44 -- IP lookup table used to convert CID,BID into IP, if not in camera. 45 constant IP_LIST : ip_list_type := (IP_ETHZ_FAD0, IP_ETHZ_FAD1, IP_ETHZ_FAD2); 46 constant MAC_LIST : mac_list_type := (MAC_FAD0,MAC_FAD1,MAC_FAD2); 33 47 34 48 constant FIRST_PORT : integer := 5000; 49 constant CAM_IP_PREFIX : ip_type := (192, 168, 0, 0); 50 constant IP_offset : integer := 128; 51 constant CAM_MAC_prefix : mac_type := (X"FAC7", X"0FAD", X"0000"); 35 52 -- Network Settings End 36 53 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd.bak
r10121 r10123 17 17 18 18 type mac_type is array (0 to 2) of std_logic_vector (15 downto 0); 19 type mac_list_type is array (0 to 3) of mac_type; 19 20 type ip_type is array (0 to 3) of integer; 21 type ip_list_type is array (0 to 3) of ip_type; 20 22 -- Network Settings 21 23 22 constant MAC_ADDRESS : mac_type := (X"0011", X"9561", X"97B4"); 24 constant ETHZ_GATEWAY : ip_type := (192, 33, 96, 1); 25 constant CAM_GATEWAY : ip_type := (192, 33, 96, 1); --??????????????? 26 constant TUDO_GATEWAY : ip_type := (129, 217, 160, 1); 23 27 24 -- @ ETH zurich 25 -- constant NETMASK : ip_type := (255, 255, 248, 0); 26 -- constant IP_ADDRESS : ip_type := (192, 33, 99, 225); 27 -- constant GATEWAY : ip_type := (192, 33, 96, 1); 28 constant ETHZ_NETMASK : ip_type := (255, 255, 248, 0); 29 constant CAM_NETMASK : ip_type := (255, 255, 248, 0); --??????????????? 30 constant TUDO_NETMASK : ip_type := (255, 255, 255, 0); 28 31 29 -- @ TU Dortmund 30 constant NETMASK : ip_type := (255, 255, 255, 0); 31 constant IP_ADDRESS : ip_type := (129, 217, 160, 119); 32 constant GATEWAY : ip_type := (129, 217, 160, 1); 32 --constant MAC_ZERO : mac_type := (X"0000", X"0000", X"0000"); 33 constant MAC_FAD0 : mac_type := (X"0011", X"9561", X"97B4"); 34 constant MAC_FAD1 : mac_type := (X"FAC7", X"0FAD", X"0001"); 35 constant MAC_FAD2 : mac_type := (X"FAC7", X"0FAD", X"0002"); 36 37 --constant IP_ZERO : ip_type := (0,0,0,0); 38 constant IP_TUDO : ip_type := (129, 217, 160, 119); 39 constant IP_ETHZ_FAD0 : ip_type := (192, 33, 99, 225); 40 constant IP_ETHZ_FAD1 : ip_type := (192, 33, 99, 226); 41 constant IP_ETHZ_FAD2 : ip_type := (192, 33, 99, 237); 42 43 -- IP lookup table used to convert CID,BID into IP, if not in camera. 44 constant IP_LIST : ip_list_type := (IP_ETHZ_FAD0, IP_ETHZ_FAD1, IP_ETHZ_FAD2); 45 constant MAC_LIST : mac_list_type := (MAC_FAD0,MAC_FAD1,MAC_FAD2); 33 46 34 47 constant FIRST_PORT : integer := 5000; 48 constant CAM_IP_PREFIX : ip_type := (192, 168, 0, 0); 49 constant IP_offset : integer := 128; 50 constant CAM_MAC_prefix : mac_type := (X"FAC7", X"0FAD", X"0000"); 35 51 -- Network Settings End 36 52 … … 142 158 143 159 constant CMD_PS_RESET : std_logic_vector := X"17"; 160 161 constant CMD_SET_TRIGGER_MULT : std_logic_vector := X"21"; 162 144 163 -- DRS Registers 145 164 constant DRS_CONFIG_REG : std_logic_vector := "1100"; -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd
r10121 r10123 3 3 -- Created: 4 4 -- by - dneise.UNKNOWN (E5B-LABOR6) 5 -- at - 1 6:46:18 26.01.20115 -- at - 17:58:58 27.01.2011 6 6 -- 7 7 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 19 19 PORT( 20 20 CLK : IN std_logic; 21 D_T_in : IN std_logic_vector (1 DOWNTO 0); 21 22 SROUT_in_0 : IN std_logic; 22 23 SROUT_in_1 : IN std_logic; … … 79 80 -- Created: 80 81 -- by - dneise.UNKNOWN (E5B-LABOR6) 81 -- at - 1 6:46:19 26.01.201182 -- at - 17:58:58 27.01.2011 82 83 -- 83 84 -- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10) … … 468 469 -- -- 469 470 config_busy : IN std_logic ; 471 MAC_jumper : IN std_logic_vector (1 DOWNTO 0); 472 BoardID : IN std_logic_vector (3 DOWNTO 0); 473 CrateID : IN std_logic_vector (1 DOWNTO 0); 470 474 denable : OUT std_logic := '0'; -- default domino wave off 471 475 dwrite_enable : OUT std_logic := '0'; -- default DWRITE low. … … 784 788 config_rw_ready => config_rw_ready, 785 789 config_busy => config_busy, 790 MAC_jumper => D_T_in, 791 BoardID => board_id, 792 CrateID => crate_id, 786 793 denable => denable, 787 794 dwrite_enable => dwrite_enable, -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd
r10121 r10123 69 69 config_busy : in std_logic; 70 70 71 71 MAC_jumper : in std_logic_vector (1 downto 0); 72 BoardID : in std_logic_vector (3 downto 0); 73 CrateID : in std_logic_vector (1 downto 0); 72 74 73 75 denable : out std_logic := '0'; -- default domino wave off … … 93 95 94 96 type state_init_type is (INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA, 95 INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,97 INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY, 96 98 SI, SI1, SI2, SI3, SI4, SI5, SI6, ESTABLISH, EST1, CONFIG, MAIN, MAIN1, MAIN2, MAIN3, CHK_RECEIVED, READ_DATA); 97 99 type state_write_type is (WR_START, WR_LENGTH, WR_WAIT1, WR_01, WR_02, WR_03, WR_04, WR_05, WR_05a, WR_05b, WR_06, WR_07, WR_08, WR_FIFO, WR_FIFO1, WR_ADC, WR_ADC1, WR_ADC2, … … 152 154 signal data_valid_int : std_logic := '0'; 153 155 156 signal FAD_in_cam : std_logic := '0'; 157 signal FAD_at_ETHZ : std_logic := '0'; 158 signal bid : std_logic_vector (3 downto 0); 159 signal cid : std_logic_vector (1 downto 0); 160 161 154 162 -- only for debugging 155 163 --signal error_cnt : std_logic_vector (7 downto 0) := (others => '0'); … … 160 168 signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending 161 169 signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets. 170 171 signal mac_loc : mac_type; 172 signal ip_loc : ip_type; 173 signal gateway_loc : ip_type; 174 signal netmask_loc : ip_type; 175 162 176 163 177 begin … … 274 288 -- Init 275 289 when INIT => 290 -- status of MAC jumpers is synched in 291 -- and Board- and CrateID are synched in 292 FAD_in_cam <= MAC_jumper(1); -- see position of jumpers in FACT logbook 293 FAD_at_ETHZ <= MAC_jumper(0); -- MAC_jumper(1) is where D_T(7) was; MAC_jumper(0) is where D_T(6) was; 294 bid <= BoardID; 295 cid <= CrateID; 296 -- 276 297 par_addr <= W5300_MR; 277 298 par_data <= X"0000"; 278 299 state_init <= WRITE_REG; 279 next_state <= IM; 300 next_state <= LOCATE; 301 302 when LOCATE => 303 state_init <= IM; 304 305 if (FAD_in_cam = '1') then 306 -- if BID = "1111" and CID="11" then FAD is not really in cam 307 -- back to INIT !! endless loop 308 if (bid = "1111" and cid="11") then 309 -- this should never happen!!!!! 310 -- impossible to find this out, if in cam 311 state_init <= INIT; 312 else -- everything is fine 313 -- IP & MAC are calculated from BID & CID 314 -- code here 315 gateway_loc <= CAM_GATEWAY; 316 netmask_loc <= CAM_NETMASK; 317 mac_loc <= (CAM_MAC_prefix (0), CAM_MAC_prefix (1) , conv_std_logic_vector ( conv_integer(cid)*10+conv_integer(bid) , 16) ); 318 ip_loc <= ( CAM_IP_PREFIX(0) , CAM_IP_PREFIX(1) , IP_offset + conv_integer(cid) , IP_offset + conv_integer(bid) ); 319 end if; 320 else -- FAD is tested, eighther at ETHZ or at TUDO AND eighther with FMP or without. 321 if ( FAD_at_ETHZ = '0' ) then 322 -- easy FAD is at TUDO -> only one choice. 323 mac_loc <= MAC_FAD0; 324 ip_loc <= IP_TUDO; 325 gateway_loc <= TUDO_GATEWAY; 326 netmask_loc <= TUDO_NETMASK; 327 else -- FAD is at ETHZ but not in cam --> IP lookup table is needed. 328 if (bid = "1111" and cid="11") then -- FAD is not in crate 329 mac_loc <= MAC_FAD0; 330 ip_loc <= IP_ETHZ_FAD0; 331 gateway_loc <= ETHZ_GATEWAY; 332 netmask_loc <= ETHZ_NETMASK; 333 else 334 -- FAD is at ETHZ and in crate: 335 -- crate ID is not of importance. 336 -- we only have 3 MACs and IPs so far, so only the first boardIDs are allowed. 337 if ( conv_integer(bid) < MAC_LIST'length) then 338 gateway_loc <= ETHZ_GATEWAY; 339 netmask_loc <= ETHZ_NETMASK; 340 mac_loc <= MAC_LIST(conv_integer(bid)); 341 ip_loc <= IP_LIST(conv_integer(bid)); 342 end if; -- conv_integer 343 end if; -- bid=1111 & cid=11 344 end if; --FAD_at_ETHZ = 0 345 end if; --FAD_in_cam = 1 280 346 281 347 -- Interrupt Mask … … 336 402 when MAC => 337 403 par_addr <= W5300_SHAR; 338 par_data <= MAC_ADDRESS(0);404 par_data <= mac_loc(0); 339 405 state_init <= WRITE_REG; 340 406 next_state <= MAC1; 341 407 when MAC1 => 342 408 par_addr <= W5300_SHAR + 2; 343 par_data <= MAC_ADDRESS(1);409 par_data <= mac_loc(1); 344 410 state_init <= WRITE_REG; 345 411 next_state <= MAC2; 346 412 when MAC2 => 347 413 par_addr <= W5300_SHAR + 4; 348 par_data <= MAC_ADDRESS(2);414 par_data <= mac_loc(2); 349 415 state_init <= WRITE_REG; 350 416 next_state <= GW; … … 353 419 when GW => 354 420 par_addr <= W5300_GAR; 355 par_data (15 downto 8) <= conv_std_logic_vector( GATEWAY(0),8);356 par_data (7 downto 0) <= conv_std_logic_vector( GATEWAY(1),8);421 par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(0),8); 422 par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(1),8); 357 423 state_init <= WRITE_REG; 358 424 next_state <= GW1; 359 425 when GW1 => 360 426 par_addr <= W5300_GAR + 2; 361 par_data (15 downto 8) <= conv_std_logic_vector( GATEWAY(2),8);362 par_data (7 downto 0) <= conv_std_logic_vector( GATEWAY(3),8);427 par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(2),8); 428 par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(3),8); 363 429 state_init <= WRITE_REG; 364 430 next_state <= SNM; … … 367 433 when SNM => 368 434 par_addr <= W5300_SUBR; 369 par_data (15 downto 8) <= conv_std_logic_vector( NETMASK(0),8);370 par_data (7 downto 0) <= conv_std_logic_vector( NETMASK(1),8);435 par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(0),8); 436 par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(1),8); 371 437 state_init <= WRITE_REG; 372 438 next_state <= SNM1; 373 439 when SNM1 => 374 440 par_addr <= W5300_SUBR + 2; 375 par_data (15 downto 8) <= conv_std_logic_vector( NETMASK(2),8);376 par_data (7 downto 0) <= conv_std_logic_vector( NETMASK(3),8);441 par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(2),8); 442 par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(3),8); 377 443 state_init <= WRITE_REG; 378 444 next_state <= IP; … … 380 446 when IP => 381 447 par_addr <= W5300_SIPR; 382 par_data (15 downto 8) <= conv_std_logic_vector( IP_ADDRESS(0),8);383 par_data (7 downto 0) <= conv_std_logic_vector( IP_ADDRESS(1),8);448 par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(0),8); 449 par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(1),8); 384 450 state_init <= WRITE_REG; 385 451 next_state <= IP1; 386 452 when IP1 => 387 453 par_addr <= W5300_SIPR + 2; 388 par_data (15 downto 8) <= conv_std_logic_vector( IP_ADDRESS(2),8);389 par_data (7 downto 0) <= conv_std_logic_vector( IP_ADDRESS(3),8);454 par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(2),8); 455 par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(3),8); 390 456 state_init <= WRITE_REG; 391 457 next_state <= SI; -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd.bak
r10121 r10123 69 69 config_busy : in std_logic; 70 70 71 71 MAC_jumper : in std_logic_vector (1 downto 0); 72 BoardID : in std_logic_vector (3 downto 0); 73 CrateID : in std_logic_vector (1 downto 0); 72 74 73 75 denable : out std_logic := '0'; -- default domino wave off … … 93 95 94 96 type state_init_type is (INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA, 95 INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,97 INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY, 96 98 SI, SI1, SI2, SI3, SI4, SI5, SI6, ESTABLISH, EST1, CONFIG, MAIN, MAIN1, MAIN2, MAIN3, CHK_RECEIVED, READ_DATA); 97 99 type state_write_type is (WR_START, WR_LENGTH, WR_WAIT1, WR_01, WR_02, WR_03, WR_04, WR_05, WR_05a, WR_05b, WR_06, WR_07, WR_08, WR_FIFO, WR_FIFO1, WR_ADC, WR_ADC1, WR_ADC2, … … 152 154 signal data_valid_int : std_logic := '0'; 153 155 156 signal FAD_in_cam : std_logic := '0'; 157 signal FAD_at_ETHZ : std_logic := '0'; 158 signal bid : std_logic_vector (3 downto 0); 159 signal cid : std_logic_vector (1 downto 0); 160 161 154 162 -- only for debugging 155 163 --signal error_cnt : std_logic_vector (7 downto 0) := (others => '0'); … … 160 168 signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending 161 169 signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets. 170 171 signal mac_loc : mac_type; 172 signal ip_loc : ip_type; 173 signal gateway_loc : ip_type; 174 signal netmask_loc : ip_type; 175 162 176 163 177 begin … … 274 288 -- Init 275 289 when INIT => 290 -- status of MAC jumpers is synched in 291 -- and Board- and CrateID are synched in 292 FAD_in_cam <= MAC_jumper(1); -- see position of jumpers in FACT logbook 293 FAD_at_ETHZ <= MAC_jumper(0); -- MAC_jumper(1) is where D_T(7) was; MAC_jumper(0) is where D_T(6) was; 294 bid <= BoardID; 295 cid <= CrateID; 296 -- 276 297 par_addr <= W5300_MR; 277 298 par_data <= X"0000"; 278 299 state_init <= WRITE_REG; 279 next_state <= IM; 300 next_state <= LOCATE; 301 302 when LOCATE => 303 state_init <= IM; 304 305 if (FAD_in_cam = '1') then 306 -- if BID = "1111" and CID="11" then FAD is not really in cam 307 -- back to INIT !! endless loop 308 if (bid = "1111" and cid="11") then 309 -- this should never happen!!!!! 310 -- impossible to find this out, if in cam 311 state_init <= INIT; 312 else -- everything is fine 313 -- IP & MAC are calculated from BID & CID 314 -- code here 315 gateway_loc <= CAM_GATEWAY; 316 netmask_loc <= CAM_NETMASK; 317 mac_loc <= (CAM_MAC_prefix (0), CAM_MAC_prefix (1) , conv_std_logic_vector ( conv_integer(cid)*10+conv_integer(bid) , 16) ); 318 ip_loc <= ( CAM_IP_PREFIX(0) , CAM_IP_PREFIX(1) , IP_offset + conv_integer(cid) , IP_offset + conv_integer(bid) ); 319 end if; 320 else -- FAD is tested, eighther at ETHZ or at TUDO AND eighther with FMP or without. 321 if ( FAD_at_ETHZ = '0' ) then 322 -- easy FAD is at TUDO -> only one choice. 323 mac_loc <= MAC_FAD0; 324 ip_loc <= IP_TUDO; 325 gateway_loc <= TUDO_GATEWAY; 326 netmask_loc <= TUDO_NETMASK; 327 else -- FAD is at ETHZ but not in cam --> IP lookup table is needed. 328 if (bid = "1111" and cid="11") then -- FAD is not in crate 329 mac_loc <= MAC_FAD0; 330 ip_loc <= IP_ETHZ_FAD0; 331 gateway_loc <= ETHZ_GATEWAY; 332 netmask_loc <= ETHZ_NETMASK; 333 else 334 -- FAD is at ETHZ and in crate: 335 -- crate ID is not of importance. 336 -- we only have 3 MACs and IPs so far, so only the first boardIDs are allowed. 337 if ( conv_integer(bid) < MAC_LIST'length) then 338 gateway_loc <= ETHZ_GATEWAY; 339 netmask_loc <= ETHZ_NETMASK; 340 mac_loc <= MAC_LIST(conv_integer(bid)); 341 ip_loc <= IP_LIST(conv_integer(bid)); 342 end if; -- conv_integer 343 end if; -- bid=1111 & cid=11 344 end if; --FAD_at_ETHZ = 0 345 end if; --FAD_in_cam = 1 280 346 281 347 -- Interrupt Mask … … 336 402 when MAC => 337 403 par_addr <= W5300_SHAR; 338 par_data <= MAC_ADDRESS(0);404 par_data <= mac_loc(0); 339 405 state_init <= WRITE_REG; 340 406 next_state <= MAC1; 341 407 when MAC1 => 342 408 par_addr <= W5300_SHAR + 2; 343 par_data <= MAC_ADDRESS(1);409 par_data <= mac_loc(1); 344 410 state_init <= WRITE_REG; 345 411 next_state <= MAC2; 346 412 when MAC2 => 347 413 par_addr <= W5300_SHAR + 4; 348 par_data <= MAC_ADDRESS(2);414 par_data <= mac_loc(2); 349 415 state_init <= WRITE_REG; 350 416 next_state <= GW; … … 353 419 when GW => 354 420 par_addr <= W5300_GAR; 355 par_data (15 downto 8) <= conv_std_logic_vector( GATEWAY(0),8);356 par_data (7 downto 0) <= conv_std_logic_vector( GATEWAY(1),8);421 par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(0),8); 422 par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(1),8); 357 423 state_init <= WRITE_REG; 358 424 next_state <= GW1; 359 425 when GW1 => 360 426 par_addr <= W5300_GAR + 2; 361 par_data (15 downto 8) <= conv_std_logic_vector( GATEWAY(2),8);362 par_data (7 downto 0) <= conv_std_logic_vector( GATEWAY(3),8);427 par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(2),8); 428 par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(3),8); 363 429 state_init <= WRITE_REG; 364 430 next_state <= SNM; … … 367 433 when SNM => 368 434 par_addr <= W5300_SUBR; 369 par_data (15 downto 8) <= conv_std_logic_vector( NETMASK(0),8);370 par_data (7 downto 0) <= conv_std_logic_vector( NETMASK(1),8);435 par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(0),8); 436 par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(1),8); 371 437 state_init <= WRITE_REG; 372 438 next_state <= SNM1; 373 439 when SNM1 => 374 440 par_addr <= W5300_SUBR + 2; 375 par_data (15 downto 8) <= conv_std_logic_vector( NETMASK(2),8);376 par_data (7 downto 0) <= conv_std_logic_vector( NETMASK(3),8);441 par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(2),8); 442 par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(3),8); 377 443 state_init <= WRITE_REG; 378 444 next_state <= IP; 379 445 -- Own IP-Address 380 446 when IP => 447 led(0) <= '1'; 448 led(1) <= '1'; 449 led(2) <= '1'; 450 381 451 par_addr <= W5300_SIPR; 382 par_data (15 downto 8) <= conv_std_logic_vector( IP_ADDRESS(0),8);383 par_data (7 downto 0) <= conv_std_logic_vector( IP_ADDRESS(1),8);452 par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(0),8); 453 par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(1),8); 384 454 state_init <= WRITE_REG; 385 455 next_state <= IP1; 386 456 when IP1 => 387 457 par_addr <= W5300_SIPR + 2; 388 par_data (15 downto 8) <= conv_std_logic_vector( IP_ADDRESS(2),8);389 par_data (7 downto 0) <= conv_std_logic_vector( IP_ADDRESS(3),8);458 par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(2),8); 459 par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(3),8); 390 460 state_init <= WRITE_REG; 391 461 next_state <= SI; … … 404 474 -- Socket Init 405 475 when SI => 476 led(3) <= '1'; 406 477 par_addr <= W5300_S0_MR + socket_cnt * W5300_S_INC; 407 478 par_data <= X"0101"; -- ALIGN, TCP … … 435 506 end if; 436 507 when SI6 => 508 led(4) <= '1'; 437 509 par_addr <= W5300_S0_CR + socket_cnt * W5300_S_INC; 438 510 par_data <= X"0002"; -- LISTEN … … 448 520 449 521 when ESTABLISH => 522 led(5) <= '1'; 450 523 socks_waiting <= '1'; 451 524 socks_connected <= '0'; … … 607 680 --trigger_stop <= '1'; 608 681 state_read_data <= RD_5; 682 when CMD_SET_TRIGGER_MULT => 683 c_trigger_mult <= data_read (7 downto 0); 684 state_read_data <= RD_5; 685 609 686 -- phase shift commands here: 610 687 when CMD_PS_DO => -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_board_struct.xrf
r10121 r10123 37 37 DESIGN @f@a@d_@board 38 38 VIEW symbol.sb 39 GRAPHIC 4 165,0 24 040 DESIGN @f@a@d_@board 41 VIEW symbol.sb 42 GRAPHIC 42 64,0 25 043 DESIGN @f@a@d_@board 44 VIEW symbol.sb 45 GRAPHIC 3581,0 26 046 DESIGN @f@a@d_@board 47 VIEW symbol.sb 48 GRAPHIC 3 687,0 27 049 DESIGN @f@a@d_@board 50 VIEW symbol.sb 51 GRAPHIC 36 92,0 28 052 DESIGN @f@a@d_@board 53 VIEW symbol.sb 54 GRAPHIC 1121,0 29 055 DESIGN @f@a@d_@board 56 VIEW symbol.sb 57 GRAPHIC 1 421,0 30 058 DESIGN @f@a@d_@board 59 VIEW symbol.sb 60 GRAPHIC 1 116,0 31 061 DESIGN @f@a@d_@board 62 VIEW symbol.sb 63 GRAPHIC 3456,0 32 064 DESIGN @f@a@d_@board 65 VIEW symbol.sb 66 GRAPHIC 3 026,0 33 067 DESIGN @f@a@d_@board 68 VIEW symbol.sb 69 GRAPHIC 4033,0 34 070 DESIGN @f@a@d_@board 71 VIEW symbol.sb 72 GRAPHIC 1126,0 35 073 DESIGN @f@a@d_@board 74 VIEW symbol.sb 75 GRAPHIC 1 227,0 36 076 DESIGN @f@a@d_@board 77 VIEW symbol.sb 78 GRAPHIC 12 32,0 37 079 DESIGN @f@a@d_@board 80 VIEW symbol.sb 81 GRAPHIC 123 7,0 38 082 DESIGN @f@a@d_@board 83 VIEW symbol.sb 84 GRAPHIC 12 42,0 39 085 DESIGN @f@a@d_@board 86 VIEW symbol.sb 87 GRAPHIC 1 363,0 40 088 DESIGN @f@a@d_@board 89 VIEW symbol.sb 90 GRAPHIC 1 701,0 41 091 DESIGN @f@a@d_@board 92 VIEW symbol.sb 93 GRAPHIC 1 308,0 42 094 DESIGN @f@a@d_@board 95 VIEW symbol.sb 96 GRAPHIC 130 2,0 43 097 DESIGN @f@a@d_@board 98 VIEW symbol.sb 99 GRAPHIC 2068,0 44 0100 DESIGN @f@a@d_@board 101 VIEW symbol.sb 102 GRAPHIC 2 949,0 45 0103 DESIGN @f@a@d_@board 104 VIEW symbol.sb 105 GRAPHIC 1711,0 46 0106 DESIGN @f@a@d_@board 107 VIEW symbol.sb 108 GRAPHIC 4038,0 47 0109 DESIGN @f@a@d_@board 110 VIEW symbol.sb 111 GRAPHIC 1620,0 48 0112 DESIGN @f@a@d_@board 113 VIEW symbol.sb 114 GRAPHIC 1 166,0 49 0115 DESIGN @f@a@d_@board 116 VIEW symbol.sb 117 GRAPHIC 4043,0 50 0118 DESIGN @f@a@d_@board 119 VIEW symbol.sb 120 GRAPHIC 1686,0 51 0121 DESIGN @f@a@d_@board 122 VIEW symbol.sb 123 GRAPHIC 3586,0 52 0124 DESIGN @f@a@d_@board 125 VIEW symbol.sb 126 GRAPHIC 1681,0 53 0127 DESIGN @f@a@d_@board 128 VIEW symbol.sb 129 GRAPHIC 16 96,0 54 0130 DESIGN @f@a@d_@board 131 VIEW symbol.sb 132 GRAPHIC 169 1,0 55 0133 DESIGN @f@a@d_@board 134 VIEW symbol.sb 135 GRAPHIC 693,0 56 0136 DESIGN @f@a@d_@board 137 VIEW symbol.sb 138 GRAPHIC 1706,0 57 0139 DESIGN @f@a@d_@board 140 VIEW symbol.sb 141 GRAPHIC 1 388,0 58 0142 DESIGN @f@a@d_@board 143 VIEW symbol.sb 144 GRAPHIC 13 68,0 59 0145 DESIGN @f@a@d_@board 146 VIEW symbol.sb 147 GRAPHIC 13 73,0 60 0148 DESIGN @f@a@d_@board 149 VIEW symbol.sb 150 GRAPHIC 137 8,0 61 0151 DESIGN @f@a@d_@board 152 VIEW symbol.sb 153 GRAPHIC 13 83,0 62 0154 DESIGN @f@a@d_@board 155 VIEW symbol.sb 156 GRAPHIC 1 676,0 63 0157 DESIGN @f@a@d_@board 158 VIEW symbol.sb 159 GRAPHIC 1 393,0 64 0160 DESIGN @f@a@d_@board 161 VIEW symbol.sb 162 GRAPHIC 1 426,0 65 0163 DESIGN @f@a@d_@board 164 VIEW symbol.sb 165 GRAPHIC 14 09,0 66 0166 DESIGN @f@a@d_@board 167 VIEW symbol.sb 168 GRAPHIC 140 3,0 67 0169 DESIGN @f@a@d_@board 170 VIEW symbol.sb 171 GRAPHIC 14 15,0 68 0172 DESIGN @f@a@d_@board 173 VIEW symbol.sb 174 GRAPHIC 1 626,0 69 0175 DESIGN @f@a@d_@board 176 VIEW symbol.sb 177 GRAPHIC 1 398,0 70 0178 DESIGN @f@a@d_@board 179 VIEW symbol.sb 180 GRAPHIC 1 ,0 73039 GRAPHIC 4324,0 24 0 40 DESIGN @f@a@d_@board 41 VIEW symbol.sb 42 GRAPHIC 4294,0 25 0 43 DESIGN @f@a@d_@board 44 VIEW symbol.sb 45 GRAPHIC 4264,0 26 0 46 DESIGN @f@a@d_@board 47 VIEW symbol.sb 48 GRAPHIC 3581,0 27 0 49 DESIGN @f@a@d_@board 50 VIEW symbol.sb 51 GRAPHIC 3687,0 28 0 52 DESIGN @f@a@d_@board 53 VIEW symbol.sb 54 GRAPHIC 3692,0 29 0 55 DESIGN @f@a@d_@board 56 VIEW symbol.sb 57 GRAPHIC 1121,0 30 0 58 DESIGN @f@a@d_@board 59 VIEW symbol.sb 60 GRAPHIC 1421,0 31 0 61 DESIGN @f@a@d_@board 62 VIEW symbol.sb 63 GRAPHIC 1116,0 32 0 64 DESIGN @f@a@d_@board 65 VIEW symbol.sb 66 GRAPHIC 3456,0 33 0 67 DESIGN @f@a@d_@board 68 VIEW symbol.sb 69 GRAPHIC 3026,0 34 0 70 DESIGN @f@a@d_@board 71 VIEW symbol.sb 72 GRAPHIC 4033,0 35 0 73 DESIGN @f@a@d_@board 74 VIEW symbol.sb 75 GRAPHIC 1126,0 36 0 76 DESIGN @f@a@d_@board 77 VIEW symbol.sb 78 GRAPHIC 1227,0 37 0 79 DESIGN @f@a@d_@board 80 VIEW symbol.sb 81 GRAPHIC 1232,0 38 0 82 DESIGN @f@a@d_@board 83 VIEW symbol.sb 84 GRAPHIC 1237,0 39 0 85 DESIGN @f@a@d_@board 86 VIEW symbol.sb 87 GRAPHIC 1242,0 40 0 88 DESIGN @f@a@d_@board 89 VIEW symbol.sb 90 GRAPHIC 1363,0 41 0 91 DESIGN @f@a@d_@board 92 VIEW symbol.sb 93 GRAPHIC 1701,0 42 0 94 DESIGN @f@a@d_@board 95 VIEW symbol.sb 96 GRAPHIC 1308,0 43 0 97 DESIGN @f@a@d_@board 98 VIEW symbol.sb 99 GRAPHIC 1302,0 44 0 100 DESIGN @f@a@d_@board 101 VIEW symbol.sb 102 GRAPHIC 2068,0 45 0 103 DESIGN @f@a@d_@board 104 VIEW symbol.sb 105 GRAPHIC 2949,0 46 0 106 DESIGN @f@a@d_@board 107 VIEW symbol.sb 108 GRAPHIC 1711,0 47 0 109 DESIGN @f@a@d_@board 110 VIEW symbol.sb 111 GRAPHIC 4038,0 48 0 112 DESIGN @f@a@d_@board 113 VIEW symbol.sb 114 GRAPHIC 1620,0 49 0 115 DESIGN @f@a@d_@board 116 VIEW symbol.sb 117 GRAPHIC 1166,0 50 0 118 DESIGN @f@a@d_@board 119 VIEW symbol.sb 120 GRAPHIC 4043,0 51 0 121 DESIGN @f@a@d_@board 122 VIEW symbol.sb 123 GRAPHIC 1686,0 52 0 124 DESIGN @f@a@d_@board 125 VIEW symbol.sb 126 GRAPHIC 3586,0 53 0 127 DESIGN @f@a@d_@board 128 VIEW symbol.sb 129 GRAPHIC 1681,0 54 0 130 DESIGN @f@a@d_@board 131 VIEW symbol.sb 132 GRAPHIC 1696,0 55 0 133 DESIGN @f@a@d_@board 134 VIEW symbol.sb 135 GRAPHIC 1691,0 56 0 136 DESIGN @f@a@d_@board 137 VIEW symbol.sb 138 GRAPHIC 693,0 57 0 139 DESIGN @f@a@d_@board 140 VIEW symbol.sb 141 GRAPHIC 1706,0 58 0 142 DESIGN @f@a@d_@board 143 VIEW symbol.sb 144 GRAPHIC 1388,0 59 0 145 DESIGN @f@a@d_@board 146 VIEW symbol.sb 147 GRAPHIC 1368,0 60 0 148 DESIGN @f@a@d_@board 149 VIEW symbol.sb 150 GRAPHIC 1373,0 61 0 151 DESIGN @f@a@d_@board 152 VIEW symbol.sb 153 GRAPHIC 1378,0 62 0 154 DESIGN @f@a@d_@board 155 VIEW symbol.sb 156 GRAPHIC 1383,0 63 0 157 DESIGN @f@a@d_@board 158 VIEW symbol.sb 159 GRAPHIC 1676,0 64 0 160 DESIGN @f@a@d_@board 161 VIEW symbol.sb 162 GRAPHIC 1393,0 65 0 163 DESIGN @f@a@d_@board 164 VIEW symbol.sb 165 GRAPHIC 1426,0 66 0 166 DESIGN @f@a@d_@board 167 VIEW symbol.sb 168 GRAPHIC 1409,0 67 0 169 DESIGN @f@a@d_@board 170 VIEW symbol.sb 171 GRAPHIC 1403,0 68 0 172 DESIGN @f@a@d_@board 173 VIEW symbol.sb 174 GRAPHIC 1415,0 69 0 175 DESIGN @f@a@d_@board 176 VIEW symbol.sb 177 GRAPHIC 1626,0 70 0 178 DESIGN @f@a@d_@board 179 VIEW symbol.sb 180 GRAPHIC 1398,0 71 0 181 181 DESIGN @f@a@d_@board 182 182 VIEW symbol.sb 183 183 GRAPHIC 1,0 74 0 184 184 DESIGN @f@a@d_@board 185 VIEW struct.bd 186 NO_GRAPHIC 77 187 DESIGN @f@a@d_@board 188 VIEW struct.bd 189 GRAPHIC 41,0 86 0 190 DESIGN @f@a@d_@board 191 VIEW struct.bd 192 NO_GRAPHIC 94 193 DESIGN @f@a@d_@board 194 VIEW struct.bd 195 GRAPHIC 0,0 97 2 196 DESIGN @f@a@d_@board 197 VIEW struct.bd 198 GRAPHIC 10504,0 102 0 199 DESIGN @f@a@d_@board 200 VIEW struct.bd 201 GRAPHIC 10512,0 103 0 202 DESIGN @f@a@d_@board 203 VIEW struct.bd 204 GRAPHIC 10496,0 104 0 205 DESIGN @f@a@d_@board 206 VIEW struct.bd 207 GRAPHIC 3268,0 105 0 208 DESIGN @f@a@d_@board 209 VIEW struct.bd 210 GRAPHIC 10032,0 106 0 211 DESIGN @f@a@d_@board 212 VIEW struct.bd 213 GRAPHIC 9500,0 107 0 214 DESIGN @f@a@d_@board 215 VIEW struct.bd 216 GRAPHIC 10552,0 108 0 217 DESIGN @f@a@d_@board 218 VIEW struct.bd 219 GRAPHIC 10592,0 109 0 220 DESIGN @f@a@d_@board 221 VIEW struct.bd 222 GRAPHIC 10584,0 110 0 223 DESIGN @f@a@d_@board 224 VIEW struct.bd 225 GRAPHIC 10576,0 111 0 226 DESIGN @f@a@d_@board 227 VIEW struct.bd 228 GRAPHIC 10544,0 112 0 229 DESIGN @f@a@d_@board 230 VIEW struct.bd 231 GRAPHIC 10520,0 113 0 232 DESIGN @f@a@d_@board 233 VIEW struct.bd 234 GRAPHIC 2421,0 114 0 235 DESIGN @f@a@d_@board 236 VIEW struct.bd 237 GRAPHIC 10050,0 115 0 238 DESIGN @f@a@d_@board 239 VIEW struct.bd 240 GRAPHIC 1465,0 116 0 241 DESIGN @f@a@d_@board 242 VIEW struct.bd 243 GRAPHIC 275,0 117 0 244 DESIGN @f@a@d_@board 245 VIEW struct.bd 246 GRAPHIC 283,0 118 0 247 DESIGN @f@a@d_@board 248 VIEW struct.bd 249 GRAPHIC 8851,0 119 0 250 DESIGN @f@a@d_@board 251 VIEW struct.bd 252 GRAPHIC 7485,0 120 0 253 DESIGN @f@a@d_@board 254 VIEW struct.bd 255 GRAPHIC 10560,0 121 0 256 DESIGN @f@a@d_@board 257 VIEW struct.bd 258 GRAPHIC 3019,0 122 0 259 DESIGN @f@a@d_@board 260 VIEW struct.bd 261 GRAPHIC 10568,0 124 0 262 DESIGN @f@a@d_@board 263 VIEW struct.bd 264 NO_GRAPHIC 125 265 DESIGN @f@a@d_@board 266 VIEW struct.bd 267 GRAPHIC 13570,0 127 0 268 DESIGN @f@a@d_@board 269 VIEW struct.bd 270 NO_GRAPHIC 129 185 VIEW symbol.sb 186 GRAPHIC 1,0 75 0 187 DESIGN @f@a@d_@board 188 VIEW struct.bd 189 NO_GRAPHIC 78 190 DESIGN @f@a@d_@board 191 VIEW struct.bd 192 GRAPHIC 41,0 87 0 193 DESIGN @f@a@d_@board 194 VIEW struct.bd 195 NO_GRAPHIC 95 196 DESIGN @f@a@d_@board 197 VIEW struct.bd 198 GRAPHIC 0,0 98 2 199 DESIGN @f@a@d_@board 200 VIEW struct.bd 201 GRAPHIC 10504,0 103 0 202 DESIGN @f@a@d_@board 203 VIEW struct.bd 204 GRAPHIC 10512,0 104 0 205 DESIGN @f@a@d_@board 206 VIEW struct.bd 207 GRAPHIC 10496,0 105 0 208 DESIGN @f@a@d_@board 209 VIEW struct.bd 210 GRAPHIC 3268,0 106 0 211 DESIGN @f@a@d_@board 212 VIEW struct.bd 213 GRAPHIC 10032,0 107 0 214 DESIGN @f@a@d_@board 215 VIEW struct.bd 216 GRAPHIC 9500,0 108 0 217 DESIGN @f@a@d_@board 218 VIEW struct.bd 219 GRAPHIC 10552,0 109 0 220 DESIGN @f@a@d_@board 221 VIEW struct.bd 222 GRAPHIC 10592,0 110 0 223 DESIGN @f@a@d_@board 224 VIEW struct.bd 225 GRAPHIC 10584,0 111 0 226 DESIGN @f@a@d_@board 227 VIEW struct.bd 228 GRAPHIC 10576,0 112 0 229 DESIGN @f@a@d_@board 230 VIEW struct.bd 231 GRAPHIC 10544,0 113 0 232 DESIGN @f@a@d_@board 233 VIEW struct.bd 234 GRAPHIC 10520,0 114 0 235 DESIGN @f@a@d_@board 236 VIEW struct.bd 237 GRAPHIC 2421,0 115 0 238 DESIGN @f@a@d_@board 239 VIEW struct.bd 240 GRAPHIC 10050,0 116 0 241 DESIGN @f@a@d_@board 242 VIEW struct.bd 243 GRAPHIC 1465,0 117 0 244 DESIGN @f@a@d_@board 245 VIEW struct.bd 246 GRAPHIC 275,0 118 0 247 DESIGN @f@a@d_@board 248 VIEW struct.bd 249 GRAPHIC 283,0 119 0 250 DESIGN @f@a@d_@board 251 VIEW struct.bd 252 GRAPHIC 8851,0 120 0 253 DESIGN @f@a@d_@board 254 VIEW struct.bd 255 GRAPHIC 7485,0 121 0 256 DESIGN @f@a@d_@board 257 VIEW struct.bd 258 GRAPHIC 15173,0 122 0 259 DESIGN @f@a@d_@board 260 VIEW struct.bd 261 GRAPHIC 10560,0 123 0 262 DESIGN @f@a@d_@board 263 VIEW struct.bd 264 GRAPHIC 3019,0 124 0 265 DESIGN @f@a@d_@board 266 VIEW struct.bd 267 GRAPHIC 10568,0 126 0 268 DESIGN @f@a@d_@board 269 VIEW struct.bd 270 NO_GRAPHIC 127 271 DESIGN @f@a@d_@board 272 VIEW struct.bd 273 GRAPHIC 13570,0 129 0 274 DESIGN @f@a@d_@board 275 VIEW struct.bd 276 NO_GRAPHIC 131 271 277 LIBRARY FACT_FAD_lib 272 278 DESIGN @f@a@d_main 273 279 VIEW struct 274 GRAPHIC 169,0 131 0 275 DESIGN @f@a@d_main 276 VIEW symbol.sb 277 GRAPHIC 14,0 132 1 278 DESIGN @f@a@d_main 279 VIEW symbol.sb 280 GRAPHIC 1755,0 136 0 281 DESIGN @f@a@d_main 282 VIEW symbol.sb 283 GRAPHIC 2710,0 137 0 284 DESIGN @f@a@d_main 285 VIEW symbol.sb 286 GRAPHIC 2715,0 138 0 287 DESIGN @f@a@d_main 288 VIEW symbol.sb 289 GRAPHIC 2720,0 139 0 290 DESIGN @f@a@d_main 291 VIEW symbol.sb 292 GRAPHIC 2725,0 140 0 293 DESIGN @f@a@d_main 294 VIEW symbol.sb 295 GRAPHIC 2282,0 141 0 296 DESIGN @f@a@d_main 297 VIEW symbol.sb 298 GRAPHIC 1976,0 142 0 299 DESIGN @f@a@d_main 300 VIEW symbol.sb 301 GRAPHIC 923,0 143 0 302 DESIGN @f@a@d_main 303 VIEW symbol.sb 304 GRAPHIC 928,0 144 0 305 DESIGN @f@a@d_main 306 VIEW symbol.sb 307 GRAPHIC 464,0 145 0 308 DESIGN @f@a@d_main 309 VIEW symbol.sb 310 GRAPHIC 1062,0 146 0 311 DESIGN @f@a@d_main 312 VIEW symbol.sb 313 GRAPHIC 4584,0 147 0 314 DESIGN @f@a@d_main 315 VIEW symbol.sb 316 GRAPHIC 4589,0 148 0 317 DESIGN @f@a@d_main 318 VIEW symbol.sb 319 GRAPHIC 4579,0 149 0 320 DESIGN @f@a@d_main 321 VIEW symbol.sb 322 GRAPHIC 1389,0 150 0 323 DESIGN @f@a@d_main 324 VIEW symbol.sb 325 GRAPHIC 1725,0 151 0 326 DESIGN @f@a@d_main 327 VIEW symbol.sb 328 GRAPHIC 4497,0 152 0 329 DESIGN @f@a@d_main 330 VIEW symbol.sb 331 GRAPHIC 4467,0 153 0 332 DESIGN @f@a@d_main 333 VIEW symbol.sb 334 GRAPHIC 4487,0 154 0 335 DESIGN @f@a@d_main 336 VIEW symbol.sb 337 GRAPHIC 4472,0 155 0 338 DESIGN @f@a@d_main 339 VIEW symbol.sb 340 GRAPHIC 4477,0 156 0 341 DESIGN @f@a@d_main 342 VIEW symbol.sb 343 GRAPHIC 4517,0 157 0 344 DESIGN @f@a@d_main 345 VIEW symbol.sb 346 GRAPHIC 2987,0 158 0 347 DESIGN @f@a@d_main 348 VIEW symbol.sb 349 GRAPHIC 2992,0 159 0 350 DESIGN @f@a@d_main 351 VIEW symbol.sb 352 GRAPHIC 4780,0 160 0 353 DESIGN @f@a@d_main 354 VIEW symbol.sb 355 GRAPHIC 4323,0 161 0 356 DESIGN @f@a@d_main 357 VIEW symbol.sb 358 GRAPHIC 833,0 162 0 359 DESIGN @f@a@d_main 360 VIEW symbol.sb 361 GRAPHIC 5206,0 163 0 362 DESIGN @f@a@d_main 363 VIEW symbol.sb 364 GRAPHIC 4911,0 164 0 365 DESIGN @f@a@d_main 366 VIEW symbol.sb 367 GRAPHIC 3641,0 165 0 368 DESIGN @f@a@d_main 369 VIEW symbol.sb 370 GRAPHIC 4144,0 166 0 371 DESIGN @f@a@d_main 372 VIEW symbol.sb 373 GRAPHIC 2448,0 167 0 374 DESIGN @f@a@d_main 375 VIEW symbol.sb 376 GRAPHIC 2453,0 168 0 377 DESIGN @f@a@d_main 378 VIEW symbol.sb 379 GRAPHIC 4906,0 169 0 380 DESIGN @f@a@d_main 381 VIEW symbol.sb 382 GRAPHIC 163,0 170 0 383 DESIGN @f@a@d_main 384 VIEW symbol.sb 385 GRAPHIC 4067,0 171 0 386 DESIGN @f@a@d_main 387 VIEW symbol.sb 388 GRAPHIC 4502,0 172 0 389 DESIGN @f@a@d_main 390 VIEW symbol.sb 391 GRAPHIC 4512,0 173 0 392 DESIGN @f@a@d_main 393 VIEW symbol.sb 394 GRAPHIC 4916,0 174 0 395 DESIGN @f@a@d_main 396 VIEW symbol.sb 397 GRAPHIC 3631,0 175 0 398 DESIGN @f@a@d_main 399 VIEW symbol.sb 400 GRAPHIC 3646,0 176 0 401 DESIGN @f@a@d_main 402 VIEW symbol.sb 403 GRAPHIC 4507,0 177 0 404 DESIGN @f@a@d_main 405 VIEW symbol.sb 406 GRAPHIC 1037,0 179 0 407 DESIGN @f@a@d_main 408 VIEW symbol.sb 409 GRAPHIC 1047,0 180 0 410 DESIGN @f@a@d_main 411 VIEW symbol.sb 412 GRAPHIC 1057,0 181 0 413 DESIGN @f@a@d_main 414 VIEW symbol.sb 415 GRAPHIC 135,0 182 0 416 DESIGN @f@a@d_main 417 VIEW symbol.sb 418 GRAPHIC 1052,0 183 0 419 DESIGN @f@a@d_main 420 VIEW symbol.sb 421 GRAPHIC 3636,0 184 0 422 DESIGN @f@a@d_main 423 VIEW symbol.sb 424 GRAPHIC 1042,0 185 0 280 GRAPHIC 169,0 133 0 281 DESIGN @f@a@d_main 282 VIEW symbol.sb 283 GRAPHIC 14,0 134 1 284 DESIGN @f@a@d_main 285 VIEW symbol.sb 286 GRAPHIC 1755,0 138 0 287 DESIGN @f@a@d_main 288 VIEW symbol.sb 289 GRAPHIC 5328,0 139 0 290 DESIGN @f@a@d_main 291 VIEW symbol.sb 292 GRAPHIC 2710,0 140 0 293 DESIGN @f@a@d_main 294 VIEW symbol.sb 295 GRAPHIC 2715,0 141 0 296 DESIGN @f@a@d_main 297 VIEW symbol.sb 298 GRAPHIC 2720,0 142 0 299 DESIGN @f@a@d_main 300 VIEW symbol.sb 301 GRAPHIC 2725,0 143 0 302 DESIGN @f@a@d_main 303 VIEW symbol.sb 304 GRAPHIC 2282,0 144 0 305 DESIGN @f@a@d_main 306 VIEW symbol.sb 307 GRAPHIC 1976,0 145 0 308 DESIGN @f@a@d_main 309 VIEW symbol.sb 310 GRAPHIC 923,0 146 0 311 DESIGN @f@a@d_main 312 VIEW symbol.sb 313 GRAPHIC 928,0 147 0 314 DESIGN @f@a@d_main 315 VIEW symbol.sb 316 GRAPHIC 464,0 148 0 317 DESIGN @f@a@d_main 318 VIEW symbol.sb 319 GRAPHIC 1062,0 149 0 320 DESIGN @f@a@d_main 321 VIEW symbol.sb 322 GRAPHIC 4584,0 150 0 323 DESIGN @f@a@d_main 324 VIEW symbol.sb 325 GRAPHIC 4589,0 151 0 326 DESIGN @f@a@d_main 327 VIEW symbol.sb 328 GRAPHIC 4579,0 152 0 329 DESIGN @f@a@d_main 330 VIEW symbol.sb 331 GRAPHIC 1389,0 153 0 332 DESIGN @f@a@d_main 333 VIEW symbol.sb 334 GRAPHIC 1725,0 154 0 335 DESIGN @f@a@d_main 336 VIEW symbol.sb 337 GRAPHIC 4497,0 155 0 338 DESIGN @f@a@d_main 339 VIEW symbol.sb 340 GRAPHIC 4467,0 156 0 341 DESIGN @f@a@d_main 342 VIEW symbol.sb 343 GRAPHIC 4487,0 157 0 344 DESIGN @f@a@d_main 345 VIEW symbol.sb 346 GRAPHIC 4472,0 158 0 347 DESIGN @f@a@d_main 348 VIEW symbol.sb 349 GRAPHIC 4477,0 159 0 350 DESIGN @f@a@d_main 351 VIEW symbol.sb 352 GRAPHIC 4517,0 160 0 353 DESIGN @f@a@d_main 354 VIEW symbol.sb 355 GRAPHIC 2987,0 161 0 356 DESIGN @f@a@d_main 357 VIEW symbol.sb 358 GRAPHIC 2992,0 162 0 359 DESIGN @f@a@d_main 360 VIEW symbol.sb 361 GRAPHIC 4780,0 163 0 362 DESIGN @f@a@d_main 363 VIEW symbol.sb 364 GRAPHIC 4323,0 164 0 365 DESIGN @f@a@d_main 366 VIEW symbol.sb 367 GRAPHIC 833,0 165 0 368 DESIGN @f@a@d_main 369 VIEW symbol.sb 370 GRAPHIC 5206,0 166 0 371 DESIGN @f@a@d_main 372 VIEW symbol.sb 373 GRAPHIC 4911,0 167 0 374 DESIGN @f@a@d_main 375 VIEW symbol.sb 376 GRAPHIC 3641,0 168 0 377 DESIGN @f@a@d_main 378 VIEW symbol.sb 379 GRAPHIC 4144,0 169 0 380 DESIGN @f@a@d_main 381 VIEW symbol.sb 382 GRAPHIC 2448,0 170 0 383 DESIGN @f@a@d_main 384 VIEW symbol.sb 385 GRAPHIC 2453,0 171 0 386 DESIGN @f@a@d_main 387 VIEW symbol.sb 388 GRAPHIC 4906,0 172 0 389 DESIGN @f@a@d_main 390 VIEW symbol.sb 391 GRAPHIC 163,0 173 0 392 DESIGN @f@a@d_main 393 VIEW symbol.sb 394 GRAPHIC 4067,0 174 0 395 DESIGN @f@a@d_main 396 VIEW symbol.sb 397 GRAPHIC 4502,0 175 0 398 DESIGN @f@a@d_main 399 VIEW symbol.sb 400 GRAPHIC 4512,0 176 0 401 DESIGN @f@a@d_main 402 VIEW symbol.sb 403 GRAPHIC 4916,0 177 0 404 DESIGN @f@a@d_main 405 VIEW symbol.sb 406 GRAPHIC 3631,0 178 0 407 DESIGN @f@a@d_main 408 VIEW symbol.sb 409 GRAPHIC 3646,0 179 0 410 DESIGN @f@a@d_main 411 VIEW symbol.sb 412 GRAPHIC 4507,0 180 0 413 DESIGN @f@a@d_main 414 VIEW symbol.sb 415 GRAPHIC 1037,0 182 0 416 DESIGN @f@a@d_main 417 VIEW symbol.sb 418 GRAPHIC 1047,0 183 0 419 DESIGN @f@a@d_main 420 VIEW symbol.sb 421 GRAPHIC 1057,0 184 0 422 DESIGN @f@a@d_main 423 VIEW symbol.sb 424 GRAPHIC 135,0 185 0 425 DESIGN @f@a@d_main 426 VIEW symbol.sb 427 GRAPHIC 1052,0 186 0 428 DESIGN @f@a@d_main 429 VIEW symbol.sb 430 GRAPHIC 3636,0 187 0 431 DESIGN @f@a@d_main 432 VIEW symbol.sb 433 GRAPHIC 1042,0 188 0 425 434 LIBRARY FACT_FAD_lib 426 435 DESIGN @f@a@d_@board 427 436 VIEW struct.bd 428 NO_GRAPHIC 188 429 DESIGN @f@a@d_@board 430 VIEW struct.bd 431 GRAPHIC 169,0 191 0 432 DESIGN @f@a@d_@board 433 VIEW struct.bd 434 NO_GRAPHIC 194 435 DESIGN @f@a@d_@board 436 VIEW struct.bd 437 GRAPHIC 265,0 197 0 438 DESIGN @f@a@d_@board 439 VIEW struct.bd 440 NO_GRAPHIC 201 441 DESIGN @f@a@d_@board 442 VIEW struct.bd 443 GRAPHIC 3248,0 202 0 444 DESIGN @f@a@d_@board 445 VIEW struct.bd 446 NO_GRAPHIC 208 447 DESIGN @f@a@d_@board 448 VIEW struct.bd 449 GRAPHIC 3300,0 209 0 450 DESIGN @f@a@d_@board 451 VIEW struct.bd 452 NO_GRAPHIC 215 453 DESIGN @f@a@d_@board 454 VIEW struct.bd 455 GRAPHIC 3394,0 216 0 456 DESIGN @f@a@d_@board 457 VIEW struct.bd 458 NO_GRAPHIC 222 459 DESIGN @f@a@d_@board 460 VIEW struct.bd 461 GRAPHIC 3542,0 223 0 462 DESIGN @f@a@d_@board 463 VIEW struct.bd 464 NO_GRAPHIC 229 465 DESIGN @f@a@d_@board 466 VIEW struct.bd 467 GRAPHIC 3700,0 230 0 468 DESIGN @f@a@d_@board 469 VIEW struct.bd 470 NO_GRAPHIC 244 471 DESIGN @f@a@d_@board 472 VIEW struct.bd 473 GRAPHIC 7092,0 245 0 474 DESIGN @f@a@d_@board 475 VIEW struct.bd 476 NO_GRAPHIC 248 477 DESIGN @f@a@d_@board 478 VIEW struct.bd 479 GRAPHIC 10310,0 249 0 480 DESIGN @f@a@d_@board 481 VIEW struct.bd 482 NO_GRAPHIC 277 483 DESIGN @f@a@d_@board 484 VIEW struct.bd 485 GRAPHIC 10023,0 278 0 486 DESIGN @f@a@d_@board 487 VIEW struct.bd 488 GRAPHIC 7652,0 281 0 489 DESIGN @f@a@d_@board 490 VIEW struct.bd 491 NO_GRAPHIC 284 492 DESIGN @f@a@d_@board 493 VIEW struct.bd 494 GRAPHIC 169,0 286 0 495 DESIGN @f@a@d_@board 496 VIEW struct.bd 497 GRAPHIC 176,0 287 1 498 DESIGN @f@a@d_@board 499 VIEW struct.bd 500 GRAPHIC 245,0 291 0 501 DESIGN @f@a@d_@board 502 VIEW struct.bd 503 GRAPHIC 1865,0 292 0 504 DESIGN @f@a@d_@board 505 VIEW struct.bd 506 GRAPHIC 1873,0 293 0 507 DESIGN @f@a@d_@board 508 VIEW struct.bd 509 GRAPHIC 1881,0 294 0 510 DESIGN @f@a@d_@board 511 VIEW struct.bd 512 GRAPHIC 1889,0 295 0 513 DESIGN @f@a@d_@board 514 VIEW struct.bd 515 GRAPHIC 1467,0 296 0 516 DESIGN @f@a@d_@board 517 VIEW struct.bd 518 GRAPHIC 1730,0 297 0 519 DESIGN @f@a@d_@board 520 VIEW struct.bd 521 GRAPHIC 277,0 298 0 522 DESIGN @f@a@d_@board 523 VIEW struct.bd 524 GRAPHIC 285,0 299 0 525 DESIGN @f@a@d_@board 526 VIEW struct.bd 527 GRAPHIC 3218,0 300 0 528 DESIGN @f@a@d_@board 529 VIEW struct.bd 530 GRAPHIC 450,0 301 0 531 DESIGN @f@a@d_@board 532 VIEW struct.bd 533 GRAPHIC 10506,0 302 0 534 DESIGN @f@a@d_@board 535 VIEW struct.bd 536 GRAPHIC 10514,0 303 0 537 DESIGN @f@a@d_@board 538 VIEW struct.bd 539 GRAPHIC 10498,0 304 0 540 DESIGN @f@a@d_@board 541 VIEW struct.bd 542 GRAPHIC 10034,0 305 0 543 DESIGN @f@a@d_@board 544 VIEW struct.bd 545 GRAPHIC 9502,0 306 0 546 DESIGN @f@a@d_@board 547 VIEW struct.bd 548 GRAPHIC 10554,0 307 0 549 DESIGN @f@a@d_@board 550 VIEW struct.bd 551 GRAPHIC 10594,0 308 0 552 DESIGN @f@a@d_@board 553 VIEW struct.bd 554 GRAPHIC 10586,0 309 0 555 DESIGN @f@a@d_@board 556 VIEW struct.bd 557 GRAPHIC 10578,0 310 0 558 DESIGN @f@a@d_@board 559 VIEW struct.bd 560 GRAPHIC 10546,0 311 0 561 DESIGN @f@a@d_@board 562 VIEW struct.bd 563 GRAPHIC 10522,0 312 0 564 DESIGN @f@a@d_@board 565 VIEW struct.bd 566 GRAPHIC 2409,0 313 0 567 DESIGN @f@a@d_@board 568 VIEW struct.bd 569 GRAPHIC 2423,0 314 0 570 DESIGN @f@a@d_@board 571 VIEW struct.bd 572 GRAPHIC 12320,0 315 0 573 DESIGN @f@a@d_@board 574 VIEW struct.bd 575 GRAPHIC 10052,0 316 0 576 DESIGN @f@a@d_@board 577 VIEW struct.bd 578 GRAPHIC 362,0 317 0 579 DESIGN @f@a@d_@board 580 VIEW struct.bd 581 GRAPHIC 3778,0 318 0 582 DESIGN @f@a@d_@board 583 VIEW struct.bd 584 GRAPHIC 12545,0 319 0 585 DESIGN @f@a@d_@board 586 VIEW struct.bd 587 GRAPHIC 7477,0 320 0 588 DESIGN @f@a@d_@board 589 VIEW struct.bd 590 GRAPHIC 6431,0 321 0 591 DESIGN @f@a@d_@board 592 VIEW struct.bd 593 GRAPHIC 8853,0 322 0 594 DESIGN @f@a@d_@board 595 VIEW struct.bd 596 GRAPHIC 1841,0 323 0 597 DESIGN @f@a@d_@board 598 VIEW struct.bd 599 GRAPHIC 12573,0 324 0 600 DESIGN @f@a@d_@board 601 VIEW struct.bd 602 GRAPHIC 4942,0 325 0 603 DESIGN @f@a@d_@board 604 VIEW struct.bd 605 GRAPHIC 3682,0 326 0 606 DESIGN @f@a@d_@board 607 VIEW struct.bd 608 GRAPHIC 10562,0 328 0 609 DESIGN @f@a@d_@board 610 VIEW struct.bd 611 GRAPHIC 12559,0 329 0 612 DESIGN @f@a@d_@board 613 VIEW struct.bd 614 GRAPHIC 3009,0 330 0 615 DESIGN @f@a@d_@board 616 VIEW struct.bd 617 GRAPHIC 3021,0 331 0 618 DESIGN @f@a@d_@board 619 VIEW struct.bd 620 GRAPHIC 10570,0 332 0 621 DESIGN @f@a@d_@board 622 VIEW struct.bd 623 GRAPHIC 426,0 333 0 624 DESIGN @f@a@d_@board 625 VIEW struct.bd 626 GRAPHIC 434,0 334 0 627 DESIGN @f@a@d_@board 628 VIEW struct.bd 629 GRAPHIC 458,0 335 0 630 DESIGN @f@a@d_@board 631 VIEW struct.bd 632 GRAPHIC 418,0 336 0 633 DESIGN @f@a@d_@board 634 VIEW struct.bd 635 GRAPHIC 466,0 337 0 636 DESIGN @f@a@d_@board 637 VIEW struct.bd 638 GRAPHIC 3015,0 338 0 639 DESIGN @f@a@d_@board 640 VIEW struct.bd 641 GRAPHIC 442,0 339 0 642 DESIGN @f@a@d_@board 643 VIEW struct.bd 644 GRAPHIC 13570,0 343 0 645 DESIGN @f@a@d_@board 646 VIEW struct.bd 647 NO_GRAPHIC 345 437 NO_GRAPHIC 191 438 DESIGN @f@a@d_@board 439 VIEW struct.bd 440 GRAPHIC 169,0 194 0 441 DESIGN @f@a@d_@board 442 VIEW struct.bd 443 NO_GRAPHIC 197 444 DESIGN @f@a@d_@board 445 VIEW struct.bd 446 GRAPHIC 265,0 200 0 447 DESIGN @f@a@d_@board 448 VIEW struct.bd 449 NO_GRAPHIC 204 450 DESIGN @f@a@d_@board 451 VIEW struct.bd 452 GRAPHIC 3248,0 205 0 453 DESIGN @f@a@d_@board 454 VIEW struct.bd 455 NO_GRAPHIC 211 456 DESIGN @f@a@d_@board 457 VIEW struct.bd 458 GRAPHIC 3300,0 212 0 459 DESIGN @f@a@d_@board 460 VIEW struct.bd 461 NO_GRAPHIC 218 462 DESIGN @f@a@d_@board 463 VIEW struct.bd 464 GRAPHIC 3394,0 219 0 465 DESIGN @f@a@d_@board 466 VIEW struct.bd 467 NO_GRAPHIC 225 468 DESIGN @f@a@d_@board 469 VIEW struct.bd 470 GRAPHIC 3542,0 226 0 471 DESIGN @f@a@d_@board 472 VIEW struct.bd 473 NO_GRAPHIC 232 474 DESIGN @f@a@d_@board 475 VIEW struct.bd 476 GRAPHIC 3700,0 233 0 477 DESIGN @f@a@d_@board 478 VIEW struct.bd 479 NO_GRAPHIC 247 480 DESIGN @f@a@d_@board 481 VIEW struct.bd 482 GRAPHIC 14346,0 248 0 483 DESIGN @f@a@d_@board 484 VIEW struct.bd 485 NO_GRAPHIC 250 486 DESIGN @f@a@d_@board 487 VIEW struct.bd 488 GRAPHIC 7092,0 251 0 489 DESIGN @f@a@d_@board 490 VIEW struct.bd 491 NO_GRAPHIC 254 492 DESIGN @f@a@d_@board 493 VIEW struct.bd 494 GRAPHIC 10310,0 255 0 495 DESIGN @f@a@d_@board 496 VIEW struct.bd 497 NO_GRAPHIC 283 498 DESIGN @f@a@d_@board 499 VIEW struct.bd 500 GRAPHIC 10023,0 284 0 501 DESIGN @f@a@d_@board 502 VIEW struct.bd 503 GRAPHIC 7652,0 287 0 504 DESIGN @f@a@d_@board 505 VIEW struct.bd 506 NO_GRAPHIC 290 507 DESIGN @f@a@d_@board 508 VIEW struct.bd 509 GRAPHIC 169,0 292 0 510 DESIGN @f@a@d_@board 511 VIEW struct.bd 512 GRAPHIC 176,0 293 1 513 DESIGN @f@a@d_@board 514 VIEW struct.bd 515 GRAPHIC 245,0 297 0 516 DESIGN @f@a@d_@board 517 VIEW struct.bd 518 GRAPHIC 14328,0 298 0 519 DESIGN @f@a@d_@board 520 VIEW struct.bd 521 GRAPHIC 1865,0 299 0 522 DESIGN @f@a@d_@board 523 VIEW struct.bd 524 GRAPHIC 1873,0 300 0 525 DESIGN @f@a@d_@board 526 VIEW struct.bd 527 GRAPHIC 1881,0 301 0 528 DESIGN @f@a@d_@board 529 VIEW struct.bd 530 GRAPHIC 1889,0 302 0 531 DESIGN @f@a@d_@board 532 VIEW struct.bd 533 GRAPHIC 1467,0 303 0 534 DESIGN @f@a@d_@board 535 VIEW struct.bd 536 GRAPHIC 1730,0 304 0 537 DESIGN @f@a@d_@board 538 VIEW struct.bd 539 GRAPHIC 277,0 305 0 540 DESIGN @f@a@d_@board 541 VIEW struct.bd 542 GRAPHIC 285,0 306 0 543 DESIGN @f@a@d_@board 544 VIEW struct.bd 545 GRAPHIC 3218,0 307 0 546 DESIGN @f@a@d_@board 547 VIEW struct.bd 548 GRAPHIC 450,0 308 0 549 DESIGN @f@a@d_@board 550 VIEW struct.bd 551 GRAPHIC 10506,0 309 0 552 DESIGN @f@a@d_@board 553 VIEW struct.bd 554 GRAPHIC 10514,0 310 0 555 DESIGN @f@a@d_@board 556 VIEW struct.bd 557 GRAPHIC 10498,0 311 0 558 DESIGN @f@a@d_@board 559 VIEW struct.bd 560 GRAPHIC 10034,0 312 0 561 DESIGN @f@a@d_@board 562 VIEW struct.bd 563 GRAPHIC 9502,0 313 0 564 DESIGN @f@a@d_@board 565 VIEW struct.bd 566 GRAPHIC 10554,0 314 0 567 DESIGN @f@a@d_@board 568 VIEW struct.bd 569 GRAPHIC 10594,0 315 0 570 DESIGN @f@a@d_@board 571 VIEW struct.bd 572 GRAPHIC 10586,0 316 0 573 DESIGN @f@a@d_@board 574 VIEW struct.bd 575 GRAPHIC 10578,0 317 0 576 DESIGN @f@a@d_@board 577 VIEW struct.bd 578 GRAPHIC 10546,0 318 0 579 DESIGN @f@a@d_@board 580 VIEW struct.bd 581 GRAPHIC 10522,0 319 0 582 DESIGN @f@a@d_@board 583 VIEW struct.bd 584 GRAPHIC 2409,0 320 0 585 DESIGN @f@a@d_@board 586 VIEW struct.bd 587 GRAPHIC 2423,0 321 0 588 DESIGN @f@a@d_@board 589 VIEW struct.bd 590 GRAPHIC 12320,0 322 0 591 DESIGN @f@a@d_@board 592 VIEW struct.bd 593 GRAPHIC 10052,0 323 0 594 DESIGN @f@a@d_@board 595 VIEW struct.bd 596 GRAPHIC 362,0 324 0 597 DESIGN @f@a@d_@board 598 VIEW struct.bd 599 GRAPHIC 3778,0 325 0 600 DESIGN @f@a@d_@board 601 VIEW struct.bd 602 GRAPHIC 12545,0 326 0 603 DESIGN @f@a@d_@board 604 VIEW struct.bd 605 GRAPHIC 7477,0 327 0 606 DESIGN @f@a@d_@board 607 VIEW struct.bd 608 GRAPHIC 6431,0 328 0 609 DESIGN @f@a@d_@board 610 VIEW struct.bd 611 GRAPHIC 8853,0 329 0 612 DESIGN @f@a@d_@board 613 VIEW struct.bd 614 GRAPHIC 1841,0 330 0 615 DESIGN @f@a@d_@board 616 VIEW struct.bd 617 GRAPHIC 12573,0 331 0 618 DESIGN @f@a@d_@board 619 VIEW struct.bd 620 GRAPHIC 15175,0 332 0 621 DESIGN @f@a@d_@board 622 VIEW struct.bd 623 GRAPHIC 3682,0 333 0 624 DESIGN @f@a@d_@board 625 VIEW struct.bd 626 GRAPHIC 10562,0 335 0 627 DESIGN @f@a@d_@board 628 VIEW struct.bd 629 GRAPHIC 12559,0 336 0 630 DESIGN @f@a@d_@board 631 VIEW struct.bd 632 GRAPHIC 3009,0 337 0 633 DESIGN @f@a@d_@board 634 VIEW struct.bd 635 GRAPHIC 3021,0 338 0 636 DESIGN @f@a@d_@board 637 VIEW struct.bd 638 GRAPHIC 10570,0 339 0 639 DESIGN @f@a@d_@board 640 VIEW struct.bd 641 GRAPHIC 426,0 340 0 642 DESIGN @f@a@d_@board 643 VIEW struct.bd 644 GRAPHIC 434,0 341 0 645 DESIGN @f@a@d_@board 646 VIEW struct.bd 647 GRAPHIC 458,0 342 0 648 DESIGN @f@a@d_@board 649 VIEW struct.bd 650 GRAPHIC 418,0 343 0 651 DESIGN @f@a@d_@board 652 VIEW struct.bd 653 GRAPHIC 466,0 344 0 654 DESIGN @f@a@d_@board 655 VIEW struct.bd 656 GRAPHIC 3015,0 345 0 657 DESIGN @f@a@d_@board 658 VIEW struct.bd 659 GRAPHIC 442,0 346 0 660 DESIGN @f@a@d_@board 661 VIEW struct.bd 662 GRAPHIC 13570,0 350 0 663 DESIGN @f@a@d_@board 664 VIEW struct.bd 665 NO_GRAPHIC 352 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf
r10121 r10123 13 13 DESIGN @f@a@d_main 14 14 VIEW symbol.sb 15 GRAPHIC 2710,0 20 016 DESIGN @f@a@d_main 17 VIEW symbol.sb 18 GRAPHIC 271 5,0 21 019 DESIGN @f@a@d_main 20 VIEW symbol.sb 21 GRAPHIC 27 20,0 22 022 DESIGN @f@a@d_main 23 VIEW symbol.sb 24 GRAPHIC 272 5,0 23 025 DESIGN @f@a@d_main 26 VIEW symbol.sb 27 GRAPHIC 2 282,0 24 028 DESIGN @f@a@d_main 29 VIEW symbol.sb 30 GRAPHIC 1976,0 25 031 DESIGN @f@a@d_main 32 VIEW symbol.sb 33 GRAPHIC 923,0 26 034 DESIGN @f@a@d_main 35 VIEW symbol.sb 36 GRAPHIC 92 8,0 27 037 DESIGN @f@a@d_main 38 VIEW symbol.sb 39 GRAPHIC 464,0 28 040 DESIGN @f@a@d_main 41 VIEW symbol.sb 42 GRAPHIC 1062,0 29 043 DESIGN @f@a@d_main 44 VIEW symbol.sb 45 GRAPHIC 4584,0 30 046 DESIGN @f@a@d_main 47 VIEW symbol.sb 48 GRAPHIC 458 9,0 31 049 DESIGN @f@a@d_main 50 VIEW symbol.sb 51 GRAPHIC 45 79,0 32 052 DESIGN @f@a@d_main 53 VIEW symbol.sb 54 GRAPHIC 1389,0 33 055 DESIGN @f@a@d_main 56 VIEW symbol.sb 57 GRAPHIC 1 725,0 34 058 DESIGN @f@a@d_main 59 VIEW symbol.sb 60 GRAPHIC 4497,0 35 061 DESIGN @f@a@d_main 62 VIEW symbol.sb 63 GRAPHIC 44 67,0 36 064 DESIGN @f@a@d_main 65 VIEW symbol.sb 66 GRAPHIC 44 87,0 37 067 DESIGN @f@a@d_main 68 VIEW symbol.sb 69 GRAPHIC 44 72,0 38 070 DESIGN @f@a@d_main 71 VIEW symbol.sb 72 GRAPHIC 447 7,0 39 073 DESIGN @f@a@d_main 74 VIEW symbol.sb 75 GRAPHIC 4 517,0 40 076 DESIGN @f@a@d_main 77 VIEW symbol.sb 78 GRAPHIC 2987,0 41 079 DESIGN @f@a@d_main 80 VIEW symbol.sb 81 GRAPHIC 29 92,0 42 082 DESIGN @f@a@d_main 83 VIEW symbol.sb 84 GRAPHIC 4780,0 43 085 DESIGN @f@a@d_main 86 VIEW symbol.sb 87 GRAPHIC 4 323,0 44 088 DESIGN @f@a@d_main 89 VIEW symbol.sb 90 GRAPHIC 833,0 45 091 DESIGN @f@a@d_main 92 VIEW symbol.sb 93 GRAPHIC 5206,0 46 094 DESIGN @f@a@d_main 95 VIEW symbol.sb 96 GRAPHIC 4911,0 47 097 DESIGN @f@a@d_main 98 VIEW symbol.sb 99 GRAPHIC 3641,0 48 0100 DESIGN @f@a@d_main 101 VIEW symbol.sb 102 GRAPHIC 4144,0 49 0103 DESIGN @f@a@d_main 104 VIEW symbol.sb 105 GRAPHIC 2448,0 50 0106 DESIGN @f@a@d_main 107 VIEW symbol.sb 108 GRAPHIC 24 53,0 51 0109 DESIGN @f@a@d_main 110 VIEW symbol.sb 111 GRAPHIC 4906,0 52 0112 DESIGN @f@a@d_main 113 VIEW symbol.sb 114 GRAPHIC 163,0 53 0115 DESIGN @f@a@d_main 116 VIEW symbol.sb 117 GRAPHIC 4067,0 54 0118 DESIGN @f@a@d_main 119 VIEW symbol.sb 120 GRAPHIC 4 502,0 55 0121 DESIGN @f@a@d_main 122 VIEW symbol.sb 123 GRAPHIC 45 12,0 56 0124 DESIGN @f@a@d_main 125 VIEW symbol.sb 126 GRAPHIC 4 916,0 57 0127 DESIGN @f@a@d_main 128 VIEW symbol.sb 129 GRAPHIC 3631,0 58 0130 DESIGN @f@a@d_main 131 VIEW symbol.sb 132 GRAPHIC 36 46,0 59 0133 DESIGN @f@a@d_main 134 VIEW symbol.sb 135 GRAPHIC 4507,0 610136 DESIGN @f@a@d_main 137 VIEW symbol.sb 138 GRAPHIC 1037,0 62 0139 DESIGN @f@a@d_main 140 VIEW symbol.sb 141 GRAPHIC 10 47,0 63 0142 DESIGN @f@a@d_main 143 VIEW symbol.sb 144 GRAPHIC 10 57,0 64 0145 DESIGN @f@a@d_main 146 VIEW symbol.sb 147 GRAPHIC 1 35,0 65 0148 DESIGN @f@a@d_main 149 VIEW symbol.sb 150 GRAPHIC 1 052,0 66 0151 DESIGN @f@a@d_main 152 VIEW symbol.sb 153 GRAPHIC 3636,0 67 0154 DESIGN @f@a@d_main 155 VIEW symbol.sb 156 GRAPHIC 1042,0 68 0157 DESIGN @f@a@d_main 158 VIEW symbol.sb 159 GRAPHIC 1 ,0 71015 GRAPHIC 5328,0 20 0 16 DESIGN @f@a@d_main 17 VIEW symbol.sb 18 GRAPHIC 2710,0 21 0 19 DESIGN @f@a@d_main 20 VIEW symbol.sb 21 GRAPHIC 2715,0 22 0 22 DESIGN @f@a@d_main 23 VIEW symbol.sb 24 GRAPHIC 2720,0 23 0 25 DESIGN @f@a@d_main 26 VIEW symbol.sb 27 GRAPHIC 2725,0 24 0 28 DESIGN @f@a@d_main 29 VIEW symbol.sb 30 GRAPHIC 2282,0 25 0 31 DESIGN @f@a@d_main 32 VIEW symbol.sb 33 GRAPHIC 1976,0 26 0 34 DESIGN @f@a@d_main 35 VIEW symbol.sb 36 GRAPHIC 923,0 27 0 37 DESIGN @f@a@d_main 38 VIEW symbol.sb 39 GRAPHIC 928,0 28 0 40 DESIGN @f@a@d_main 41 VIEW symbol.sb 42 GRAPHIC 464,0 29 0 43 DESIGN @f@a@d_main 44 VIEW symbol.sb 45 GRAPHIC 1062,0 30 0 46 DESIGN @f@a@d_main 47 VIEW symbol.sb 48 GRAPHIC 4584,0 31 0 49 DESIGN @f@a@d_main 50 VIEW symbol.sb 51 GRAPHIC 4589,0 32 0 52 DESIGN @f@a@d_main 53 VIEW symbol.sb 54 GRAPHIC 4579,0 33 0 55 DESIGN @f@a@d_main 56 VIEW symbol.sb 57 GRAPHIC 1389,0 34 0 58 DESIGN @f@a@d_main 59 VIEW symbol.sb 60 GRAPHIC 1725,0 35 0 61 DESIGN @f@a@d_main 62 VIEW symbol.sb 63 GRAPHIC 4497,0 36 0 64 DESIGN @f@a@d_main 65 VIEW symbol.sb 66 GRAPHIC 4467,0 37 0 67 DESIGN @f@a@d_main 68 VIEW symbol.sb 69 GRAPHIC 4487,0 38 0 70 DESIGN @f@a@d_main 71 VIEW symbol.sb 72 GRAPHIC 4472,0 39 0 73 DESIGN @f@a@d_main 74 VIEW symbol.sb 75 GRAPHIC 4477,0 40 0 76 DESIGN @f@a@d_main 77 VIEW symbol.sb 78 GRAPHIC 4517,0 41 0 79 DESIGN @f@a@d_main 80 VIEW symbol.sb 81 GRAPHIC 2987,0 42 0 82 DESIGN @f@a@d_main 83 VIEW symbol.sb 84 GRAPHIC 2992,0 43 0 85 DESIGN @f@a@d_main 86 VIEW symbol.sb 87 GRAPHIC 4780,0 44 0 88 DESIGN @f@a@d_main 89 VIEW symbol.sb 90 GRAPHIC 4323,0 45 0 91 DESIGN @f@a@d_main 92 VIEW symbol.sb 93 GRAPHIC 833,0 46 0 94 DESIGN @f@a@d_main 95 VIEW symbol.sb 96 GRAPHIC 5206,0 47 0 97 DESIGN @f@a@d_main 98 VIEW symbol.sb 99 GRAPHIC 4911,0 48 0 100 DESIGN @f@a@d_main 101 VIEW symbol.sb 102 GRAPHIC 3641,0 49 0 103 DESIGN @f@a@d_main 104 VIEW symbol.sb 105 GRAPHIC 4144,0 50 0 106 DESIGN @f@a@d_main 107 VIEW symbol.sb 108 GRAPHIC 2448,0 51 0 109 DESIGN @f@a@d_main 110 VIEW symbol.sb 111 GRAPHIC 2453,0 52 0 112 DESIGN @f@a@d_main 113 VIEW symbol.sb 114 GRAPHIC 4906,0 53 0 115 DESIGN @f@a@d_main 116 VIEW symbol.sb 117 GRAPHIC 163,0 54 0 118 DESIGN @f@a@d_main 119 VIEW symbol.sb 120 GRAPHIC 4067,0 55 0 121 DESIGN @f@a@d_main 122 VIEW symbol.sb 123 GRAPHIC 4502,0 56 0 124 DESIGN @f@a@d_main 125 VIEW symbol.sb 126 GRAPHIC 4512,0 57 0 127 DESIGN @f@a@d_main 128 VIEW symbol.sb 129 GRAPHIC 4916,0 58 0 130 DESIGN @f@a@d_main 131 VIEW symbol.sb 132 GRAPHIC 3631,0 59 0 133 DESIGN @f@a@d_main 134 VIEW symbol.sb 135 GRAPHIC 3646,0 60 0 136 DESIGN @f@a@d_main 137 VIEW symbol.sb 138 GRAPHIC 4507,0 62 0 139 DESIGN @f@a@d_main 140 VIEW symbol.sb 141 GRAPHIC 1037,0 63 0 142 DESIGN @f@a@d_main 143 VIEW symbol.sb 144 GRAPHIC 1047,0 64 0 145 DESIGN @f@a@d_main 146 VIEW symbol.sb 147 GRAPHIC 1057,0 65 0 148 DESIGN @f@a@d_main 149 VIEW symbol.sb 150 GRAPHIC 135,0 66 0 151 DESIGN @f@a@d_main 152 VIEW symbol.sb 153 GRAPHIC 1052,0 67 0 154 DESIGN @f@a@d_main 155 VIEW symbol.sb 156 GRAPHIC 3636,0 68 0 157 DESIGN @f@a@d_main 158 VIEW symbol.sb 159 GRAPHIC 1042,0 69 0 160 160 DESIGN @f@a@d_main 161 161 VIEW symbol.sb 162 162 GRAPHIC 1,0 72 0 163 163 DESIGN @f@a@d_main 164 VIEW struct.bd 165 NO_GRAPHIC 75 166 DESIGN @f@a@d_main 167 VIEW struct.bd 168 GRAPHIC 41,0 84 0 169 DESIGN @f@a@d_main 170 VIEW struct.bd 171 NO_GRAPHIC 96 172 DESIGN @f@a@d_main 173 VIEW struct.bd 174 GRAPHIC 0,0 99 2 175 DESIGN @f@a@d_main 176 VIEW struct.bd 177 GRAPHIC 4204,0 104 0 178 DESIGN @f@a@d_main 179 VIEW struct.bd 180 GRAPHIC 10008,0 105 0 181 DESIGN @f@a@d_main 182 VIEW struct.bd 183 GRAPHIC 5640,0 106 0 184 DESIGN @f@a@d_main 185 VIEW struct.bd 186 GRAPHIC 5632,0 107 0 187 DESIGN @f@a@d_main 188 VIEW struct.bd 189 GRAPHIC 326,0 108 0 190 DESIGN @f@a@d_main 191 VIEW struct.bd 192 GRAPHIC 13157,0 109 0 193 DESIGN @f@a@d_main 194 VIEW struct.bd 195 GRAPHIC 13163,0 110 0 196 DESIGN @f@a@d_main 197 VIEW struct.bd 198 GRAPHIC 5088,0 111 0 199 DESIGN @f@a@d_main 200 VIEW struct.bd 201 GRAPHIC 5104,0 112 0 202 DESIGN @f@a@d_main 203 VIEW struct.bd 204 GRAPHIC 5112,0 113 0 205 DESIGN @f@a@d_main 206 VIEW struct.bd 207 GRAPHIC 5096,0 114 0 208 DESIGN @f@a@d_main 209 VIEW struct.bd 210 GRAPHIC 5128,0 115 0 211 DESIGN @f@a@d_main 212 VIEW struct.bd 213 GRAPHIC 2592,0 116 0 214 DESIGN @f@a@d_main 215 VIEW struct.bd 216 GRAPHIC 5196,0 117 0 217 DESIGN @f@a@d_main 218 VIEW struct.bd 219 GRAPHIC 5588,0 118 0 220 DESIGN @f@a@d_main 221 VIEW struct.bd 222 GRAPHIC 10192,0 120 0 223 DESIGN @f@a@d_main 224 VIEW struct.bd 225 GRAPHIC 10200,0 122 0 226 DESIGN @f@a@d_main 227 VIEW struct.bd 228 GRAPHIC 2586,0 123 0 229 DESIGN @f@a@d_main 230 VIEW struct.bd 231 GRAPHIC 5194,0 124 0 232 DESIGN @f@a@d_main 233 VIEW struct.bd 234 GRAPHIC 5743,0 125 0 235 DESIGN @f@a@d_main 236 VIEW struct.bd 237 GRAPHIC 5960,0 126 0 238 DESIGN @f@a@d_main 239 VIEW struct.bd 240 GRAPHIC 6014,0 127 0 241 DESIGN @f@a@d_main 242 VIEW struct.bd 243 GRAPHIC 6016,0 128 0 244 DESIGN @f@a@d_main 245 VIEW struct.bd 246 GRAPHIC 6012,0 129 0 247 DESIGN @f@a@d_main 248 VIEW struct.bd 249 GRAPHIC 5120,0 130 0 250 DESIGN @f@a@d_main 251 VIEW struct.bd 252 GRAPHIC 5144,0 131 0 253 DESIGN @f@a@d_main 254 VIEW struct.bd 255 GRAPHIC 332,0 132 0 256 DESIGN @f@a@d_main 257 VIEW struct.bd 258 GRAPHIC 12304,0 133 0 259 DESIGN @f@a@d_main 260 VIEW struct.bd 261 GRAPHIC 12641,0 134 0 262 DESIGN @f@a@d_main 263 VIEW struct.bd 264 GRAPHIC 8508,0 135 0 265 DESIGN @f@a@d_main 266 VIEW struct.bd 267 GRAPHIC 8516,0 136 0 268 DESIGN @f@a@d_main 269 VIEW struct.bd 270 GRAPHIC 8583,0 137 0 271 DESIGN @f@a@d_main 272 VIEW struct.bd 273 GRAPHIC 4399,0 138 0 274 DESIGN @f@a@d_main 275 VIEW struct.bd 276 GRAPHIC 4417,0 139 0 277 DESIGN @f@a@d_main 278 VIEW struct.bd 279 GRAPHIC 4741,0 140 0 280 DESIGN @f@a@d_main 281 VIEW struct.bd 282 GRAPHIC 12647,0 143 0 283 DESIGN @f@a@d_main 284 VIEW struct.bd 285 GRAPHIC 12653,0 144 0 286 DESIGN @f@a@d_main 287 VIEW struct.bd 288 GRAPHIC 11403,0 145 0 289 DESIGN @f@a@d_main 290 VIEW struct.bd 291 GRAPHIC 4405,0 146 0 292 DESIGN @f@a@d_main 293 VIEW struct.bd 294 GRAPHIC 10314,0 147 0 295 DESIGN @f@a@d_main 296 VIEW struct.bd 297 GRAPHIC 6544,0 148 0 298 DESIGN @f@a@d_main 299 VIEW struct.bd 300 GRAPHIC 6450,0 149 0 301 DESIGN @f@a@d_main 302 VIEW struct.bd 303 GRAPHIC 5948,0 150 0 304 DESIGN @f@a@d_main 305 VIEW struct.bd 306 GRAPHIC 2640,0 151 0 307 DESIGN @f@a@d_main 308 VIEW struct.bd 309 GRAPHIC 9231,0 152 0 310 DESIGN @f@a@d_main 311 VIEW struct.bd 312 GRAPHIC 9239,0 153 0 313 DESIGN @f@a@d_main 314 VIEW struct.bd 315 GRAPHIC 9941,0 154 0 316 DESIGN @f@a@d_main 317 VIEW struct.bd 318 GRAPHIC 362,0 155 0 319 DESIGN @f@a@d_main 320 VIEW struct.bd 321 GRAPHIC 368,0 156 0 322 DESIGN @f@a@d_main 323 VIEW struct.bd 324 GRAPHIC 2297,0 157 0 325 DESIGN @f@a@d_main 326 VIEW struct.bd 327 GRAPHIC 2574,0 158 0 328 DESIGN @f@a@d_main 329 VIEW struct.bd 330 GRAPHIC 2580,0 159 0 331 DESIGN @f@a@d_main 332 VIEW struct.bd 333 GRAPHIC 10465,0 161 0 334 DESIGN @f@a@d_main 335 VIEW struct.bd 336 GRAPHIC 2924,0 162 0 337 DESIGN @f@a@d_main 338 VIEW struct.bd 339 GRAPHIC 2598,0 163 0 340 DESIGN @f@a@d_main 341 VIEW struct.bd 342 GRAPHIC 10264,0 164 0 343 DESIGN @f@a@d_main 344 VIEW struct.bd 345 GRAPHIC 13206,0 165 0 346 DESIGN @f@a@d_main 347 VIEW struct.bd 348 GRAPHIC 8730,0 166 0 349 DESIGN @f@a@d_main 350 VIEW struct.bd 351 GRAPHIC 8746,0 167 0 352 DESIGN @f@a@d_main 353 VIEW struct.bd 354 GRAPHIC 5478,0 168 0 355 DESIGN @f@a@d_main 356 VIEW struct.bd 357 GRAPHIC 5472,0 169 0 358 DESIGN @f@a@d_main 359 VIEW struct.bd 360 GRAPHIC 10627,0 170 0 361 DESIGN @f@a@d_main 362 VIEW struct.bd 363 GRAPHIC 10635,0 171 0 364 DESIGN @f@a@d_main 365 VIEW struct.bd 366 GRAPHIC 9949,0 172 0 367 DESIGN @f@a@d_main 368 VIEW struct.bd 369 GRAPHIC 10302,0 173 0 370 DESIGN @f@a@d_main 371 VIEW struct.bd 372 GRAPHIC 10308,0 174 0 373 DESIGN @f@a@d_main 374 VIEW struct.bd 375 GRAPHIC 10296,0 175 0 376 DESIGN @f@a@d_main 377 VIEW struct.bd 378 GRAPHIC 13208,0 176 0 379 DESIGN @f@a@d_main 380 VIEW struct.bd 381 GRAPHIC 11856,0 177 0 382 DESIGN @f@a@d_main 383 VIEW struct.bd 384 GRAPHIC 1981,0 178 0 385 DESIGN @f@a@d_main 386 VIEW struct.bd 387 GRAPHIC 10449,0 179 0 388 DESIGN @f@a@d_main 389 VIEW struct.bd 390 GRAPHIC 8414,0 180 0 391 DESIGN @f@a@d_main 392 VIEW struct.bd 393 GRAPHIC 2468,0 181 0 394 DESIGN @f@a@d_main 395 VIEW struct.bd 396 GRAPHIC 2492,0 182 0 397 DESIGN @f@a@d_main 398 VIEW struct.bd 399 GRAPHIC 2486,0 183 0 400 DESIGN @f@a@d_main 401 VIEW struct.bd 402 GRAPHIC 2474,0 184 0 403 DESIGN @f@a@d_main 404 VIEW struct.bd 405 GRAPHIC 2498,0 185 0 406 DESIGN @f@a@d_main 407 VIEW struct.bd 408 GRAPHIC 2504,0 186 0 409 DESIGN @f@a@d_main 410 VIEW struct.bd 411 GRAPHIC 2480,0 187 0 412 DESIGN @f@a@d_main 413 VIEW struct.bd 414 GRAPHIC 320,0 188 0 415 DESIGN @f@a@d_main 416 VIEW struct.bd 417 NO_GRAPHIC 189 418 DESIGN @f@a@d_main 419 VIEW struct.bd 420 GRAPHIC 6276,0 191 0 421 DESIGN @f@a@d_main 422 VIEW struct.bd 423 GRAPHIC 3888,0 192 0 424 DESIGN @f@a@d_main 425 VIEW struct.bd 426 NO_GRAPHIC 194 164 VIEW symbol.sb 165 GRAPHIC 1,0 73 0 166 DESIGN @f@a@d_main 167 VIEW struct.bd 168 NO_GRAPHIC 76 169 DESIGN @f@a@d_main 170 VIEW struct.bd 171 GRAPHIC 41,0 85 0 172 DESIGN @f@a@d_main 173 VIEW struct.bd 174 NO_GRAPHIC 97 175 DESIGN @f@a@d_main 176 VIEW struct.bd 177 GRAPHIC 0,0 100 2 178 DESIGN @f@a@d_main 179 VIEW struct.bd 180 GRAPHIC 4204,0 105 0 181 DESIGN @f@a@d_main 182 VIEW struct.bd 183 GRAPHIC 10008,0 106 0 184 DESIGN @f@a@d_main 185 VIEW struct.bd 186 GRAPHIC 5640,0 107 0 187 DESIGN @f@a@d_main 188 VIEW struct.bd 189 GRAPHIC 5632,0 108 0 190 DESIGN @f@a@d_main 191 VIEW struct.bd 192 GRAPHIC 326,0 109 0 193 DESIGN @f@a@d_main 194 VIEW struct.bd 195 GRAPHIC 13157,0 110 0 196 DESIGN @f@a@d_main 197 VIEW struct.bd 198 GRAPHIC 13163,0 111 0 199 DESIGN @f@a@d_main 200 VIEW struct.bd 201 GRAPHIC 5088,0 112 0 202 DESIGN @f@a@d_main 203 VIEW struct.bd 204 GRAPHIC 5104,0 113 0 205 DESIGN @f@a@d_main 206 VIEW struct.bd 207 GRAPHIC 5112,0 114 0 208 DESIGN @f@a@d_main 209 VIEW struct.bd 210 GRAPHIC 5096,0 115 0 211 DESIGN @f@a@d_main 212 VIEW struct.bd 213 GRAPHIC 5128,0 116 0 214 DESIGN @f@a@d_main 215 VIEW struct.bd 216 GRAPHIC 2592,0 117 0 217 DESIGN @f@a@d_main 218 VIEW struct.bd 219 GRAPHIC 5196,0 118 0 220 DESIGN @f@a@d_main 221 VIEW struct.bd 222 GRAPHIC 5588,0 119 0 223 DESIGN @f@a@d_main 224 VIEW struct.bd 225 GRAPHIC 10192,0 121 0 226 DESIGN @f@a@d_main 227 VIEW struct.bd 228 GRAPHIC 10200,0 123 0 229 DESIGN @f@a@d_main 230 VIEW struct.bd 231 GRAPHIC 2586,0 124 0 232 DESIGN @f@a@d_main 233 VIEW struct.bd 234 GRAPHIC 5194,0 125 0 235 DESIGN @f@a@d_main 236 VIEW struct.bd 237 GRAPHIC 5743,0 126 0 238 DESIGN @f@a@d_main 239 VIEW struct.bd 240 GRAPHIC 5960,0 127 0 241 DESIGN @f@a@d_main 242 VIEW struct.bd 243 GRAPHIC 6014,0 128 0 244 DESIGN @f@a@d_main 245 VIEW struct.bd 246 GRAPHIC 6016,0 129 0 247 DESIGN @f@a@d_main 248 VIEW struct.bd 249 GRAPHIC 6012,0 130 0 250 DESIGN @f@a@d_main 251 VIEW struct.bd 252 GRAPHIC 5120,0 131 0 253 DESIGN @f@a@d_main 254 VIEW struct.bd 255 GRAPHIC 5144,0 132 0 256 DESIGN @f@a@d_main 257 VIEW struct.bd 258 GRAPHIC 332,0 133 0 259 DESIGN @f@a@d_main 260 VIEW struct.bd 261 GRAPHIC 12304,0 134 0 262 DESIGN @f@a@d_main 263 VIEW struct.bd 264 GRAPHIC 12641,0 135 0 265 DESIGN @f@a@d_main 266 VIEW struct.bd 267 GRAPHIC 8508,0 136 0 268 DESIGN @f@a@d_main 269 VIEW struct.bd 270 GRAPHIC 8516,0 137 0 271 DESIGN @f@a@d_main 272 VIEW struct.bd 273 GRAPHIC 8583,0 138 0 274 DESIGN @f@a@d_main 275 VIEW struct.bd 276 GRAPHIC 4399,0 139 0 277 DESIGN @f@a@d_main 278 VIEW struct.bd 279 GRAPHIC 4417,0 140 0 280 DESIGN @f@a@d_main 281 VIEW struct.bd 282 GRAPHIC 4741,0 141 0 283 DESIGN @f@a@d_main 284 VIEW struct.bd 285 GRAPHIC 12647,0 144 0 286 DESIGN @f@a@d_main 287 VIEW struct.bd 288 GRAPHIC 12653,0 145 0 289 DESIGN @f@a@d_main 290 VIEW struct.bd 291 GRAPHIC 11403,0 146 0 292 DESIGN @f@a@d_main 293 VIEW struct.bd 294 GRAPHIC 4405,0 147 0 295 DESIGN @f@a@d_main 296 VIEW struct.bd 297 GRAPHIC 10314,0 148 0 298 DESIGN @f@a@d_main 299 VIEW struct.bd 300 GRAPHIC 6544,0 149 0 301 DESIGN @f@a@d_main 302 VIEW struct.bd 303 GRAPHIC 6450,0 150 0 304 DESIGN @f@a@d_main 305 VIEW struct.bd 306 GRAPHIC 5948,0 151 0 307 DESIGN @f@a@d_main 308 VIEW struct.bd 309 GRAPHIC 2640,0 152 0 310 DESIGN @f@a@d_main 311 VIEW struct.bd 312 GRAPHIC 9231,0 153 0 313 DESIGN @f@a@d_main 314 VIEW struct.bd 315 GRAPHIC 9239,0 154 0 316 DESIGN @f@a@d_main 317 VIEW struct.bd 318 GRAPHIC 9941,0 155 0 319 DESIGN @f@a@d_main 320 VIEW struct.bd 321 GRAPHIC 362,0 156 0 322 DESIGN @f@a@d_main 323 VIEW struct.bd 324 GRAPHIC 368,0 157 0 325 DESIGN @f@a@d_main 326 VIEW struct.bd 327 GRAPHIC 2297,0 158 0 328 DESIGN @f@a@d_main 329 VIEW struct.bd 330 GRAPHIC 2574,0 159 0 331 DESIGN @f@a@d_main 332 VIEW struct.bd 333 GRAPHIC 2580,0 160 0 334 DESIGN @f@a@d_main 335 VIEW struct.bd 336 GRAPHIC 10465,0 162 0 337 DESIGN @f@a@d_main 338 VIEW struct.bd 339 GRAPHIC 2924,0 163 0 340 DESIGN @f@a@d_main 341 VIEW struct.bd 342 GRAPHIC 2598,0 164 0 343 DESIGN @f@a@d_main 344 VIEW struct.bd 345 GRAPHIC 10264,0 165 0 346 DESIGN @f@a@d_main 347 VIEW struct.bd 348 GRAPHIC 13206,0 166 0 349 DESIGN @f@a@d_main 350 VIEW struct.bd 351 GRAPHIC 8730,0 167 0 352 DESIGN @f@a@d_main 353 VIEW struct.bd 354 GRAPHIC 8746,0 168 0 355 DESIGN @f@a@d_main 356 VIEW struct.bd 357 GRAPHIC 5478,0 169 0 358 DESIGN @f@a@d_main 359 VIEW struct.bd 360 GRAPHIC 5472,0 170 0 361 DESIGN @f@a@d_main 362 VIEW struct.bd 363 GRAPHIC 10627,0 171 0 364 DESIGN @f@a@d_main 365 VIEW struct.bd 366 GRAPHIC 10635,0 172 0 367 DESIGN @f@a@d_main 368 VIEW struct.bd 369 GRAPHIC 9949,0 173 0 370 DESIGN @f@a@d_main 371 VIEW struct.bd 372 GRAPHIC 10302,0 174 0 373 DESIGN @f@a@d_main 374 VIEW struct.bd 375 GRAPHIC 10308,0 175 0 376 DESIGN @f@a@d_main 377 VIEW struct.bd 378 GRAPHIC 10296,0 176 0 379 DESIGN @f@a@d_main 380 VIEW struct.bd 381 GRAPHIC 13208,0 177 0 382 DESIGN @f@a@d_main 383 VIEW struct.bd 384 GRAPHIC 11856,0 178 0 385 DESIGN @f@a@d_main 386 VIEW struct.bd 387 GRAPHIC 1981,0 179 0 388 DESIGN @f@a@d_main 389 VIEW struct.bd 390 GRAPHIC 10449,0 180 0 391 DESIGN @f@a@d_main 392 VIEW struct.bd 393 GRAPHIC 8414,0 181 0 394 DESIGN @f@a@d_main 395 VIEW struct.bd 396 GRAPHIC 2468,0 182 0 397 DESIGN @f@a@d_main 398 VIEW struct.bd 399 GRAPHIC 2492,0 183 0 400 DESIGN @f@a@d_main 401 VIEW struct.bd 402 GRAPHIC 2486,0 184 0 403 DESIGN @f@a@d_main 404 VIEW struct.bd 405 GRAPHIC 2474,0 185 0 406 DESIGN @f@a@d_main 407 VIEW struct.bd 408 GRAPHIC 2498,0 186 0 409 DESIGN @f@a@d_main 410 VIEW struct.bd 411 GRAPHIC 2504,0 187 0 412 DESIGN @f@a@d_main 413 VIEW struct.bd 414 GRAPHIC 2480,0 188 0 415 DESIGN @f@a@d_main 416 VIEW struct.bd 417 GRAPHIC 320,0 189 0 418 DESIGN @f@a@d_main 419 VIEW struct.bd 420 NO_GRAPHIC 190 421 DESIGN @f@a@d_main 422 VIEW struct.bd 423 GRAPHIC 6276,0 192 0 424 DESIGN @f@a@d_main 425 VIEW struct.bd 426 GRAPHIC 3888,0 193 0 427 DESIGN @f@a@d_main 428 VIEW struct.bd 429 NO_GRAPHIC 195 427 430 LIBRARY FACT_FAD_lib 428 431 DESIGN adc_buffer 429 432 VIEW beha 430 GRAPHIC 5678,0 19 60431 DESIGN @f@a@d_main 432 VIEW struct.bd 433 NO_GRAPHIC 20 3434 DESIGN @f@a@d_main 435 VIEW struct.bd 436 GRAPHIC 9175,0 20 50433 GRAPHIC 5678,0 197 0 434 DESIGN @f@a@d_main 435 VIEW struct.bd 436 NO_GRAPHIC 204 437 DESIGN @f@a@d_main 438 VIEW struct.bd 439 GRAPHIC 9175,0 206 0 437 440 DESIGN clock_generator_var_ps 438 441 VIEW symbol.sb 439 GRAPHIC 168,0 20 70442 GRAPHIC 168,0 208 0 440 443 DESIGN clock_generator_var_ps 441 444 VIEW symbol.sb 442 GRAPHIC 848,0 20 80445 GRAPHIC 848,0 209 0 443 446 DESIGN clock_generator_var_ps 444 447 VIEW symbol.sb 445 GRAPHIC 703,0 2 090448 GRAPHIC 703,0 210 0 446 449 DESIGN clock_generator_var_ps 447 450 VIEW symbol.sb 448 GRAPHIC 698,0 21 00451 GRAPHIC 698,0 211 0 449 452 DESIGN clock_generator_var_ps 450 453 VIEW symbol.sb 451 GRAPHIC 126,0 21 10454 GRAPHIC 126,0 212 0 452 455 DESIGN clock_generator_var_ps 453 456 VIEW symbol.sb 454 GRAPHIC 643,0 21 20457 GRAPHIC 643,0 213 0 455 458 DESIGN clock_generator_var_ps 456 459 VIEW symbol.sb 457 GRAPHIC 121,0 21 30460 GRAPHIC 121,0 214 0 458 461 DESIGN clock_generator_var_ps 459 462 VIEW symbol.sb 460 GRAPHIC 481,0 21 40463 GRAPHIC 481,0 215 0 461 464 DESIGN clock_generator_var_ps 462 465 VIEW symbol.sb 463 GRAPHIC 544,0 21 50466 GRAPHIC 544,0 216 0 464 467 DESIGN clock_generator_var_ps 465 468 VIEW symbol.sb 466 GRAPHIC 524,0 21 60469 GRAPHIC 524,0 217 0 467 470 DESIGN clock_generator_var_ps 468 471 VIEW symbol.sb 469 GRAPHIC 539,0 21 70472 GRAPHIC 539,0 218 0 470 473 DESIGN clock_generator_var_ps 471 474 VIEW symbol.sb 472 GRAPHIC 534,0 21 80475 GRAPHIC 534,0 219 0 473 476 DESIGN clock_generator_var_ps 474 477 VIEW symbol.sb 475 GRAPHIC 475,0 2 190478 GRAPHIC 475,0 220 0 476 479 DESIGN clock_generator_var_ps 477 480 VIEW symbol.sb 478 GRAPHIC 463,0 22 00481 GRAPHIC 463,0 221 0 479 482 DESIGN clock_generator_var_ps 480 483 VIEW symbol.sb 481 GRAPHIC 469,0 22 10482 DESIGN @f@a@d_main 483 VIEW struct.bd 484 GRAPHIC 13117,0 22 50485 DESIGN @f@a@d_main 486 VIEW struct.bd 487 GRAPHIC 13124,0 22 61488 DESIGN @f@a@d_main 489 VIEW struct.bd 490 NO_GRAPHIC 23 4491 DESIGN @f@a@d_main 492 VIEW struct.bd 493 GRAPHIC 5072,0 23 60484 GRAPHIC 469,0 222 0 485 DESIGN @f@a@d_main 486 VIEW struct.bd 487 GRAPHIC 13117,0 226 0 488 DESIGN @f@a@d_main 489 VIEW struct.bd 490 GRAPHIC 13124,0 227 1 491 DESIGN @f@a@d_main 492 VIEW struct.bd 493 NO_GRAPHIC 235 494 DESIGN @f@a@d_main 495 VIEW struct.bd 496 GRAPHIC 5072,0 237 0 494 497 DESIGN control_unit 495 498 VIEW symbol.sb 496 GRAPHIC 130,0 23 80499 GRAPHIC 130,0 239 0 497 500 DESIGN control_unit 498 501 VIEW symbol.sb 499 GRAPHIC 135,0 2 390502 GRAPHIC 135,0 240 0 500 503 DESIGN control_unit 501 504 VIEW symbol.sb 502 GRAPHIC 170,0 24 00505 GRAPHIC 170,0 241 0 503 506 DESIGN control_unit 504 507 VIEW symbol.sb 505 GRAPHIC 175,0 24 10508 GRAPHIC 175,0 242 0 506 509 DESIGN control_unit 507 510 VIEW symbol.sb 508 GRAPHIC 160,0 24 20511 GRAPHIC 160,0 243 0 509 512 DESIGN control_unit 510 513 VIEW symbol.sb 511 GRAPHIC 145,0 24 30514 GRAPHIC 145,0 244 0 512 515 DESIGN control_unit 513 516 VIEW symbol.sb 514 GRAPHIC 140,0 24 40517 GRAPHIC 140,0 245 0 515 518 DESIGN control_unit 516 519 VIEW symbol.sb 517 GRAPHIC 180,0 24 50520 GRAPHIC 180,0 246 0 518 521 DESIGN control_unit 519 522 VIEW symbol.sb 520 GRAPHIC 558,0 24 60523 GRAPHIC 558,0 247 0 521 524 DESIGN control_unit 522 525 VIEW symbol.sb 523 GRAPHIC 564,0 24 80526 GRAPHIC 564,0 249 0 524 527 DESIGN control_unit 525 528 VIEW symbol.sb 526 GRAPHIC 350,0 25 00529 GRAPHIC 350,0 251 0 527 530 DESIGN control_unit 528 531 VIEW symbol.sb 529 GRAPHIC 165,0 25 10532 GRAPHIC 165,0 252 0 530 533 DESIGN control_unit 531 534 VIEW symbol.sb 532 GRAPHIC 155,0 25 20535 GRAPHIC 155,0 253 0 533 536 DESIGN control_unit 534 537 VIEW symbol.sb 535 GRAPHIC 150,0 25 30536 DESIGN @f@a@d_main 537 VIEW struct.bd 538 GRAPHIC 8277,0 25 60538 GRAPHIC 150,0 254 0 539 DESIGN @f@a@d_main 540 VIEW struct.bd 541 GRAPHIC 8277,0 257 0 539 542 DESIGN data@r@a@m_64b_16b_width14_5 540 543 VIEW data@r@a@m_64b_16b_width14_5_a 541 GRAPHIC 48,0 25 80544 GRAPHIC 48,0 259 0 542 545 DESIGN data@r@a@m_64b_16b_width14_5 543 546 VIEW data@r@a@m_64b_16b_width14_5_a 544 GRAPHIC 53,0 2 590547 GRAPHIC 53,0 260 0 545 548 DESIGN data@r@a@m_64b_16b_width14_5 546 549 VIEW data@r@a@m_64b_16b_width14_5_a 547 GRAPHIC 58,0 26 00550 GRAPHIC 58,0 261 0 548 551 DESIGN data@r@a@m_64b_16b_width14_5 549 552 VIEW data@r@a@m_64b_16b_width14_5_a 550 GRAPHIC 63,0 26 10553 GRAPHIC 63,0 262 0 551 554 DESIGN data@r@a@m_64b_16b_width14_5 552 555 VIEW data@r@a@m_64b_16b_width14_5_a 553 GRAPHIC 68,0 26 20556 GRAPHIC 68,0 263 0 554 557 DESIGN data@r@a@m_64b_16b_width14_5 555 558 VIEW data@r@a@m_64b_16b_width14_5_a 556 GRAPHIC 73,0 26 30559 GRAPHIC 73,0 264 0 557 560 DESIGN data@r@a@m_64b_16b_width14_5 558 561 VIEW data@r@a@m_64b_16b_width14_5_a 559 GRAPHIC 78,0 26 40560 DESIGN @f@a@d_main 561 VIEW struct.bd 562 GRAPHIC 1399,0 26 70563 DESIGN data_generator 564 VIEW symbol.sb 565 GRAPHIC 14,0 26 81566 DESIGN data_generator 567 VIEW @behavioral 568 GRAPHIC 48,0 27 20569 DESIGN data_generator 570 VIEW @behavioral 571 GRAPHIC 53,0 27 40572 DESIGN data_generator 573 VIEW @behavioral 574 GRAPHIC 58,0 27 50575 DESIGN data_generator 576 VIEW @behavioral 577 GRAPHIC 73,0 27 60578 DESIGN data_generator 579 VIEW @behavioral 580 GRAPHIC 78,0 27 70581 DESIGN data_generator 582 VIEW @behavioral 583 GRAPHIC 402,0 27 80584 DESIGN data_generator 585 VIEW @behavioral 586 GRAPHIC 407,0 2 790587 DESIGN data_generator 588 VIEW @behavioral 589 GRAPHIC 1479,0 28 00590 DESIGN data_generator 591 VIEW @behavioral 592 GRAPHIC 1122,0 28 20593 DESIGN data_generator 594 VIEW @behavioral 595 GRAPHIC 963,0 28 40596 DESIGN data_generator 597 VIEW @behavioral 598 GRAPHIC 1127,0 28 60599 DESIGN data_generator 600 VIEW @behavioral 601 GRAPHIC 1048,0 28 80602 DESIGN data_generator 603 VIEW @behavioral 604 GRAPHIC 958,0 2 890605 DESIGN data_generator 606 VIEW @behavioral 607 GRAPHIC 1053,0 29 00608 DESIGN data_generator 609 VIEW @behavioral 610 GRAPHIC 1201,0 29 10611 DESIGN data_generator 612 VIEW @behavioral 613 GRAPHIC 1196,0 29 20614 DESIGN data_generator 615 VIEW @behavioral 616 GRAPHIC 1206,0 29 30617 DESIGN data_generator 618 VIEW @behavioral 619 GRAPHIC 473,0 29 40620 DESIGN data_generator 621 VIEW @behavioral 622 GRAPHIC 412,0 29 50623 DESIGN data_generator 624 VIEW @behavioral 625 GRAPHIC 1085,0 29 60626 DESIGN data_generator 627 VIEW @behavioral 628 GRAPHIC 1090,0 29 70629 DESIGN data_generator 630 VIEW @behavioral 631 GRAPHIC 1240,0 29 80632 DESIGN data_generator 633 VIEW @behavioral 634 GRAPHIC 526,0 2990635 DESIGN data_generator 636 VIEW @behavioral 637 GRAPHIC 88,0 30 00638 DESIGN data_generator 639 VIEW @behavioral 640 GRAPHIC 285,0 30 10641 DESIGN data_generator 642 VIEW @behavioral 643 GRAPHIC 93,0 30 20644 DESIGN data_generator 645 VIEW @behavioral 646 GRAPHIC 98,0 30 30647 DESIGN data_generator 648 VIEW @behavioral 649 GRAPHIC 1164,0 30 40650 DESIGN data_generator 651 VIEW @behavioral 652 GRAPHIC 1159,0 30 60653 DESIGN data_generator 654 VIEW @behavioral 655 GRAPHIC 898,0 30 70656 DESIGN data_generator 657 VIEW @behavioral 658 GRAPHIC 637,0 30 80659 DESIGN data_generator 660 VIEW @behavioral 661 GRAPHIC 1395,0 3 090662 DESIGN data_generator 663 VIEW @behavioral 664 GRAPHIC 1427,0 31 00665 DESIGN data_generator 666 VIEW @behavioral 667 GRAPHIC 676,0 31 10668 DESIGN data_generator 669 VIEW @behavioral 670 GRAPHIC 1551,0 31 20671 DESIGN data_generator 672 VIEW @behavioral 673 GRAPHIC 1583,0 31 50674 DESIGN data_generator 675 VIEW @behavioral 676 GRAPHIC 681,0 31 60677 DESIGN data_generator 678 VIEW @behavioral 679 GRAPHIC 801,0 31 80680 DESIGN data_generator 681 VIEW @behavioral 682 GRAPHIC 1464,0 32 00683 DESIGN data_generator 684 VIEW @behavioral 685 GRAPHIC 1469,0 32 10686 DESIGN data_generator 687 VIEW @behavioral 688 GRAPHIC 1459,0 32 20689 DESIGN data_generator 690 VIEW @behavioral 691 GRAPHIC 1474,0 32 30692 DESIGN data_generator 693 VIEW @behavioral 694 GRAPHIC 806,0 32 40695 DESIGN data_generator 696 VIEW @behavioral 697 GRAPHIC 811,0 32 50698 DESIGN data_generator 699 VIEW @behavioral 700 GRAPHIC 1519,0 32 60701 DESIGN @f@a@d_main 702 VIEW struct.bd 703 GRAPHIC 4903,0 3 290704 DESIGN @f@a@d_main 705 VIEW struct.bd 706 NO_GRAPHIC 34 7707 DESIGN @f@a@d_main 708 VIEW struct.bd 709 GRAPHIC 11209,0 3 490710 DESIGN @f@a@d_main 711 VIEW struct.bd 712 GRAPHIC 11216,0 35 01713 DESIGN @f@a@d_main 714 VIEW struct.bd 715 NO_GRAPHIC 36 4716 DESIGN @f@a@d_main 717 VIEW struct.bd 718 GRAPHIC 2311,0 36 60719 DESIGN memory_manager 720 VIEW symbol.sb 721 GRAPHIC 14,0 36 71722 DESIGN memory_manager 723 VIEW beha 724 GRAPHIC 138,0 37 20725 DESIGN memory_manager 726 VIEW beha 727 GRAPHIC 194,0 37 30728 DESIGN memory_manager 729 VIEW beha 730 GRAPHIC 349,0 37 40731 DESIGN memory_manager 732 VIEW beha 733 GRAPHIC 949,0 37 50734 DESIGN memory_manager 735 VIEW beha 736 GRAPHIC 569,0 37 70737 DESIGN memory_manager 738 VIEW beha 739 GRAPHIC 224,0 3 790740 DESIGN memory_manager 741 VIEW beha 742 GRAPHIC 254,0 38 00743 DESIGN memory_manager 744 VIEW beha 745 GRAPHIC 804,0 38 10746 DESIGN memory_manager 747 VIEW beha 748 GRAPHIC 433,0 38 20749 DESIGN memory_manager 750 VIEW beha 751 GRAPHIC 622,0 38 30752 DESIGN memory_manager 753 VIEW beha 754 GRAPHIC 289,0 38 40755 DESIGN memory_manager 756 VIEW beha 757 GRAPHIC 309,0 38 50758 DESIGN memory_manager 759 VIEW beha 760 GRAPHIC 284,0 38 60761 DESIGN memory_manager 762 VIEW beha 763 GRAPHIC 294,0 38 70764 DESIGN memory_manager 765 VIEW beha 766 GRAPHIC 304,0 38 80767 DESIGN memory_manager 768 VIEW beha 769 GRAPHIC 299,0 3 890770 DESIGN memory_manager 771 VIEW beha 772 GRAPHIC 379,0 39 00773 DESIGN memory_manager 774 VIEW beha 775 GRAPHIC 915,0 39 10776 DESIGN memory_manager 777 VIEW beha 778 GRAPHIC 51,0 39 20779 DESIGN @f@a@d_main 780 VIEW struct.bd 781 GRAPHIC 5793,0 39 50562 GRAPHIC 78,0 265 0 563 DESIGN @f@a@d_main 564 VIEW struct.bd 565 GRAPHIC 1399,0 268 0 566 DESIGN data_generator 567 VIEW symbol.sb 568 GRAPHIC 14,0 269 1 569 DESIGN data_generator 570 VIEW @behavioral 571 GRAPHIC 48,0 273 0 572 DESIGN data_generator 573 VIEW @behavioral 574 GRAPHIC 53,0 275 0 575 DESIGN data_generator 576 VIEW @behavioral 577 GRAPHIC 58,0 276 0 578 DESIGN data_generator 579 VIEW @behavioral 580 GRAPHIC 73,0 277 0 581 DESIGN data_generator 582 VIEW @behavioral 583 GRAPHIC 78,0 278 0 584 DESIGN data_generator 585 VIEW @behavioral 586 GRAPHIC 402,0 279 0 587 DESIGN data_generator 588 VIEW @behavioral 589 GRAPHIC 407,0 280 0 590 DESIGN data_generator 591 VIEW @behavioral 592 GRAPHIC 1479,0 281 0 593 DESIGN data_generator 594 VIEW @behavioral 595 GRAPHIC 1122,0 283 0 596 DESIGN data_generator 597 VIEW @behavioral 598 GRAPHIC 963,0 285 0 599 DESIGN data_generator 600 VIEW @behavioral 601 GRAPHIC 1127,0 287 0 602 DESIGN data_generator 603 VIEW @behavioral 604 GRAPHIC 1048,0 289 0 605 DESIGN data_generator 606 VIEW @behavioral 607 GRAPHIC 958,0 290 0 608 DESIGN data_generator 609 VIEW @behavioral 610 GRAPHIC 1053,0 291 0 611 DESIGN data_generator 612 VIEW @behavioral 613 GRAPHIC 1201,0 292 0 614 DESIGN data_generator 615 VIEW @behavioral 616 GRAPHIC 1196,0 293 0 617 DESIGN data_generator 618 VIEW @behavioral 619 GRAPHIC 1206,0 294 0 620 DESIGN data_generator 621 VIEW @behavioral 622 GRAPHIC 473,0 295 0 623 DESIGN data_generator 624 VIEW @behavioral 625 GRAPHIC 412,0 296 0 626 DESIGN data_generator 627 VIEW @behavioral 628 GRAPHIC 1085,0 297 0 629 DESIGN data_generator 630 VIEW @behavioral 631 GRAPHIC 1090,0 298 0 632 DESIGN data_generator 633 VIEW @behavioral 634 GRAPHIC 1240,0 299 0 635 DESIGN data_generator 636 VIEW @behavioral 637 GRAPHIC 526,0 300 0 638 DESIGN data_generator 639 VIEW @behavioral 640 GRAPHIC 88,0 301 0 641 DESIGN data_generator 642 VIEW @behavioral 643 GRAPHIC 285,0 302 0 644 DESIGN data_generator 645 VIEW @behavioral 646 GRAPHIC 93,0 303 0 647 DESIGN data_generator 648 VIEW @behavioral 649 GRAPHIC 98,0 304 0 650 DESIGN data_generator 651 VIEW @behavioral 652 GRAPHIC 1164,0 305 0 653 DESIGN data_generator 654 VIEW @behavioral 655 GRAPHIC 1159,0 307 0 656 DESIGN data_generator 657 VIEW @behavioral 658 GRAPHIC 898,0 308 0 659 DESIGN data_generator 660 VIEW @behavioral 661 GRAPHIC 637,0 309 0 662 DESIGN data_generator 663 VIEW @behavioral 664 GRAPHIC 1395,0 310 0 665 DESIGN data_generator 666 VIEW @behavioral 667 GRAPHIC 1427,0 311 0 668 DESIGN data_generator 669 VIEW @behavioral 670 GRAPHIC 676,0 312 0 671 DESIGN data_generator 672 VIEW @behavioral 673 GRAPHIC 1551,0 313 0 674 DESIGN data_generator 675 VIEW @behavioral 676 GRAPHIC 1583,0 316 0 677 DESIGN data_generator 678 VIEW @behavioral 679 GRAPHIC 681,0 317 0 680 DESIGN data_generator 681 VIEW @behavioral 682 GRAPHIC 801,0 319 0 683 DESIGN data_generator 684 VIEW @behavioral 685 GRAPHIC 1464,0 321 0 686 DESIGN data_generator 687 VIEW @behavioral 688 GRAPHIC 1469,0 322 0 689 DESIGN data_generator 690 VIEW @behavioral 691 GRAPHIC 1459,0 323 0 692 DESIGN data_generator 693 VIEW @behavioral 694 GRAPHIC 1474,0 324 0 695 DESIGN data_generator 696 VIEW @behavioral 697 GRAPHIC 806,0 325 0 698 DESIGN data_generator 699 VIEW @behavioral 700 GRAPHIC 811,0 326 0 701 DESIGN data_generator 702 VIEW @behavioral 703 GRAPHIC 1519,0 327 0 704 DESIGN @f@a@d_main 705 VIEW struct.bd 706 GRAPHIC 4903,0 330 0 707 DESIGN @f@a@d_main 708 VIEW struct.bd 709 NO_GRAPHIC 348 710 DESIGN @f@a@d_main 711 VIEW struct.bd 712 GRAPHIC 11209,0 350 0 713 DESIGN @f@a@d_main 714 VIEW struct.bd 715 GRAPHIC 11216,0 351 1 716 DESIGN @f@a@d_main 717 VIEW struct.bd 718 NO_GRAPHIC 365 719 DESIGN @f@a@d_main 720 VIEW struct.bd 721 GRAPHIC 2311,0 367 0 722 DESIGN memory_manager 723 VIEW symbol.sb 724 GRAPHIC 14,0 368 1 725 DESIGN memory_manager 726 VIEW beha 727 GRAPHIC 138,0 373 0 728 DESIGN memory_manager 729 VIEW beha 730 GRAPHIC 194,0 374 0 731 DESIGN memory_manager 732 VIEW beha 733 GRAPHIC 349,0 375 0 734 DESIGN memory_manager 735 VIEW beha 736 GRAPHIC 949,0 376 0 737 DESIGN memory_manager 738 VIEW beha 739 GRAPHIC 569,0 378 0 740 DESIGN memory_manager 741 VIEW beha 742 GRAPHIC 224,0 380 0 743 DESIGN memory_manager 744 VIEW beha 745 GRAPHIC 254,0 381 0 746 DESIGN memory_manager 747 VIEW beha 748 GRAPHIC 804,0 382 0 749 DESIGN memory_manager 750 VIEW beha 751 GRAPHIC 433,0 383 0 752 DESIGN memory_manager 753 VIEW beha 754 GRAPHIC 622,0 384 0 755 DESIGN memory_manager 756 VIEW beha 757 GRAPHIC 289,0 385 0 758 DESIGN memory_manager 759 VIEW beha 760 GRAPHIC 309,0 386 0 761 DESIGN memory_manager 762 VIEW beha 763 GRAPHIC 284,0 387 0 764 DESIGN memory_manager 765 VIEW beha 766 GRAPHIC 294,0 388 0 767 DESIGN memory_manager 768 VIEW beha 769 GRAPHIC 304,0 389 0 770 DESIGN memory_manager 771 VIEW beha 772 GRAPHIC 299,0 390 0 773 DESIGN memory_manager 774 VIEW beha 775 GRAPHIC 379,0 391 0 776 DESIGN memory_manager 777 VIEW beha 778 GRAPHIC 915,0 392 0 779 DESIGN memory_manager 780 VIEW beha 781 GRAPHIC 51,0 393 0 782 DESIGN @f@a@d_main 783 VIEW struct.bd 784 GRAPHIC 5793,0 396 0 782 785 DESIGN spi_interface 783 786 VIEW symbol.sb 784 GRAPHIC 1121,0 39 70787 GRAPHIC 1121,0 398 0 785 788 DESIGN spi_interface 786 789 VIEW symbol.sb 787 GRAPHIC 326,0 39 80790 GRAPHIC 326,0 399 0 788 791 DESIGN spi_interface 789 792 VIEW symbol.sb 790 GRAPHIC 197,0 3990793 GRAPHIC 197,0 400 0 791 794 DESIGN spi_interface 792 795 VIEW symbol.sb 793 GRAPHIC 321,0 40 00796 GRAPHIC 321,0 401 0 794 797 DESIGN spi_interface 795 798 VIEW symbol.sb 796 GRAPHIC 1198,0 40 10799 GRAPHIC 1198,0 402 0 797 800 DESIGN spi_interface 798 801 VIEW symbol.sb 799 GRAPHIC 1017,0 40 20802 GRAPHIC 1017,0 403 0 800 803 DESIGN spi_interface 801 804 VIEW symbol.sb 802 GRAPHIC 1229,0 40 30805 GRAPHIC 1229,0 404 0 803 806 DESIGN spi_interface 804 807 VIEW symbol.sb 805 GRAPHIC 126,0 40 40808 GRAPHIC 126,0 405 0 806 809 DESIGN spi_interface 807 810 VIEW symbol.sb 808 GRAPHIC 819,0 40 50811 GRAPHIC 819,0 406 0 809 812 DESIGN spi_interface 810 813 VIEW symbol.sb 811 GRAPHIC 1022,0 40 60814 GRAPHIC 1022,0 407 0 812 815 DESIGN spi_interface 813 816 VIEW symbol.sb 814 GRAPHIC 824,0 40 70817 GRAPHIC 824,0 408 0 815 818 DESIGN spi_interface 816 819 VIEW symbol.sb 817 GRAPHIC 1283,0 40 80818 DESIGN @f@a@d_main 819 VIEW struct.bd 820 GRAPHIC 1768,0 41 10820 GRAPHIC 1283,0 409 0 821 DESIGN @f@a@d_main 822 VIEW struct.bd 823 GRAPHIC 1768,0 412 0 821 824 DESIGN trigger_counter 822 825 VIEW beha 823 GRAPHIC 48,0 41 30826 GRAPHIC 48,0 414 0 824 827 DESIGN trigger_counter 825 828 VIEW beha 826 GRAPHIC 53,0 41 40829 GRAPHIC 53,0 415 0 827 830 DESIGN trigger_counter 828 831 VIEW beha 829 GRAPHIC 148,0 415 0 830 DESIGN @f@a@d_main 831 VIEW struct.bd 832 GRAPHIC 12625,0 418 0 833 DESIGN @f@a@d_main 834 VIEW struct.bd 835 NO_GRAPHIC 426 836 DESIGN @f@a@d_main 837 VIEW struct.bd 838 GRAPHIC 1606,0 428 0 839 DESIGN w5300_modul 840 VIEW symbol.sb 841 GRAPHIC 14,0 429 1 842 DESIGN w5300_modul 843 VIEW @behavioral 844 GRAPHIC 48,0 433 0 845 DESIGN w5300_modul 846 VIEW @behavioral 847 GRAPHIC 53,0 434 0 848 DESIGN w5300_modul 849 VIEW @behavioral 850 GRAPHIC 58,0 435 0 851 DESIGN w5300_modul 852 VIEW @behavioral 853 GRAPHIC 63,0 436 0 854 DESIGN w5300_modul 855 VIEW @behavioral 856 GRAPHIC 68,0 437 0 857 DESIGN w5300_modul 858 VIEW @behavioral 859 GRAPHIC 73,0 438 0 860 DESIGN w5300_modul 861 VIEW @behavioral 862 GRAPHIC 491,0 439 0 863 DESIGN w5300_modul 864 VIEW @behavioral 865 GRAPHIC 83,0 440 0 866 DESIGN w5300_modul 867 VIEW @behavioral 868 GRAPHIC 88,0 441 0 869 DESIGN w5300_modul 870 VIEW @behavioral 871 GRAPHIC 93,0 442 0 872 DESIGN w5300_modul 873 VIEW @behavioral 874 GRAPHIC 98,0 443 0 875 DESIGN w5300_modul 876 VIEW @behavioral 877 GRAPHIC 103,0 444 0 878 DESIGN w5300_modul 879 VIEW @behavioral 880 GRAPHIC 108,0 445 0 881 DESIGN w5300_modul 882 VIEW @behavioral 883 GRAPHIC 113,0 446 0 884 DESIGN w5300_modul 885 VIEW @behavioral 886 GRAPHIC 885,0 447 0 887 DESIGN w5300_modul 888 VIEW @behavioral 889 GRAPHIC 118,0 448 0 890 DESIGN w5300_modul 891 VIEW @behavioral 892 GRAPHIC 353,0 449 0 893 DESIGN w5300_modul 894 VIEW @behavioral 895 GRAPHIC 348,0 450 0 896 DESIGN w5300_modul 897 VIEW @behavioral 898 GRAPHIC 385,0 451 0 899 DESIGN w5300_modul 900 VIEW @behavioral 901 GRAPHIC 521,0 452 0 902 DESIGN w5300_modul 903 VIEW @behavioral 904 GRAPHIC 1187,0 454 0 905 DESIGN w5300_modul 906 VIEW @behavioral 907 GRAPHIC 1192,0 455 0 908 DESIGN w5300_modul 909 VIEW @behavioral 910 GRAPHIC 576,0 456 0 911 DESIGN w5300_modul 912 VIEW @behavioral 913 GRAPHIC 566,0 458 0 914 DESIGN w5300_modul 915 VIEW @behavioral 916 GRAPHIC 551,0 459 0 917 DESIGN w5300_modul 918 VIEW @behavioral 919 GRAPHIC 561,0 460 0 920 DESIGN w5300_modul 921 VIEW @behavioral 922 GRAPHIC 571,0 461 0 923 DESIGN w5300_modul 924 VIEW @behavioral 925 GRAPHIC 640,0 462 0 926 DESIGN w5300_modul 927 VIEW @behavioral 928 GRAPHIC 1052,0 463 0 929 DESIGN w5300_modul 930 VIEW @behavioral 931 GRAPHIC 1057,0 465 0 932 DESIGN w5300_modul 933 VIEW @behavioral 934 GRAPHIC 556,0 467 0 935 DESIGN w5300_modul 936 VIEW @behavioral 937 GRAPHIC 670,0 469 0 938 DESIGN w5300_modul 939 VIEW @behavioral 940 GRAPHIC 723,0 470 0 941 DESIGN w5300_modul 942 VIEW @behavioral 943 GRAPHIC 917,0 471 0 944 DESIGN w5300_modul 945 VIEW @behavioral 946 GRAPHIC 949,0 472 0 947 DESIGN w5300_modul 948 VIEW @behavioral 949 GRAPHIC 954,0 473 0 950 DESIGN w5300_modul 951 VIEW @behavioral 952 GRAPHIC 988,0 474 0 953 DESIGN w5300_modul 954 VIEW @behavioral 955 GRAPHIC 1020,0 475 0 956 DESIGN w5300_modul 957 VIEW @behavioral 958 GRAPHIC 1130,0 476 0 959 DESIGN w5300_modul 960 VIEW @behavioral 961 GRAPHIC 1096,0 477 0 962 DESIGN w5300_modul 963 VIEW @behavioral 964 GRAPHIC 1091,0 478 0 832 GRAPHIC 148,0 416 0 833 DESIGN @f@a@d_main 834 VIEW struct.bd 835 GRAPHIC 12625,0 419 0 836 DESIGN @f@a@d_main 837 VIEW struct.bd 838 NO_GRAPHIC 427 839 DESIGN @f@a@d_main 840 VIEW struct.bd 841 GRAPHIC 1606,0 429 0 842 DESIGN w5300_modul 843 VIEW symbol.sb 844 GRAPHIC 14,0 430 1 845 DESIGN w5300_modul 846 VIEW @behavioral 847 GRAPHIC 48,0 434 0 848 DESIGN w5300_modul 849 VIEW @behavioral 850 GRAPHIC 53,0 435 0 851 DESIGN w5300_modul 852 VIEW @behavioral 853 GRAPHIC 58,0 436 0 854 DESIGN w5300_modul 855 VIEW @behavioral 856 GRAPHIC 63,0 437 0 857 DESIGN w5300_modul 858 VIEW @behavioral 859 GRAPHIC 68,0 438 0 860 DESIGN w5300_modul 861 VIEW @behavioral 862 GRAPHIC 73,0 439 0 863 DESIGN w5300_modul 864 VIEW @behavioral 865 GRAPHIC 491,0 440 0 866 DESIGN w5300_modul 867 VIEW @behavioral 868 GRAPHIC 83,0 441 0 869 DESIGN w5300_modul 870 VIEW @behavioral 871 GRAPHIC 88,0 442 0 872 DESIGN w5300_modul 873 VIEW @behavioral 874 GRAPHIC 93,0 443 0 875 DESIGN w5300_modul 876 VIEW @behavioral 877 GRAPHIC 98,0 444 0 878 DESIGN w5300_modul 879 VIEW @behavioral 880 GRAPHIC 103,0 445 0 881 DESIGN w5300_modul 882 VIEW @behavioral 883 GRAPHIC 108,0 446 0 884 DESIGN w5300_modul 885 VIEW @behavioral 886 GRAPHIC 113,0 447 0 887 DESIGN w5300_modul 888 VIEW @behavioral 889 GRAPHIC 885,0 448 0 890 DESIGN w5300_modul 891 VIEW @behavioral 892 GRAPHIC 118,0 449 0 893 DESIGN w5300_modul 894 VIEW @behavioral 895 GRAPHIC 353,0 450 0 896 DESIGN w5300_modul 897 VIEW @behavioral 898 GRAPHIC 348,0 451 0 899 DESIGN w5300_modul 900 VIEW @behavioral 901 GRAPHIC 385,0 452 0 902 DESIGN w5300_modul 903 VIEW @behavioral 904 GRAPHIC 521,0 453 0 905 DESIGN w5300_modul 906 VIEW @behavioral 907 GRAPHIC 1187,0 455 0 908 DESIGN w5300_modul 909 VIEW @behavioral 910 GRAPHIC 1192,0 456 0 911 DESIGN w5300_modul 912 VIEW @behavioral 913 GRAPHIC 576,0 457 0 914 DESIGN w5300_modul 915 VIEW @behavioral 916 GRAPHIC 566,0 459 0 917 DESIGN w5300_modul 918 VIEW @behavioral 919 GRAPHIC 551,0 460 0 920 DESIGN w5300_modul 921 VIEW @behavioral 922 GRAPHIC 561,0 461 0 923 DESIGN w5300_modul 924 VIEW @behavioral 925 GRAPHIC 571,0 462 0 926 DESIGN w5300_modul 927 VIEW @behavioral 928 GRAPHIC 640,0 463 0 929 DESIGN w5300_modul 930 VIEW @behavioral 931 GRAPHIC 1052,0 464 0 932 DESIGN w5300_modul 933 VIEW @behavioral 934 GRAPHIC 1057,0 466 0 935 DESIGN w5300_modul 936 VIEW @behavioral 937 GRAPHIC 556,0 468 0 938 DESIGN w5300_modul 939 VIEW @behavioral 940 GRAPHIC 1283,0 470 0 941 DESIGN w5300_modul 942 VIEW @behavioral 943 GRAPHIC 1315,0 471 0 944 DESIGN w5300_modul 945 VIEW @behavioral 946 GRAPHIC 1320,0 472 0 947 DESIGN w5300_modul 948 VIEW @behavioral 949 GRAPHIC 670,0 473 0 950 DESIGN w5300_modul 951 VIEW @behavioral 952 GRAPHIC 723,0 474 0 953 DESIGN w5300_modul 954 VIEW @behavioral 955 GRAPHIC 917,0 475 0 956 DESIGN w5300_modul 957 VIEW @behavioral 958 GRAPHIC 949,0 476 0 959 DESIGN w5300_modul 960 VIEW @behavioral 961 GRAPHIC 954,0 477 0 962 DESIGN w5300_modul 963 VIEW @behavioral 964 GRAPHIC 988,0 478 0 965 DESIGN w5300_modul 966 VIEW @behavioral 967 GRAPHIC 1020,0 479 0 968 DESIGN w5300_modul 969 VIEW @behavioral 970 GRAPHIC 1130,0 480 0 971 DESIGN w5300_modul 972 VIEW @behavioral 973 GRAPHIC 1096,0 481 0 974 DESIGN w5300_modul 975 VIEW @behavioral 976 GRAPHIC 1091,0 482 0 965 977 LIBRARY FACT_FAD_lib 966 978 DESIGN @f@a@d_main 967 979 VIEW struct.bd 968 NO_GRAPHIC 481 969 DESIGN @f@a@d_main 970 VIEW struct.bd 971 GRAPHIC 5678,0 484 0 972 DESIGN @f@a@d_main 973 VIEW struct.bd 974 GRAPHIC 9175,0 485 0 975 DESIGN @f@a@d_main 976 VIEW struct.bd 977 GRAPHIC 13117,0 486 0 978 DESIGN @f@a@d_main 979 VIEW struct.bd 980 GRAPHIC 5072,0 487 0 981 DESIGN @f@a@d_main 982 VIEW struct.bd 983 GRAPHIC 8277,0 488 0 984 DESIGN @f@a@d_main 985 VIEW struct.bd 986 GRAPHIC 1399,0 489 0 987 DESIGN @f@a@d_main 988 VIEW struct.bd 989 GRAPHIC 4903,0 490 0 990 DESIGN @f@a@d_main 991 VIEW struct.bd 992 GRAPHIC 11209,0 491 0 993 DESIGN @f@a@d_main 994 VIEW struct.bd 995 GRAPHIC 2311,0 492 0 996 DESIGN @f@a@d_main 997 VIEW struct.bd 998 GRAPHIC 5793,0 493 0 999 DESIGN @f@a@d_main 1000 VIEW struct.bd 1001 GRAPHIC 1768,0 494 0 1002 DESIGN @f@a@d_main 1003 VIEW struct.bd 1004 GRAPHIC 12625,0 495 0 1005 DESIGN @f@a@d_main 1006 VIEW struct.bd 1007 GRAPHIC 1606,0 496 0 1008 DESIGN @f@a@d_main 1009 VIEW struct.bd 1010 NO_GRAPHIC 499 1011 DESIGN @f@a@d_main 1012 VIEW struct.bd 1013 GRAPHIC 6529,0 501 0 1014 DESIGN @f@a@d_main 1015 VIEW struct.bd 1016 GRAPHIC 9957,0 504 0 1017 DESIGN @f@a@d_main 1018 VIEW struct.bd 1019 GRAPHIC 8721,0 507 0 1020 DESIGN @f@a@d_main 1021 VIEW struct.bd 1022 GRAPHIC 12295,0 510 0 1023 DESIGN @f@a@d_main 1024 VIEW struct.bd 1025 GRAPHIC 9472,0 513 0 1026 DESIGN @f@a@d_main 1027 VIEW struct.bd 1028 GRAPHIC 9662,0 516 0 1029 DESIGN @f@a@d_main 1030 VIEW struct.bd 1031 GRAPHIC 9679,0 519 0 1032 DESIGN @f@a@d_main 1033 VIEW struct.bd 1034 GRAPHIC 9710,0 522 0 1035 DESIGN @f@a@d_main 1036 VIEW struct.bd 1037 GRAPHIC 8562,0 525 0 1038 DESIGN @f@a@d_main 1039 VIEW struct.bd 1040 GRAPHIC 10380,0 536 0 1041 DESIGN @f@a@d_main 1042 VIEW struct.bd 1043 GRAPHIC 13266,0 539 0 1044 DESIGN @f@a@d_main 1045 VIEW struct.bd 1046 NO_GRAPHIC 542 1047 DESIGN @f@a@d_main 1048 VIEW struct.bd 1049 GRAPHIC 5678,0 544 0 1050 DESIGN @f@a@d_main 1051 VIEW struct.bd 1052 GRAPHIC 5646,0 546 0 1053 DESIGN @f@a@d_main 1054 VIEW struct.bd 1055 GRAPHIC 4272,0 547 0 1056 DESIGN @f@a@d_main 1057 VIEW struct.bd 1058 GRAPHIC 2786,0 548 0 1059 DESIGN @f@a@d_main 1060 VIEW struct.bd 1061 GRAPHIC 5626,0 549 0 1062 DESIGN @f@a@d_main 1063 VIEW struct.bd 1064 GRAPHIC 5634,0 550 0 1065 DESIGN @f@a@d_main 1066 VIEW struct.bd 1067 GRAPHIC 9175,0 552 0 1068 DESIGN @f@a@d_main 1069 VIEW struct.bd 1070 GRAPHIC 4042,0 554 0 1071 DESIGN @f@a@d_main 1072 VIEW struct.bd 1073 GRAPHIC 10036,0 555 0 1074 DESIGN @f@a@d_main 1075 VIEW struct.bd 1076 GRAPHIC 9253,0 556 0 1077 DESIGN @f@a@d_main 1078 VIEW struct.bd 1079 GRAPHIC 9261,0 557 0 1080 DESIGN @f@a@d_main 1081 VIEW struct.bd 1082 GRAPHIC 6072,0 558 0 1083 DESIGN @f@a@d_main 1084 VIEW struct.bd 1085 GRAPHIC 3984,0 559 0 1086 DESIGN @f@a@d_main 1087 VIEW struct.bd 1088 GRAPHIC 3888,0 560 0 1089 DESIGN @f@a@d_main 1090 VIEW struct.bd 1091 GRAPHIC 9353,0 561 0 1092 DESIGN @f@a@d_main 1093 VIEW struct.bd 1094 GRAPHIC 9269,0 562 0 1095 DESIGN @f@a@d_main 1096 VIEW struct.bd 1097 GRAPHIC 9325,0 563 0 1098 DESIGN @f@a@d_main 1099 VIEW struct.bd 1100 GRAPHIC 9283,0 564 0 1101 DESIGN @f@a@d_main 1102 VIEW struct.bd 1103 GRAPHIC 9297,0 565 0 1104 DESIGN @f@a@d_main 1105 VIEW struct.bd 1106 GRAPHIC 9367,0 566 0 1107 DESIGN @f@a@d_main 1108 VIEW struct.bd 1109 GRAPHIC 9397,0 567 0 1110 DESIGN @f@a@d_main 1111 VIEW struct.bd 1112 GRAPHIC 9382,0 568 0 1113 DESIGN @f@a@d_main 1114 VIEW struct.bd 1115 GRAPHIC 13117,0 570 0 1116 DESIGN @f@a@d_main 1117 VIEW struct.bd 1118 GRAPHIC 13124,0 571 1 1119 DESIGN @f@a@d_main 1120 VIEW struct.bd 1121 GRAPHIC 13143,0 575 0 1122 DESIGN @f@a@d_main 1123 VIEW struct.bd 1124 GRAPHIC 13159,0 576 0 1125 DESIGN @f@a@d_main 1126 VIEW struct.bd 1127 GRAPHIC 13165,0 577 0 1128 DESIGN @f@a@d_main 1129 VIEW struct.bd 1130 GRAPHIC 13210,0 578 0 1131 DESIGN @f@a@d_main 1132 VIEW struct.bd 1133 GRAPHIC 5072,0 580 0 1134 DESIGN @f@a@d_main 1135 VIEW struct.bd 1136 GRAPHIC 5582,0 582 0 1137 DESIGN @f@a@d_main 1138 VIEW struct.bd 1139 GRAPHIC 5090,0 583 0 1140 DESIGN @f@a@d_main 1141 VIEW struct.bd 1142 GRAPHIC 5130,0 584 0 1143 DESIGN @f@a@d_main 1144 VIEW struct.bd 1145 GRAPHIC 5184,0 585 0 1146 DESIGN @f@a@d_main 1147 VIEW struct.bd 1148 GRAPHIC 5122,0 586 0 1149 DESIGN @f@a@d_main 1150 VIEW struct.bd 1151 GRAPHIC 5106,0 587 0 1152 DESIGN @f@a@d_main 1153 VIEW struct.bd 1154 GRAPHIC 5098,0 588 0 1155 DESIGN @f@a@d_main 1156 VIEW struct.bd 1157 GRAPHIC 5190,0 589 0 1158 DESIGN @f@a@d_main 1159 VIEW struct.bd 1160 GRAPHIC 10194,0 590 0 1161 DESIGN @f@a@d_main 1162 VIEW struct.bd 1163 GRAPHIC 10202,0 591 0 1164 DESIGN @f@a@d_main 1165 VIEW struct.bd 1166 GRAPHIC 6002,0 592 0 1167 DESIGN @f@a@d_main 1168 VIEW struct.bd 1169 GRAPHIC 5146,0 593 0 1170 DESIGN @f@a@d_main 1171 VIEW struct.bd 1172 GRAPHIC 5138,0 594 0 1173 DESIGN @f@a@d_main 1174 VIEW struct.bd 1175 GRAPHIC 5114,0 595 0 1176 DESIGN @f@a@d_main 1177 VIEW struct.bd 1178 GRAPHIC 8277,0 597 0 1179 DESIGN @f@a@d_main 1180 VIEW struct.bd 1181 GRAPHIC 5602,0 599 0 1182 DESIGN @f@a@d_main 1183 VIEW struct.bd 1184 GRAPHIC 334,0 600 0 1185 DESIGN @f@a@d_main 1186 VIEW struct.bd 1187 GRAPHIC 328,0 601 0 1188 DESIGN @f@a@d_main 1189 VIEW struct.bd 1190 GRAPHIC 322,0 602 0 1191 DESIGN @f@a@d_main 1192 VIEW struct.bd 1193 GRAPHIC 4240,0 603 0 1194 DESIGN @f@a@d_main 1195 VIEW struct.bd 1196 GRAPHIC 364,0 604 0 1197 DESIGN @f@a@d_main 1198 VIEW struct.bd 1199 GRAPHIC 370,0 605 0 1200 DESIGN @f@a@d_main 1201 VIEW struct.bd 1202 GRAPHIC 1399,0 607 0 1203 DESIGN @f@a@d_main 1204 VIEW struct.bd 1205 GRAPHIC 1406,0 608 1 1206 DESIGN @f@a@d_main 1207 VIEW struct.bd 1208 GRAPHIC 5602,0 612 0 1209 DESIGN @f@a@d_main 1210 VIEW struct.bd 1211 GRAPHIC 334,0 613 0 1212 DESIGN @f@a@d_main 1213 VIEW struct.bd 1214 GRAPHIC 328,0 614 0 1215 DESIGN @f@a@d_main 1216 VIEW struct.bd 1217 GRAPHIC 322,0 615 0 1218 DESIGN @f@a@d_main 1219 VIEW struct.bd 1220 GRAPHIC 2299,0 616 0 1221 DESIGN @f@a@d_main 1222 VIEW struct.bd 1223 GRAPHIC 2576,0 617 0 1224 DESIGN @f@a@d_main 1225 VIEW struct.bd 1226 GRAPHIC 2582,0 618 0 1227 DESIGN @f@a@d_main 1228 VIEW struct.bd 1229 GRAPHIC 10467,0 619 0 1230 DESIGN @f@a@d_main 1231 VIEW struct.bd 1232 GRAPHIC 2588,0 620 0 1233 DESIGN @f@a@d_main 1234 VIEW struct.bd 1235 GRAPHIC 5184,0 621 0 1236 DESIGN @f@a@d_main 1237 VIEW struct.bd 1238 GRAPHIC 5745,0 622 0 1239 DESIGN @f@a@d_main 1240 VIEW struct.bd 1241 GRAPHIC 2594,0 623 0 1242 DESIGN @f@a@d_main 1243 VIEW struct.bd 1244 GRAPHIC 5190,0 624 0 1245 DESIGN @f@a@d_main 1246 VIEW struct.bd 1247 GRAPHIC 5404,0 625 0 1248 DESIGN @f@a@d_main 1249 VIEW struct.bd 1250 GRAPHIC 6018,0 626 0 1251 DESIGN @f@a@d_main 1252 VIEW struct.bd 1253 GRAPHIC 6002,0 627 0 1254 DESIGN @f@a@d_main 1255 VIEW struct.bd 1256 GRAPHIC 6008,0 628 0 1257 DESIGN @f@a@d_main 1258 VIEW struct.bd 1259 GRAPHIC 5138,0 629 0 1260 DESIGN @f@a@d_main 1261 VIEW struct.bd 1262 GRAPHIC 2600,0 630 0 1263 DESIGN @f@a@d_main 1264 VIEW struct.bd 1265 GRAPHIC 5480,0 631 0 1266 DESIGN @f@a@d_main 1267 VIEW struct.bd 1268 GRAPHIC 5474,0 632 0 1269 DESIGN @f@a@d_main 1270 VIEW struct.bd 1271 GRAPHIC 6064,0 633 0 1272 DESIGN @f@a@d_main 1273 VIEW struct.bd 1274 GRAPHIC 2642,0 634 0 1275 DESIGN @f@a@d_main 1276 VIEW struct.bd 1277 GRAPHIC 1411,0 635 0 1278 DESIGN @f@a@d_main 1279 VIEW struct.bd 1280 GRAPHIC 1682,0 636 0 1281 DESIGN @f@a@d_main 1282 VIEW struct.bd 1283 GRAPHIC 1983,0 637 0 1284 DESIGN @f@a@d_main 1285 VIEW struct.bd 1286 GRAPHIC 10439,0 638 0 1287 DESIGN @f@a@d_main 1288 VIEW struct.bd 1289 GRAPHIC 5950,0 639 0 1290 DESIGN @f@a@d_main 1291 VIEW struct.bd 1292 GRAPHIC 5962,0 640 0 1293 DESIGN @f@a@d_main 1294 VIEW struct.bd 1295 GRAPHIC 5626,0 641 0 1296 DESIGN @f@a@d_main 1297 VIEW struct.bd 1298 GRAPHIC 2778,0 642 0 1299 DESIGN @f@a@d_main 1300 VIEW struct.bd 1301 GRAPHIC 9006,0 643 0 1302 DESIGN @f@a@d_main 1303 VIEW struct.bd 1304 GRAPHIC 5634,0 644 0 1305 DESIGN @f@a@d_main 1306 VIEW struct.bd 1307 GRAPHIC 8577,0 645 0 1308 DESIGN @f@a@d_main 1309 VIEW struct.bd 1310 GRAPHIC 12649,0 646 0 1311 DESIGN @f@a@d_main 1312 VIEW struct.bd 1313 GRAPHIC 12655,0 647 0 1314 DESIGN @f@a@d_main 1315 VIEW struct.bd 1316 GRAPHIC 4401,0 648 0 1317 DESIGN @f@a@d_main 1318 VIEW struct.bd 1319 GRAPHIC 4419,0 649 0 1320 DESIGN @f@a@d_main 1321 VIEW struct.bd 1322 GRAPHIC 10298,0 650 0 1323 DESIGN @f@a@d_main 1324 VIEW struct.bd 1325 GRAPHIC 10304,0 651 0 1326 DESIGN @f@a@d_main 1327 VIEW struct.bd 1328 GRAPHIC 10316,0 652 0 1329 DESIGN @f@a@d_main 1330 VIEW struct.bd 1331 GRAPHIC 10310,0 653 0 1332 DESIGN @f@a@d_main 1333 VIEW struct.bd 1334 GRAPHIC 4743,0 654 0 1335 DESIGN @f@a@d_main 1336 VIEW struct.bd 1337 GRAPHIC 4407,0 655 0 1338 DESIGN @f@a@d_main 1339 VIEW struct.bd 1340 GRAPHIC 11405,0 656 0 1341 DESIGN @f@a@d_main 1342 VIEW struct.bd 1343 GRAPHIC 4903,0 658 0 1344 DESIGN @f@a@d_main 1345 VIEW struct.bd 1346 GRAPHIC 4757,0 660 0 1347 DESIGN @f@a@d_main 1348 VIEW struct.bd 1349 GRAPHIC 4401,0 661 0 1350 DESIGN @f@a@d_main 1351 VIEW struct.bd 1352 GRAPHIC 4419,0 662 0 1353 DESIGN @f@a@d_main 1354 VIEW struct.bd 1355 GRAPHIC 4671,0 663 0 1356 DESIGN @f@a@d_main 1357 VIEW struct.bd 1358 GRAPHIC 4679,0 664 0 1359 DESIGN @f@a@d_main 1360 VIEW struct.bd 1361 GRAPHIC 4687,0 665 0 1362 DESIGN @f@a@d_main 1363 VIEW struct.bd 1364 GRAPHIC 4695,0 666 0 1365 DESIGN @f@a@d_main 1366 VIEW struct.bd 1367 GRAPHIC 4407,0 667 0 1368 DESIGN @f@a@d_main 1369 VIEW struct.bd 1370 GRAPHIC 4743,0 668 0 1371 DESIGN @f@a@d_main 1372 VIEW struct.bd 1373 GRAPHIC 10298,0 669 0 1374 DESIGN @f@a@d_main 1375 VIEW struct.bd 1376 GRAPHIC 10310,0 670 0 1377 DESIGN @f@a@d_main 1378 VIEW struct.bd 1379 GRAPHIC 10304,0 671 0 1380 DESIGN @f@a@d_main 1381 VIEW struct.bd 1382 GRAPHIC 10316,0 672 0 1383 DESIGN @f@a@d_main 1384 VIEW struct.bd 1385 GRAPHIC 10322,0 673 0 1386 DESIGN @f@a@d_main 1387 VIEW struct.bd 1388 GRAPHIC 4948,0 674 0 1389 DESIGN @f@a@d_main 1390 VIEW struct.bd 1391 GRAPHIC 10010,0 675 0 1392 DESIGN @f@a@d_main 1393 VIEW struct.bd 1394 GRAPHIC 11209,0 677 0 1395 DESIGN @f@a@d_main 1396 VIEW struct.bd 1397 GRAPHIC 11216,0 678 1 1398 DESIGN @f@a@d_main 1399 VIEW struct.bd 1400 GRAPHIC 10699,0 684 0 1401 DESIGN @f@a@d_main 1402 VIEW struct.bd 1403 GRAPHIC 10723,0 685 0 1404 DESIGN @f@a@d_main 1405 VIEW struct.bd 1406 GRAPHIC 10737,0 686 0 1407 DESIGN @f@a@d_main 1408 VIEW struct.bd 1409 GRAPHIC 10751,0 687 0 1410 DESIGN @f@a@d_main 1411 VIEW struct.bd 1412 GRAPHIC 12707,0 688 0 1413 DESIGN @f@a@d_main 1414 VIEW struct.bd 1415 GRAPHIC 10707,0 689 0 1416 DESIGN @f@a@d_main 1417 VIEW struct.bd 1418 GRAPHIC 10685,0 690 0 1419 DESIGN @f@a@d_main 1420 VIEW struct.bd 1421 GRAPHIC 10691,0 691 0 1422 DESIGN @f@a@d_main 1423 VIEW struct.bd 1424 GRAPHIC 2311,0 693 0 1425 DESIGN @f@a@d_main 1426 VIEW struct.bd 1427 GRAPHIC 2318,0 694 1 1428 DESIGN @f@a@d_main 1429 VIEW struct.bd 1430 GRAPHIC 6082,0 699 0 1431 DESIGN @f@a@d_main 1432 VIEW struct.bd 1433 GRAPHIC 2588,0 700 0 1434 DESIGN @f@a@d_main 1435 VIEW struct.bd 1436 GRAPHIC 2582,0 701 0 1437 DESIGN @f@a@d_main 1438 VIEW struct.bd 1439 GRAPHIC 10467,0 702 0 1440 DESIGN @f@a@d_main 1441 VIEW struct.bd 1442 GRAPHIC 5168,0 703 0 1443 DESIGN @f@a@d_main 1444 VIEW struct.bd 1445 GRAPHIC 2576,0 704 0 1446 DESIGN @f@a@d_main 1447 VIEW struct.bd 1448 GRAPHIC 2594,0 705 0 1449 DESIGN @f@a@d_main 1450 VIEW struct.bd 1451 GRAPHIC 6018,0 706 0 1452 DESIGN @f@a@d_main 1453 VIEW struct.bd 1454 GRAPHIC 2600,0 707 0 1455 DESIGN @f@a@d_main 1456 VIEW struct.bd 1457 GRAPHIC 2642,0 708 0 1458 DESIGN @f@a@d_main 1459 VIEW struct.bd 1460 GRAPHIC 2488,0 709 0 1461 DESIGN @f@a@d_main 1462 VIEW struct.bd 1463 GRAPHIC 2482,0 710 0 1464 DESIGN @f@a@d_main 1465 VIEW struct.bd 1466 GRAPHIC 2494,0 711 0 1467 DESIGN @f@a@d_main 1468 VIEW struct.bd 1469 GRAPHIC 2476,0 712 0 1470 DESIGN @f@a@d_main 1471 VIEW struct.bd 1472 GRAPHIC 2506,0 713 0 1473 DESIGN @f@a@d_main 1474 VIEW struct.bd 1475 GRAPHIC 2500,0 714 0 1476 DESIGN @f@a@d_main 1477 VIEW struct.bd 1478 GRAPHIC 2470,0 715 0 1479 DESIGN @f@a@d_main 1480 VIEW struct.bd 1481 GRAPHIC 8416,0 716 0 1482 DESIGN @f@a@d_main 1483 VIEW struct.bd 1484 GRAPHIC 2299,0 717 0 1485 DESIGN @f@a@d_main 1486 VIEW struct.bd 1487 GRAPHIC 5793,0 719 0 1488 DESIGN @f@a@d_main 1489 VIEW struct.bd 1490 GRAPHIC 5805,0 721 0 1491 DESIGN @f@a@d_main 1492 VIEW struct.bd 1493 GRAPHIC 5745,0 722 0 1494 DESIGN @f@a@d_main 1495 VIEW struct.bd 1496 GRAPHIC 5146,0 723 0 1497 DESIGN @f@a@d_main 1498 VIEW struct.bd 1499 GRAPHIC 5404,0 724 0 1500 DESIGN @f@a@d_main 1501 VIEW struct.bd 1502 GRAPHIC 6008,0 725 0 1503 DESIGN @f@a@d_main 1504 VIEW struct.bd 1505 GRAPHIC 5829,0 726 0 1506 DESIGN @f@a@d_main 1507 VIEW struct.bd 1508 GRAPHIC 6160,0 727 0 1509 DESIGN @f@a@d_main 1510 VIEW struct.bd 1511 GRAPHIC 8732,0 728 0 1512 DESIGN @f@a@d_main 1513 VIEW struct.bd 1514 GRAPHIC 5480,0 729 0 1515 DESIGN @f@a@d_main 1516 VIEW struct.bd 1517 GRAPHIC 5837,0 730 0 1518 DESIGN @f@a@d_main 1519 VIEW struct.bd 1520 GRAPHIC 5474,0 731 0 1521 DESIGN @f@a@d_main 1522 VIEW struct.bd 1523 GRAPHIC 5821,0 732 0 1524 DESIGN @f@a@d_main 1525 VIEW struct.bd 1526 GRAPHIC 1768,0 734 0 1527 DESIGN @f@a@d_main 1528 VIEW struct.bd 1529 GRAPHIC 1983,0 736 0 1530 DESIGN @f@a@d_main 1531 VIEW struct.bd 1532 GRAPHIC 10439,0 737 0 1533 DESIGN @f@a@d_main 1534 VIEW struct.bd 1535 GRAPHIC 6276,0 738 0 1536 DESIGN @f@a@d_main 1537 VIEW struct.bd 1538 GRAPHIC 12625,0 740 0 1539 DESIGN @f@a@d_main 1540 VIEW struct.bd 1541 GRAPHIC 12687,0 742 0 1542 DESIGN @f@a@d_main 1543 VIEW struct.bd 1544 GRAPHIC 12643,0 743 0 1545 DESIGN @f@a@d_main 1546 VIEW struct.bd 1547 GRAPHIC 12635,0 744 0 1548 DESIGN @f@a@d_main 1549 VIEW struct.bd 1550 GRAPHIC 12667,0 745 0 1551 DESIGN @f@a@d_main 1552 VIEW struct.bd 1553 GRAPHIC 12649,0 746 0 1554 DESIGN @f@a@d_main 1555 VIEW struct.bd 1556 GRAPHIC 12655,0 747 0 1557 DESIGN @f@a@d_main 1558 VIEW struct.bd 1559 GRAPHIC 1606,0 749 0 1560 DESIGN @f@a@d_main 1561 VIEW struct.bd 1562 GRAPHIC 1613,0 750 1 1563 DESIGN @f@a@d_main 1564 VIEW struct.bd 1565 GRAPHIC 3888,0 754 0 1566 DESIGN @f@a@d_main 1567 VIEW struct.bd 1568 GRAPHIC 376,0 755 0 1569 DESIGN @f@a@d_main 1570 VIEW struct.bd 1571 GRAPHIC 384,0 756 0 1572 DESIGN @f@a@d_main 1573 VIEW struct.bd 1574 GRAPHIC 392,0 757 0 1575 DESIGN @f@a@d_main 1576 VIEW struct.bd 1577 GRAPHIC 400,0 758 0 1578 DESIGN @f@a@d_main 1579 VIEW struct.bd 1580 GRAPHIC 408,0 759 0 1581 DESIGN @f@a@d_main 1582 VIEW struct.bd 1583 GRAPHIC 5222,0 760 0 1584 DESIGN @f@a@d_main 1585 VIEW struct.bd 1586 GRAPHIC 424,0 761 0 1587 DESIGN @f@a@d_main 1588 VIEW struct.bd 1589 GRAPHIC 432,0 762 0 1590 DESIGN @f@a@d_main 1591 VIEW struct.bd 1592 GRAPHIC 2482,0 763 0 1593 DESIGN @f@a@d_main 1594 VIEW struct.bd 1595 GRAPHIC 2488,0 764 0 1596 DESIGN @f@a@d_main 1597 VIEW struct.bd 1598 GRAPHIC 370,0 765 0 1599 DESIGN @f@a@d_main 1600 VIEW struct.bd 1601 GRAPHIC 364,0 766 0 1602 DESIGN @f@a@d_main 1603 VIEW struct.bd 1604 GRAPHIC 2476,0 767 0 1605 DESIGN @f@a@d_main 1606 VIEW struct.bd 1607 GRAPHIC 8416,0 768 0 1608 DESIGN @f@a@d_main 1609 VIEW struct.bd 1610 GRAPHIC 2470,0 769 0 1611 DESIGN @f@a@d_main 1612 VIEW struct.bd 1613 GRAPHIC 2506,0 770 0 1614 DESIGN @f@a@d_main 1615 VIEW struct.bd 1616 GRAPHIC 2500,0 771 0 1617 DESIGN @f@a@d_main 1618 VIEW struct.bd 1619 GRAPHIC 2494,0 772 0 1620 DESIGN @f@a@d_main 1621 VIEW struct.bd 1622 GRAPHIC 10266,0 773 0 1623 DESIGN @f@a@d_main 1624 VIEW struct.bd 1625 GRAPHIC 13159,0 774 0 1626 DESIGN @f@a@d_main 1627 VIEW struct.bd 1628 GRAPHIC 13165,0 775 0 1629 DESIGN @f@a@d_main 1630 VIEW struct.bd 1631 GRAPHIC 5950,0 776 0 1632 DESIGN @f@a@d_main 1633 VIEW struct.bd 1634 GRAPHIC 5962,0 777 0 1635 DESIGN @f@a@d_main 1636 VIEW struct.bd 1637 GRAPHIC 5090,0 778 0 1638 DESIGN @f@a@d_main 1639 VIEW struct.bd 1640 GRAPHIC 5114,0 779 0 1641 DESIGN @f@a@d_main 1642 VIEW struct.bd 1643 GRAPHIC 5122,0 780 0 1644 DESIGN @f@a@d_main 1645 VIEW struct.bd 1646 GRAPHIC 5130,0 781 0 1647 DESIGN @f@a@d_main 1648 VIEW struct.bd 1649 GRAPHIC 10194,0 782 0 1650 DESIGN @f@a@d_main 1651 VIEW struct.bd 1652 GRAPHIC 10202,0 783 0 1653 DESIGN @f@a@d_main 1654 VIEW struct.bd 1655 GRAPHIC 5106,0 784 0 1656 DESIGN @f@a@d_main 1657 VIEW struct.bd 1658 GRAPHIC 6362,0 785 0 1659 DESIGN @f@a@d_main 1660 VIEW struct.bd 1661 GRAPHIC 6452,0 786 0 1662 DESIGN @f@a@d_main 1663 VIEW struct.bd 1664 GRAPHIC 8752,0 787 0 1665 DESIGN @f@a@d_main 1666 VIEW struct.bd 1667 GRAPHIC 9233,0 788 0 1668 DESIGN @f@a@d_main 1669 VIEW struct.bd 1670 GRAPHIC 9241,0 789 0 1671 DESIGN @f@a@d_main 1672 VIEW struct.bd 1673 GRAPHIC 9943,0 790 0 1674 DESIGN @f@a@d_main 1675 VIEW struct.bd 1676 GRAPHIC 9951,0 791 0 1677 DESIGN @f@a@d_main 1678 VIEW struct.bd 1679 GRAPHIC 11858,0 792 0 1680 DESIGN @f@a@d_main 1681 VIEW struct.bd 1682 GRAPHIC 10637,0 793 0 1683 DESIGN @f@a@d_main 1684 VIEW struct.bd 1685 GRAPHIC 10629,0 794 0 1686 DESIGN @f@a@d_main 1687 VIEW struct.bd 1688 GRAPHIC 6276,0 798 0 1689 DESIGN @f@a@d_main 1690 VIEW struct.bd 1691 GRAPHIC 3888,0 799 0 1692 DESIGN @f@a@d_main 1693 VIEW struct.bd 1694 NO_GRAPHIC 801 980 NO_GRAPHIC 485 981 DESIGN @f@a@d_main 982 VIEW struct.bd 983 GRAPHIC 5678,0 488 0 984 DESIGN @f@a@d_main 985 VIEW struct.bd 986 GRAPHIC 9175,0 489 0 987 DESIGN @f@a@d_main 988 VIEW struct.bd 989 GRAPHIC 13117,0 490 0 990 DESIGN @f@a@d_main 991 VIEW struct.bd 992 GRAPHIC 5072,0 491 0 993 DESIGN @f@a@d_main 994 VIEW struct.bd 995 GRAPHIC 8277,0 492 0 996 DESIGN @f@a@d_main 997 VIEW struct.bd 998 GRAPHIC 1399,0 493 0 999 DESIGN @f@a@d_main 1000 VIEW struct.bd 1001 GRAPHIC 4903,0 494 0 1002 DESIGN @f@a@d_main 1003 VIEW struct.bd 1004 GRAPHIC 11209,0 495 0 1005 DESIGN @f@a@d_main 1006 VIEW struct.bd 1007 GRAPHIC 2311,0 496 0 1008 DESIGN @f@a@d_main 1009 VIEW struct.bd 1010 GRAPHIC 5793,0 497 0 1011 DESIGN @f@a@d_main 1012 VIEW struct.bd 1013 GRAPHIC 1768,0 498 0 1014 DESIGN @f@a@d_main 1015 VIEW struct.bd 1016 GRAPHIC 12625,0 499 0 1017 DESIGN @f@a@d_main 1018 VIEW struct.bd 1019 GRAPHIC 1606,0 500 0 1020 DESIGN @f@a@d_main 1021 VIEW struct.bd 1022 NO_GRAPHIC 503 1023 DESIGN @f@a@d_main 1024 VIEW struct.bd 1025 GRAPHIC 6529,0 505 0 1026 DESIGN @f@a@d_main 1027 VIEW struct.bd 1028 GRAPHIC 9957,0 508 0 1029 DESIGN @f@a@d_main 1030 VIEW struct.bd 1031 GRAPHIC 8721,0 511 0 1032 DESIGN @f@a@d_main 1033 VIEW struct.bd 1034 GRAPHIC 12295,0 514 0 1035 DESIGN @f@a@d_main 1036 VIEW struct.bd 1037 GRAPHIC 9472,0 517 0 1038 DESIGN @f@a@d_main 1039 VIEW struct.bd 1040 GRAPHIC 9662,0 520 0 1041 DESIGN @f@a@d_main 1042 VIEW struct.bd 1043 GRAPHIC 9679,0 523 0 1044 DESIGN @f@a@d_main 1045 VIEW struct.bd 1046 GRAPHIC 9710,0 526 0 1047 DESIGN @f@a@d_main 1048 VIEW struct.bd 1049 GRAPHIC 8562,0 529 0 1050 DESIGN @f@a@d_main 1051 VIEW struct.bd 1052 GRAPHIC 10380,0 540 0 1053 DESIGN @f@a@d_main 1054 VIEW struct.bd 1055 GRAPHIC 13266,0 543 0 1056 DESIGN @f@a@d_main 1057 VIEW struct.bd 1058 NO_GRAPHIC 546 1059 DESIGN @f@a@d_main 1060 VIEW struct.bd 1061 GRAPHIC 5678,0 548 0 1062 DESIGN @f@a@d_main 1063 VIEW struct.bd 1064 GRAPHIC 5646,0 550 0 1065 DESIGN @f@a@d_main 1066 VIEW struct.bd 1067 GRAPHIC 4272,0 551 0 1068 DESIGN @f@a@d_main 1069 VIEW struct.bd 1070 GRAPHIC 2786,0 552 0 1071 DESIGN @f@a@d_main 1072 VIEW struct.bd 1073 GRAPHIC 5626,0 553 0 1074 DESIGN @f@a@d_main 1075 VIEW struct.bd 1076 GRAPHIC 5634,0 554 0 1077 DESIGN @f@a@d_main 1078 VIEW struct.bd 1079 GRAPHIC 9175,0 556 0 1080 DESIGN @f@a@d_main 1081 VIEW struct.bd 1082 GRAPHIC 4042,0 558 0 1083 DESIGN @f@a@d_main 1084 VIEW struct.bd 1085 GRAPHIC 10036,0 559 0 1086 DESIGN @f@a@d_main 1087 VIEW struct.bd 1088 GRAPHIC 9253,0 560 0 1089 DESIGN @f@a@d_main 1090 VIEW struct.bd 1091 GRAPHIC 9261,0 561 0 1092 DESIGN @f@a@d_main 1093 VIEW struct.bd 1094 GRAPHIC 6072,0 562 0 1095 DESIGN @f@a@d_main 1096 VIEW struct.bd 1097 GRAPHIC 3984,0 563 0 1098 DESIGN @f@a@d_main 1099 VIEW struct.bd 1100 GRAPHIC 3888,0 564 0 1101 DESIGN @f@a@d_main 1102 VIEW struct.bd 1103 GRAPHIC 9353,0 565 0 1104 DESIGN @f@a@d_main 1105 VIEW struct.bd 1106 GRAPHIC 9269,0 566 0 1107 DESIGN @f@a@d_main 1108 VIEW struct.bd 1109 GRAPHIC 9325,0 567 0 1110 DESIGN @f@a@d_main 1111 VIEW struct.bd 1112 GRAPHIC 9283,0 568 0 1113 DESIGN @f@a@d_main 1114 VIEW struct.bd 1115 GRAPHIC 9297,0 569 0 1116 DESIGN @f@a@d_main 1117 VIEW struct.bd 1118 GRAPHIC 9367,0 570 0 1119 DESIGN @f@a@d_main 1120 VIEW struct.bd 1121 GRAPHIC 9397,0 571 0 1122 DESIGN @f@a@d_main 1123 VIEW struct.bd 1124 GRAPHIC 9382,0 572 0 1125 DESIGN @f@a@d_main 1126 VIEW struct.bd 1127 GRAPHIC 13117,0 574 0 1128 DESIGN @f@a@d_main 1129 VIEW struct.bd 1130 GRAPHIC 13124,0 575 1 1131 DESIGN @f@a@d_main 1132 VIEW struct.bd 1133 GRAPHIC 13143,0 579 0 1134 DESIGN @f@a@d_main 1135 VIEW struct.bd 1136 GRAPHIC 13159,0 580 0 1137 DESIGN @f@a@d_main 1138 VIEW struct.bd 1139 GRAPHIC 13165,0 581 0 1140 DESIGN @f@a@d_main 1141 VIEW struct.bd 1142 GRAPHIC 13210,0 582 0 1143 DESIGN @f@a@d_main 1144 VIEW struct.bd 1145 GRAPHIC 5072,0 584 0 1146 DESIGN @f@a@d_main 1147 VIEW struct.bd 1148 GRAPHIC 5582,0 586 0 1149 DESIGN @f@a@d_main 1150 VIEW struct.bd 1151 GRAPHIC 5090,0 587 0 1152 DESIGN @f@a@d_main 1153 VIEW struct.bd 1154 GRAPHIC 5130,0 588 0 1155 DESIGN @f@a@d_main 1156 VIEW struct.bd 1157 GRAPHIC 5184,0 589 0 1158 DESIGN @f@a@d_main 1159 VIEW struct.bd 1160 GRAPHIC 5122,0 590 0 1161 DESIGN @f@a@d_main 1162 VIEW struct.bd 1163 GRAPHIC 5106,0 591 0 1164 DESIGN @f@a@d_main 1165 VIEW struct.bd 1166 GRAPHIC 5098,0 592 0 1167 DESIGN @f@a@d_main 1168 VIEW struct.bd 1169 GRAPHIC 5190,0 593 0 1170 DESIGN @f@a@d_main 1171 VIEW struct.bd 1172 GRAPHIC 10194,0 594 0 1173 DESIGN @f@a@d_main 1174 VIEW struct.bd 1175 GRAPHIC 10202,0 595 0 1176 DESIGN @f@a@d_main 1177 VIEW struct.bd 1178 GRAPHIC 6002,0 596 0 1179 DESIGN @f@a@d_main 1180 VIEW struct.bd 1181 GRAPHIC 5146,0 597 0 1182 DESIGN @f@a@d_main 1183 VIEW struct.bd 1184 GRAPHIC 5138,0 598 0 1185 DESIGN @f@a@d_main 1186 VIEW struct.bd 1187 GRAPHIC 5114,0 599 0 1188 DESIGN @f@a@d_main 1189 VIEW struct.bd 1190 GRAPHIC 8277,0 601 0 1191 DESIGN @f@a@d_main 1192 VIEW struct.bd 1193 GRAPHIC 5602,0 603 0 1194 DESIGN @f@a@d_main 1195 VIEW struct.bd 1196 GRAPHIC 334,0 604 0 1197 DESIGN @f@a@d_main 1198 VIEW struct.bd 1199 GRAPHIC 328,0 605 0 1200 DESIGN @f@a@d_main 1201 VIEW struct.bd 1202 GRAPHIC 322,0 606 0 1203 DESIGN @f@a@d_main 1204 VIEW struct.bd 1205 GRAPHIC 4240,0 607 0 1206 DESIGN @f@a@d_main 1207 VIEW struct.bd 1208 GRAPHIC 364,0 608 0 1209 DESIGN @f@a@d_main 1210 VIEW struct.bd 1211 GRAPHIC 370,0 609 0 1212 DESIGN @f@a@d_main 1213 VIEW struct.bd 1214 GRAPHIC 1399,0 611 0 1215 DESIGN @f@a@d_main 1216 VIEW struct.bd 1217 GRAPHIC 1406,0 612 1 1218 DESIGN @f@a@d_main 1219 VIEW struct.bd 1220 GRAPHIC 5602,0 616 0 1221 DESIGN @f@a@d_main 1222 VIEW struct.bd 1223 GRAPHIC 334,0 617 0 1224 DESIGN @f@a@d_main 1225 VIEW struct.bd 1226 GRAPHIC 328,0 618 0 1227 DESIGN @f@a@d_main 1228 VIEW struct.bd 1229 GRAPHIC 322,0 619 0 1230 DESIGN @f@a@d_main 1231 VIEW struct.bd 1232 GRAPHIC 2299,0 620 0 1233 DESIGN @f@a@d_main 1234 VIEW struct.bd 1235 GRAPHIC 2576,0 621 0 1236 DESIGN @f@a@d_main 1237 VIEW struct.bd 1238 GRAPHIC 2582,0 622 0 1239 DESIGN @f@a@d_main 1240 VIEW struct.bd 1241 GRAPHIC 10467,0 623 0 1242 DESIGN @f@a@d_main 1243 VIEW struct.bd 1244 GRAPHIC 2588,0 624 0 1245 DESIGN @f@a@d_main 1246 VIEW struct.bd 1247 GRAPHIC 5184,0 625 0 1248 DESIGN @f@a@d_main 1249 VIEW struct.bd 1250 GRAPHIC 5745,0 626 0 1251 DESIGN @f@a@d_main 1252 VIEW struct.bd 1253 GRAPHIC 2594,0 627 0 1254 DESIGN @f@a@d_main 1255 VIEW struct.bd 1256 GRAPHIC 5190,0 628 0 1257 DESIGN @f@a@d_main 1258 VIEW struct.bd 1259 GRAPHIC 5404,0 629 0 1260 DESIGN @f@a@d_main 1261 VIEW struct.bd 1262 GRAPHIC 6018,0 630 0 1263 DESIGN @f@a@d_main 1264 VIEW struct.bd 1265 GRAPHIC 6002,0 631 0 1266 DESIGN @f@a@d_main 1267 VIEW struct.bd 1268 GRAPHIC 6008,0 632 0 1269 DESIGN @f@a@d_main 1270 VIEW struct.bd 1271 GRAPHIC 5138,0 633 0 1272 DESIGN @f@a@d_main 1273 VIEW struct.bd 1274 GRAPHIC 2600,0 634 0 1275 DESIGN @f@a@d_main 1276 VIEW struct.bd 1277 GRAPHIC 5480,0 635 0 1278 DESIGN @f@a@d_main 1279 VIEW struct.bd 1280 GRAPHIC 5474,0 636 0 1281 DESIGN @f@a@d_main 1282 VIEW struct.bd 1283 GRAPHIC 6064,0 637 0 1284 DESIGN @f@a@d_main 1285 VIEW struct.bd 1286 GRAPHIC 2642,0 638 0 1287 DESIGN @f@a@d_main 1288 VIEW struct.bd 1289 GRAPHIC 1411,0 639 0 1290 DESIGN @f@a@d_main 1291 VIEW struct.bd 1292 GRAPHIC 1682,0 640 0 1293 DESIGN @f@a@d_main 1294 VIEW struct.bd 1295 GRAPHIC 1983,0 641 0 1296 DESIGN @f@a@d_main 1297 VIEW struct.bd 1298 GRAPHIC 10439,0 642 0 1299 DESIGN @f@a@d_main 1300 VIEW struct.bd 1301 GRAPHIC 5950,0 643 0 1302 DESIGN @f@a@d_main 1303 VIEW struct.bd 1304 GRAPHIC 5962,0 644 0 1305 DESIGN @f@a@d_main 1306 VIEW struct.bd 1307 GRAPHIC 5626,0 645 0 1308 DESIGN @f@a@d_main 1309 VIEW struct.bd 1310 GRAPHIC 2778,0 646 0 1311 DESIGN @f@a@d_main 1312 VIEW struct.bd 1313 GRAPHIC 9006,0 647 0 1314 DESIGN @f@a@d_main 1315 VIEW struct.bd 1316 GRAPHIC 5634,0 648 0 1317 DESIGN @f@a@d_main 1318 VIEW struct.bd 1319 GRAPHIC 8577,0 649 0 1320 DESIGN @f@a@d_main 1321 VIEW struct.bd 1322 GRAPHIC 12649,0 650 0 1323 DESIGN @f@a@d_main 1324 VIEW struct.bd 1325 GRAPHIC 12655,0 651 0 1326 DESIGN @f@a@d_main 1327 VIEW struct.bd 1328 GRAPHIC 4401,0 652 0 1329 DESIGN @f@a@d_main 1330 VIEW struct.bd 1331 GRAPHIC 4419,0 653 0 1332 DESIGN @f@a@d_main 1333 VIEW struct.bd 1334 GRAPHIC 10298,0 654 0 1335 DESIGN @f@a@d_main 1336 VIEW struct.bd 1337 GRAPHIC 10304,0 655 0 1338 DESIGN @f@a@d_main 1339 VIEW struct.bd 1340 GRAPHIC 10316,0 656 0 1341 DESIGN @f@a@d_main 1342 VIEW struct.bd 1343 GRAPHIC 10310,0 657 0 1344 DESIGN @f@a@d_main 1345 VIEW struct.bd 1346 GRAPHIC 4743,0 658 0 1347 DESIGN @f@a@d_main 1348 VIEW struct.bd 1349 GRAPHIC 4407,0 659 0 1350 DESIGN @f@a@d_main 1351 VIEW struct.bd 1352 GRAPHIC 11405,0 660 0 1353 DESIGN @f@a@d_main 1354 VIEW struct.bd 1355 GRAPHIC 4903,0 662 0 1356 DESIGN @f@a@d_main 1357 VIEW struct.bd 1358 GRAPHIC 4757,0 664 0 1359 DESIGN @f@a@d_main 1360 VIEW struct.bd 1361 GRAPHIC 4401,0 665 0 1362 DESIGN @f@a@d_main 1363 VIEW struct.bd 1364 GRAPHIC 4419,0 666 0 1365 DESIGN @f@a@d_main 1366 VIEW struct.bd 1367 GRAPHIC 4671,0 667 0 1368 DESIGN @f@a@d_main 1369 VIEW struct.bd 1370 GRAPHIC 4679,0 668 0 1371 DESIGN @f@a@d_main 1372 VIEW struct.bd 1373 GRAPHIC 4687,0 669 0 1374 DESIGN @f@a@d_main 1375 VIEW struct.bd 1376 GRAPHIC 4695,0 670 0 1377 DESIGN @f@a@d_main 1378 VIEW struct.bd 1379 GRAPHIC 4407,0 671 0 1380 DESIGN @f@a@d_main 1381 VIEW struct.bd 1382 GRAPHIC 4743,0 672 0 1383 DESIGN @f@a@d_main 1384 VIEW struct.bd 1385 GRAPHIC 10298,0 673 0 1386 DESIGN @f@a@d_main 1387 VIEW struct.bd 1388 GRAPHIC 10310,0 674 0 1389 DESIGN @f@a@d_main 1390 VIEW struct.bd 1391 GRAPHIC 10304,0 675 0 1392 DESIGN @f@a@d_main 1393 VIEW struct.bd 1394 GRAPHIC 10316,0 676 0 1395 DESIGN @f@a@d_main 1396 VIEW struct.bd 1397 GRAPHIC 10322,0 677 0 1398 DESIGN @f@a@d_main 1399 VIEW struct.bd 1400 GRAPHIC 4948,0 678 0 1401 DESIGN @f@a@d_main 1402 VIEW struct.bd 1403 GRAPHIC 10010,0 679 0 1404 DESIGN @f@a@d_main 1405 VIEW struct.bd 1406 GRAPHIC 11209,0 681 0 1407 DESIGN @f@a@d_main 1408 VIEW struct.bd 1409 GRAPHIC 11216,0 682 1 1410 DESIGN @f@a@d_main 1411 VIEW struct.bd 1412 GRAPHIC 10699,0 688 0 1413 DESIGN @f@a@d_main 1414 VIEW struct.bd 1415 GRAPHIC 10723,0 689 0 1416 DESIGN @f@a@d_main 1417 VIEW struct.bd 1418 GRAPHIC 10737,0 690 0 1419 DESIGN @f@a@d_main 1420 VIEW struct.bd 1421 GRAPHIC 10751,0 691 0 1422 DESIGN @f@a@d_main 1423 VIEW struct.bd 1424 GRAPHIC 12707,0 692 0 1425 DESIGN @f@a@d_main 1426 VIEW struct.bd 1427 GRAPHIC 10707,0 693 0 1428 DESIGN @f@a@d_main 1429 VIEW struct.bd 1430 GRAPHIC 10685,0 694 0 1431 DESIGN @f@a@d_main 1432 VIEW struct.bd 1433 GRAPHIC 10691,0 695 0 1434 DESIGN @f@a@d_main 1435 VIEW struct.bd 1436 GRAPHIC 2311,0 697 0 1437 DESIGN @f@a@d_main 1438 VIEW struct.bd 1439 GRAPHIC 2318,0 698 1 1440 DESIGN @f@a@d_main 1441 VIEW struct.bd 1442 GRAPHIC 6082,0 703 0 1443 DESIGN @f@a@d_main 1444 VIEW struct.bd 1445 GRAPHIC 2588,0 704 0 1446 DESIGN @f@a@d_main 1447 VIEW struct.bd 1448 GRAPHIC 2582,0 705 0 1449 DESIGN @f@a@d_main 1450 VIEW struct.bd 1451 GRAPHIC 10467,0 706 0 1452 DESIGN @f@a@d_main 1453 VIEW struct.bd 1454 GRAPHIC 5168,0 707 0 1455 DESIGN @f@a@d_main 1456 VIEW struct.bd 1457 GRAPHIC 2576,0 708 0 1458 DESIGN @f@a@d_main 1459 VIEW struct.bd 1460 GRAPHIC 2594,0 709 0 1461 DESIGN @f@a@d_main 1462 VIEW struct.bd 1463 GRAPHIC 6018,0 710 0 1464 DESIGN @f@a@d_main 1465 VIEW struct.bd 1466 GRAPHIC 2600,0 711 0 1467 DESIGN @f@a@d_main 1468 VIEW struct.bd 1469 GRAPHIC 2642,0 712 0 1470 DESIGN @f@a@d_main 1471 VIEW struct.bd 1472 GRAPHIC 2488,0 713 0 1473 DESIGN @f@a@d_main 1474 VIEW struct.bd 1475 GRAPHIC 2482,0 714 0 1476 DESIGN @f@a@d_main 1477 VIEW struct.bd 1478 GRAPHIC 2494,0 715 0 1479 DESIGN @f@a@d_main 1480 VIEW struct.bd 1481 GRAPHIC 2476,0 716 0 1482 DESIGN @f@a@d_main 1483 VIEW struct.bd 1484 GRAPHIC 2506,0 717 0 1485 DESIGN @f@a@d_main 1486 VIEW struct.bd 1487 GRAPHIC 2500,0 718 0 1488 DESIGN @f@a@d_main 1489 VIEW struct.bd 1490 GRAPHIC 2470,0 719 0 1491 DESIGN @f@a@d_main 1492 VIEW struct.bd 1493 GRAPHIC 8416,0 720 0 1494 DESIGN @f@a@d_main 1495 VIEW struct.bd 1496 GRAPHIC 2299,0 721 0 1497 DESIGN @f@a@d_main 1498 VIEW struct.bd 1499 GRAPHIC 5793,0 723 0 1500 DESIGN @f@a@d_main 1501 VIEW struct.bd 1502 GRAPHIC 5805,0 725 0 1503 DESIGN @f@a@d_main 1504 VIEW struct.bd 1505 GRAPHIC 5745,0 726 0 1506 DESIGN @f@a@d_main 1507 VIEW struct.bd 1508 GRAPHIC 5146,0 727 0 1509 DESIGN @f@a@d_main 1510 VIEW struct.bd 1511 GRAPHIC 5404,0 728 0 1512 DESIGN @f@a@d_main 1513 VIEW struct.bd 1514 GRAPHIC 6008,0 729 0 1515 DESIGN @f@a@d_main 1516 VIEW struct.bd 1517 GRAPHIC 5829,0 730 0 1518 DESIGN @f@a@d_main 1519 VIEW struct.bd 1520 GRAPHIC 6160,0 731 0 1521 DESIGN @f@a@d_main 1522 VIEW struct.bd 1523 GRAPHIC 8732,0 732 0 1524 DESIGN @f@a@d_main 1525 VIEW struct.bd 1526 GRAPHIC 5480,0 733 0 1527 DESIGN @f@a@d_main 1528 VIEW struct.bd 1529 GRAPHIC 5837,0 734 0 1530 DESIGN @f@a@d_main 1531 VIEW struct.bd 1532 GRAPHIC 5474,0 735 0 1533 DESIGN @f@a@d_main 1534 VIEW struct.bd 1535 GRAPHIC 5821,0 736 0 1536 DESIGN @f@a@d_main 1537 VIEW struct.bd 1538 GRAPHIC 1768,0 738 0 1539 DESIGN @f@a@d_main 1540 VIEW struct.bd 1541 GRAPHIC 1983,0 740 0 1542 DESIGN @f@a@d_main 1543 VIEW struct.bd 1544 GRAPHIC 10439,0 741 0 1545 DESIGN @f@a@d_main 1546 VIEW struct.bd 1547 GRAPHIC 6276,0 742 0 1548 DESIGN @f@a@d_main 1549 VIEW struct.bd 1550 GRAPHIC 12625,0 744 0 1551 DESIGN @f@a@d_main 1552 VIEW struct.bd 1553 GRAPHIC 12687,0 746 0 1554 DESIGN @f@a@d_main 1555 VIEW struct.bd 1556 GRAPHIC 12643,0 747 0 1557 DESIGN @f@a@d_main 1558 VIEW struct.bd 1559 GRAPHIC 12635,0 748 0 1560 DESIGN @f@a@d_main 1561 VIEW struct.bd 1562 GRAPHIC 12667,0 749 0 1563 DESIGN @f@a@d_main 1564 VIEW struct.bd 1565 GRAPHIC 12649,0 750 0 1566 DESIGN @f@a@d_main 1567 VIEW struct.bd 1568 GRAPHIC 12655,0 751 0 1569 DESIGN @f@a@d_main 1570 VIEW struct.bd 1571 GRAPHIC 1606,0 753 0 1572 DESIGN @f@a@d_main 1573 VIEW struct.bd 1574 GRAPHIC 1613,0 754 1 1575 DESIGN @f@a@d_main 1576 VIEW struct.bd 1577 GRAPHIC 3888,0 758 0 1578 DESIGN @f@a@d_main 1579 VIEW struct.bd 1580 GRAPHIC 376,0 759 0 1581 DESIGN @f@a@d_main 1582 VIEW struct.bd 1583 GRAPHIC 384,0 760 0 1584 DESIGN @f@a@d_main 1585 VIEW struct.bd 1586 GRAPHIC 392,0 761 0 1587 DESIGN @f@a@d_main 1588 VIEW struct.bd 1589 GRAPHIC 400,0 762 0 1590 DESIGN @f@a@d_main 1591 VIEW struct.bd 1592 GRAPHIC 408,0 763 0 1593 DESIGN @f@a@d_main 1594 VIEW struct.bd 1595 GRAPHIC 5222,0 764 0 1596 DESIGN @f@a@d_main 1597 VIEW struct.bd 1598 GRAPHIC 424,0 765 0 1599 DESIGN @f@a@d_main 1600 VIEW struct.bd 1601 GRAPHIC 432,0 766 0 1602 DESIGN @f@a@d_main 1603 VIEW struct.bd 1604 GRAPHIC 2482,0 767 0 1605 DESIGN @f@a@d_main 1606 VIEW struct.bd 1607 GRAPHIC 2488,0 768 0 1608 DESIGN @f@a@d_main 1609 VIEW struct.bd 1610 GRAPHIC 370,0 769 0 1611 DESIGN @f@a@d_main 1612 VIEW struct.bd 1613 GRAPHIC 364,0 770 0 1614 DESIGN @f@a@d_main 1615 VIEW struct.bd 1616 GRAPHIC 2476,0 771 0 1617 DESIGN @f@a@d_main 1618 VIEW struct.bd 1619 GRAPHIC 8416,0 772 0 1620 DESIGN @f@a@d_main 1621 VIEW struct.bd 1622 GRAPHIC 2470,0 773 0 1623 DESIGN @f@a@d_main 1624 VIEW struct.bd 1625 GRAPHIC 2506,0 774 0 1626 DESIGN @f@a@d_main 1627 VIEW struct.bd 1628 GRAPHIC 2500,0 775 0 1629 DESIGN @f@a@d_main 1630 VIEW struct.bd 1631 GRAPHIC 2494,0 776 0 1632 DESIGN @f@a@d_main 1633 VIEW struct.bd 1634 GRAPHIC 10266,0 777 0 1635 DESIGN @f@a@d_main 1636 VIEW struct.bd 1637 GRAPHIC 13159,0 778 0 1638 DESIGN @f@a@d_main 1639 VIEW struct.bd 1640 GRAPHIC 13165,0 779 0 1641 DESIGN @f@a@d_main 1642 VIEW struct.bd 1643 GRAPHIC 5950,0 780 0 1644 DESIGN @f@a@d_main 1645 VIEW struct.bd 1646 GRAPHIC 5962,0 781 0 1647 DESIGN @f@a@d_main 1648 VIEW struct.bd 1649 GRAPHIC 5090,0 782 0 1650 DESIGN @f@a@d_main 1651 VIEW struct.bd 1652 GRAPHIC 5114,0 783 0 1653 DESIGN @f@a@d_main 1654 VIEW struct.bd 1655 GRAPHIC 5122,0 784 0 1656 DESIGN @f@a@d_main 1657 VIEW struct.bd 1658 GRAPHIC 5130,0 785 0 1659 DESIGN @f@a@d_main 1660 VIEW struct.bd 1661 GRAPHIC 10194,0 786 0 1662 DESIGN @f@a@d_main 1663 VIEW struct.bd 1664 GRAPHIC 10202,0 787 0 1665 DESIGN @f@a@d_main 1666 VIEW struct.bd 1667 GRAPHIC 5106,0 788 0 1668 DESIGN @f@a@d_main 1669 VIEW struct.bd 1670 GRAPHIC 13695,0 789 0 1671 DESIGN @f@a@d_main 1672 VIEW struct.bd 1673 GRAPHIC 13921,0 790 0 1674 DESIGN @f@a@d_main 1675 VIEW struct.bd 1676 GRAPHIC 13929,0 791 0 1677 DESIGN @f@a@d_main 1678 VIEW struct.bd 1679 GRAPHIC 6362,0 792 0 1680 DESIGN @f@a@d_main 1681 VIEW struct.bd 1682 GRAPHIC 6452,0 793 0 1683 DESIGN @f@a@d_main 1684 VIEW struct.bd 1685 GRAPHIC 8752,0 794 0 1686 DESIGN @f@a@d_main 1687 VIEW struct.bd 1688 GRAPHIC 9233,0 795 0 1689 DESIGN @f@a@d_main 1690 VIEW struct.bd 1691 GRAPHIC 9241,0 796 0 1692 DESIGN @f@a@d_main 1693 VIEW struct.bd 1694 GRAPHIC 9943,0 797 0 1695 DESIGN @f@a@d_main 1696 VIEW struct.bd 1697 GRAPHIC 9951,0 798 0 1698 DESIGN @f@a@d_main 1699 VIEW struct.bd 1700 GRAPHIC 11858,0 799 0 1701 DESIGN @f@a@d_main 1702 VIEW struct.bd 1703 GRAPHIC 10637,0 800 0 1704 DESIGN @f@a@d_main 1705 VIEW struct.bd 1706 GRAPHIC 10629,0 801 0 1707 DESIGN @f@a@d_main 1708 VIEW struct.bd 1709 GRAPHIC 6276,0 805 0 1710 DESIGN @f@a@d_main 1711 VIEW struct.bd 1712 GRAPHIC 3888,0 806 0 1713 DESIGN @f@a@d_main 1714 VIEW struct.bd 1715 NO_GRAPHIC 808 -
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd
r10121 r10123 91 91 number "9" 92 92 ) 93 (EmbeddedInstance 94 name "eb1" 95 number "7" 96 ) 93 97 ] 94 98 libraryRefs [ … … 105 109 (vvPair 106 110 variable "HDLDir" 107 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"111 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl" 108 112 ) 109 113 (vvPair 110 114 variable "HDSDir" 111 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"115 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" 112 116 ) 113 117 (vvPair 114 118 variable "SideDataDesignDir" 115 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"119 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info" 116 120 ) 117 121 (vvPair 118 122 variable "SideDataUserDir" 119 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"123 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user" 120 124 ) 121 125 (vvPair 122 126 variable "SourceDir" 123 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"127 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" 124 128 ) 125 129 (vvPair … … 137 141 (vvPair 138 142 variable "d" 139 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"143 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board" 140 144 ) 141 145 (vvPair 142 146 variable "d_logical" 143 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"147 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board" 144 148 ) 145 149 (vvPair 146 150 variable "date" 147 value "2 6.01.2011"151 value "27.01.2011" 148 152 ) 149 153 (vvPair 150 154 variable "day" 151 value " Mi"155 value "Do" 152 156 ) 153 157 (vvPair 154 158 variable "day_long" 155 value " Mittwoch"159 value "Donnerstag" 156 160 ) 157 161 (vvPair 158 162 variable "dd" 159 value "2 6"163 value "27" 160 164 ) 161 165 (vvPair … … 233 237 (vvPair 234 238 variable "p" 235 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"239 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd" 236 240 ) 237 241 (vvPair 238 242 variable "p_logical" 239 value "C:\\ FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"243 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd" 240 244 ) 241 245 (vvPair … … 293 297 (vvPair 294 298 variable "time" 295 value "1 1:50:58"299 value "17:58:52" 296 300 ) 297 301 (vvPair … … 684 688 n "wiz_reset" 685 689 t "std_logic" 686 o 4 6690 o 47 687 691 suid 2,0 688 692 i "'1'" … … 723 727 b "(7 DOWNTO 0)" 724 728 posAdd 0 725 o 3 5729 o 36 726 730 suid 7,0 727 731 i "(OTHERS => '0')" … … 760 764 preAdd 0 761 765 posAdd 0 762 o 1 0766 o 11 763 767 suid 18,0 764 768 ) … … 795 799 n "adc_oeb" 796 800 t "std_logic" 797 o 2 7801 o 28 798 802 suid 21,0 799 803 i "'1'" … … 833 837 preAdd 0 834 838 posAdd 0 835 o 8839 o 9 836 840 suid 24,0 837 841 ) … … 868 872 t "std_logic_vector" 869 873 b "(1 downto 0)" 870 o 9874 o 10 871 875 suid 25,0 872 876 ) … … 905 909 t "std_logic_vector" 906 910 b "(9 DOWNTO 0)" 907 o 4 3911 o 44 908 912 suid 26,0 909 913 ) … … 941 945 n "wiz_cs" 942 946 t "std_logic" 943 o 4 4947 o 45 944 948 suid 28,0 945 949 i "'1'" … … 979 983 t "std_logic_vector" 980 984 b "(15 DOWNTO 0)" 981 o 49985 o 50 982 986 suid 27,0 983 987 ) … … 1014 1018 n "wiz_int" 1015 1019 t "std_logic" 1016 o 1 11020 o 12 1017 1021 suid 31,0 1018 1022 ) … … 1050 1054 n "wiz_rd" 1051 1055 t "std_logic" 1052 o 4 51056 o 46 1053 1057 suid 30,0 1054 1058 i "'1'" … … 1087 1091 n "wiz_wr" 1088 1092 t "std_logic" 1089 o 4 71093 o 48 1090 1094 suid 29,0 1091 1095 i "'1'" … … 1123 1127 n "CLK_25_PS" 1124 1128 t "std_logic" 1125 o 1 51129 o 16 1126 1130 suid 35,0 1127 1131 ) … … 1158 1162 n "CLK_50" 1159 1163 t "std_logic" 1160 o 1 61164 o 17 1161 1165 suid 37,0 1162 1166 ) … … 1226 1230 n "adc_data_array" 1227 1231 t "adc_data_array_type" 1228 o 61232 o 7 1229 1233 suid 39,0 1230 1234 ) … … 1261 1265 t "std_logic_vector" 1262 1266 b "(3 DOWNTO 0)" 1263 o 71267 o 8 1264 1268 suid 40,0 1265 1269 ) … … 1297 1301 t "std_logic_vector" 1298 1302 b "(3 downto 0)" 1299 o 3 21303 o 33 1300 1304 suid 48,0 1301 1305 i "(others => '0')" … … 1333 1337 n "drs_dwrite" 1334 1338 t "std_logic" 1335 o 3 31339 o 34 1336 1340 suid 49,0 1337 1341 i "'1'" … … 1368 1372 n "SROUT_in_0" 1369 1373 t "std_logic" 1370 o 21374 o 3 1371 1375 suid 42,0 1372 1376 ) … … 1402 1406 n "SROUT_in_1" 1403 1407 t "std_logic" 1404 o 31408 o 4 1405 1409 suid 43,0 1406 1410 ) … … 1436 1440 n "SROUT_in_2" 1437 1441 t "std_logic" 1438 o 41442 o 5 1439 1443 suid 44,0 1440 1444 ) … … 1470 1474 n "SROUT_in_3" 1471 1475 t "std_logic" 1472 o 51476 o 6 1473 1477 suid 45,0 1474 1478 ) … … 1505 1509 n "RSRLOAD" 1506 1510 t "std_logic" 1507 o 2 31511 o 24 1508 1512 suid 56,0 1509 1513 i "'0'" … … 1541 1545 n "SRCLK" 1542 1546 t "std_logic" 1543 o 2 41547 o 25 1544 1548 suid 57,0 1545 1549 i "'0'" … … 1578 1582 n "dac_cs" 1579 1583 t "std_logic" 1580 o 3 01584 o 31 1581 1585 suid 64,0 1582 1586 ) … … 1614 1618 n "sclk" 1615 1619 t "std_logic" 1616 o 4 01620 o 41 1617 1621 suid 62,0 1618 1622 ) … … 1651 1655 t "std_logic_vector" 1652 1656 b "(3 DOWNTO 0)" 1653 o 4 11657 o 42 1654 1658 suid 65,0 1655 1659 ) … … 1689 1693 preAdd 0 1690 1694 posAdd 0 1691 o 4 81695 o 49 1692 1696 suid 63,0 1693 1697 ) … … 1725 1729 n "mosi" 1726 1730 t "std_logic" 1727 o 3 61731 o 37 1728 1732 suid 66,0 1729 1733 i "'0'" … … 1764 1768 eolc "-- default domino wave off" 1765 1769 posAdd 0 1766 o 3 11770 o 32 1767 1771 suid 67,0 1768 1772 i "'0'" … … 1800 1804 n "adc_clk_en" 1801 1805 t "std_logic" 1802 o 2 61806 o 27 1803 1807 suid 69,0 1804 1808 i "'0'" … … 1839 1843 preAdd 0 1840 1844 posAdd 0 1841 o 1 71845 o 18 1842 1846 suid 76,0 1843 1847 ) … … 1875 1879 n "LOCKED_extraOUT" 1876 1880 t "std_logic" 1877 o 1 81881 o 19 1878 1882 suid 70,0 1879 1883 ) … … 1914 1918 preAdd 0 1915 1919 posAdd 0 1916 o 3 71920 o 38 1917 1921 suid 77,0 1918 1922 i "(OTHERS => '0')" … … 1951 1955 n "PS_DIR_IN" 1952 1956 t "std_logic" 1953 o 2 21957 o 23 1954 1958 suid 80,0 1955 1959 ) … … 1987 1991 n "PSCLK_OUT" 1988 1992 t "std_logic" 1989 o 191993 o 20 1990 1994 suid 74,0 1991 1995 ) … … 2023 2027 n "PSDONE_extraOUT" 2024 2028 t "std_logic" 2025 o 2 02029 o 21 2026 2030 suid 71,0 2027 2031 ) … … 2059 2063 n "PSINCDEC_OUT" 2060 2064 t "std_logic" 2061 o 2 12065 o 22 2062 2066 suid 72,0 2063 2067 ) … … 2097 2101 preAdd 0 2098 2102 posAdd 0 2099 o 3 82103 o 39 2100 2104 suid 79,0 2101 2105 i "'0'" … … 2137 2141 preAdd 0 2138 2142 posAdd 0 2139 o 4 22143 o 43 2140 2144 suid 78,0 2141 2145 i "'0'" … … 2174 2178 n "CLK25_OUT" 2175 2179 t "std_logic" 2176 o 1 22180 o 13 2177 2181 suid 83,0 2178 2182 ) … … 2210 2214 n "CLK25_PSOUT" 2211 2215 t "std_logic" 2212 o 1 32216 o 14 2213 2217 suid 84,0 2214 2218 ) … … 2246 2250 n "CLK50_OUT" 2247 2251 t "std_logic" 2248 o 1 42252 o 15 2249 2253 suid 82,0 2250 2254 ) … … 2282 2286 n "SRIN_out" 2283 2287 t "std_logic" 2284 o 2 52288 o 26 2285 2289 suid 85,0 2286 2290 i "'0'" … … 2319 2323 n "amber" 2320 2324 t "std_logic" 2321 o 292325 o 30 2322 2326 suid 87,0 2323 2327 ) … … 2355 2359 n "green" 2356 2360 t "std_logic" 2357 o 3 42361 o 35 2358 2362 suid 86,0 2359 2363 ) … … 2391 2395 n "red" 2392 2396 t "std_logic" 2393 o 392397 o 40 2394 2398 suid 88,0 2395 2399 ) … … 2427 2431 n "additional_flasher_out" 2428 2432 t "std_logic" 2429 o 2 82433 o 29 2430 2434 suid 90,0 2435 ) 2436 ) 2437 ) 2438 *62 (CptPort 2439 uid 14682,0 2440 ps "OnEdgeStrategy" 2441 shape (Triangle 2442 uid 14683,0 2443 ro 90 2444 va (VaSet 2445 vasetType 1 2446 fg "0,65535,0" 2447 ) 2448 xt "51250,138625,52000,139375" 2449 ) 2450 tg (CPTG 2451 uid 14684,0 2452 ps "CptPortTextPlaceStrategy" 2453 stg "VerticalLayoutStrategy" 2454 f (Text 2455 uid 14685,0 2456 va (VaSet 2457 ) 2458 xt "53000,138500,58500,139500" 2459 st "D_T_in : (1:0)" 2460 blo "53000,139300" 2461 ) 2462 ) 2463 thePort (LogicalPort 2464 decl (Decl 2465 n "D_T_in" 2466 t "std_logic_vector" 2467 b "(1 DOWNTO 0)" 2468 o 2 2469 suid 91,0 2431 2470 ) 2432 2471 ) … … 2449 2488 stg "VerticalLayoutStrategy" 2450 2489 textVec [ 2451 *6 2(Text2490 *63 (Text 2452 2491 uid 172,0 2453 2492 va (VaSet … … 2459 2498 tm "BdLibraryNameMgr" 2460 2499 ) 2461 *6 3(Text2500 *64 (Text 2462 2501 uid 173,0 2463 2502 va (VaSet … … 2469 2508 tm "CptNameMgr" 2470 2509 ) 2471 *6 4(Text2510 *65 (Text 2472 2511 uid 174,0 2473 2512 va (VaSet … … 2521 2560 archFileType "UNKNOWN" 2522 2561 ) 2523 *6 5(PortIoIn2562 *66 (PortIoIn 2524 2563 uid 231,0 2525 2564 shape (CompositeShape … … 2566 2605 ) 2567 2606 ) 2568 *6 6(PortIoIn2607 *67 (PortIoIn 2569 2608 uid 251,0 2570 2609 shape (CompositeShape … … 2611 2650 ) 2612 2651 ) 2613 *6 7(HdlText2652 *68 (HdlText 2614 2653 uid 265,0 2615 2654 optionalChildren [ 2616 *6 8(EmbeddedText2655 *69 (EmbeddedText 2617 2656 uid 271,0 2618 2657 commentText (CommentText … … 2634 2673 va (VaSet 2635 2674 ) 2636 xt "32200,83200, 39700,86200"2675 xt "32200,83200,43700,86200" 2637 2676 st " 2638 2677 -- hard-wired IDs 2639 board_id <= \"0101\";2640 crate_id <= \"01\";2678 board_id <= LINE(5 downto 2); 2679 crate_id <= LINE(1 downto 0); 2641 2680 " 2642 2681 tm "HdlTextMgr" … … 2664 2703 stg "VerticalLayoutStrategy" 2665 2704 textVec [ 2666 * 69(Text2705 *70 (Text 2667 2706 uid 268,0 2668 2707 va (VaSet … … 2674 2713 tm "HdlTextNameMgr" 2675 2714 ) 2676 *7 0(Text2715 *71 (Text 2677 2716 uid 269,0 2678 2717 va (VaSet … … 2700 2739 viewiconposition 0 2701 2740 ) 2702 *7 1(Net2741 *72 (Net 2703 2742 uid 275,0 2704 2743 decl (Decl … … 2716 2755 font "Courier New,8,0" 2717 2756 ) 2718 xt "39000,62400,67500,63200" 2719 st "SIGNAL board_id : std_logic_vector(3 downto 0) 2720 " 2721 ) 2722 ) 2723 *72 (Net 2757 xt "39000,63200,67500,64000" 2758 st "SIGNAL board_id : std_logic_vector(3 downto 0)" 2759 ) 2760 ) 2761 *73 (Net 2724 2762 uid 283,0 2725 2763 decl (Decl … … 2735 2773 font "Courier New,8,0" 2736 2774 ) 2737 xt "39000,63200,67500,64000" 2738 st "SIGNAL crate_id : std_logic_vector(1 downto 0) 2739 " 2740 ) 2741 ) 2742 *73 (PortIoOut 2775 xt "39000,64000,67500,64800" 2776 st "SIGNAL crate_id : std_logic_vector(1 downto 0)" 2777 ) 2778 ) 2779 *74 (PortIoOut 2743 2780 uid 472,0 2744 2781 shape (CompositeShape … … 2784 2821 ) 2785 2822 ) 2786 *7 4(PortIoOut2823 *75 (PortIoOut 2787 2824 uid 478,0 2788 2825 shape (CompositeShape … … 2828 2865 ) 2829 2866 ) 2830 *7 5(PortIoOut2867 *76 (PortIoOut 2831 2868 uid 484,0 2832 2869 shape (CompositeShape … … 2872 2909 ) 2873 2910 ) 2874 *7 6(PortIoInOut2911 *77 (PortIoInOut 2875 2912 uid 490,0 2876 2913 shape (CompositeShape … … 2914 2951 ) 2915 2952 ) 2916 *7 7(PortIoIn2953 *78 (PortIoIn 2917 2954 uid 496,0 2918 2955 shape (CompositeShape … … 2958 2995 ) 2959 2996 ) 2960 *7 8(PortIoOut2997 *79 (PortIoOut 2961 2998 uid 502,0 2962 2999 shape (CompositeShape … … 3002 3039 ) 3003 3040 ) 3004 * 79(PortIoOut3041 *80 (PortIoOut 3005 3042 uid 508,0 3006 3043 shape (CompositeShape … … 3046 3083 ) 3047 3084 ) 3048 *8 0(Net3085 *81 (Net 3049 3086 uid 1465,0 3050 3087 decl (Decl … … 3059 3096 font "Courier New,8,0" 3060 3097 ) 3061 xt "39000,61600,63000,62400" 3062 st "SIGNAL adc_data_array : adc_data_array_type 3063 " 3064 ) 3065 ) 3066 *81 (Net 3098 xt "39000,62400,63000,63200" 3099 st "SIGNAL adc_data_array : adc_data_array_type" 3100 ) 3101 ) 3102 *82 (Net 3067 3103 uid 2407,0 3068 3104 decl (Decl … … 3078 3114 font "Courier New,8,0" 3079 3115 ) 3080 xt "39000,37400,67500,38200" 3081 st "RSRLOAD : std_logic := '0' 3082 " 3083 ) 3084 ) 3085 *82 (PortIoOut 3116 xt "39000,38200,67500,39000" 3117 st "RSRLOAD : std_logic := '0'" 3118 ) 3119 ) 3120 *83 (PortIoOut 3086 3121 uid 2415,0 3087 3122 shape (CompositeShape … … 3128 3163 ) 3129 3164 ) 3130 *8 3(Net3165 *84 (Net 3131 3166 uid 2421,0 3132 3167 decl (Decl … … 3142 3177 font "Courier New,8,0" 3143 3178 ) 3144 xt "39000,60000,71000,60800" 3145 st "SIGNAL SRCLK : std_logic := '0' 3146 " 3147 ) 3148 ) 3149 *84 (Net 3179 xt "39000,60800,71000,61600" 3180 st "SIGNAL SRCLK : std_logic := '0'" 3181 ) 3182 ) 3183 *85 (Net 3150 3184 uid 3019,0 3151 3185 decl (Decl … … 3161 3195 font "Courier New,8,0" 3162 3196 ) 3163 xt "39000,66400,67500,67200" 3164 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0) 3165 " 3166 ) 3167 ) 3168 *85 (Net 3197 xt "39000,68000,67500,68800" 3198 st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0)" 3199 ) 3200 ) 3201 *86 (Net 3169 3202 uid 3025,0 3170 3203 decl (Decl … … 3179 3212 font "Courier New,8,0" 3180 3213 ) 3181 xt "39000,24600,54000,25400" 3182 st "DAC_CS : std_logic 3183 " 3184 ) 3185 ) 3186 *86 (PortIoOut 3214 xt "39000,25400,54000,26200" 3215 st "DAC_CS : std_logic" 3216 ) 3217 ) 3218 *87 (PortIoOut 3187 3219 uid 3153,0 3188 3220 shape (CompositeShape … … 3229 3261 ) 3230 3262 ) 3231 *8 7(Net3263 *88 (Net 3232 3264 uid 3216,0 3233 3265 decl (Decl … … 3244 3276 font "Courier New,8,0" 3245 3277 ) 3246 xt "39000,17400,54000,18200" 3247 st "X_50M : STD_LOGIC 3248 " 3249 ) 3250 ) 3251 *88 (Net 3278 xt "39000,18200,54000,19000" 3279 st "X_50M : STD_LOGIC" 3280 ) 3281 ) 3282 *89 (Net 3252 3283 uid 3226,0 3253 3284 decl (Decl … … 3262 3293 font "Courier New,8,0" 3263 3294 ) 3264 xt "39000,15800,54000,16600" 3265 st "TRG : STD_LOGIC 3266 " 3267 ) 3268 ) 3269 *89 (HdlText 3295 xt "39000,16600,54000,17400" 3296 st "TRG : STD_LOGIC" 3297 ) 3298 ) 3299 *90 (HdlText 3270 3300 uid 3248,0 3271 3301 optionalChildren [ 3272 *9 0(EmbeddedText3302 *91 (EmbeddedText 3273 3303 uid 3254,0 3274 3304 commentText (CommentText … … 3322 3352 stg "VerticalLayoutStrategy" 3323 3353 textVec [ 3324 *9 1(Text3354 *92 (Text 3325 3355 uid 3251,0 3326 3356 va (VaSet … … 3332 3362 tm "HdlTextNameMgr" 3333 3363 ) 3334 *9 2(Text3364 *93 (Text 3335 3365 uid 3252,0 3336 3366 va (VaSet … … 3358 3388 viewiconposition 0 3359 3389 ) 3360 *9 3(Net3390 *94 (Net 3361 3391 uid 3266,0 3362 3392 decl (Decl … … 3372 3402 font "Courier New,8,0" 3373 3403 ) 3374 xt "39000,20600,64000,21400" 3375 st "A_CLK : std_logic_vector(3 downto 0) 3376 " 3377 ) 3378 ) 3379 *94 (Net 3404 xt "39000,21400,64000,22200" 3405 st "A_CLK : std_logic_vector(3 downto 0)" 3406 ) 3407 ) 3408 *95 (Net 3380 3409 uid 3268,0 3381 3410 decl (Decl … … 3390 3419 font "Courier New,8,0" 3391 3420 ) 3392 xt "39000,52800,57500,53600" 3393 st "SIGNAL CLK_25_PS : std_logic 3394 " 3395 ) 3396 ) 3397 *95 (PortIoOut 3421 xt "39000,53600,57500,54400" 3422 st "SIGNAL CLK_25_PS : std_logic" 3423 ) 3424 ) 3425 *96 (PortIoOut 3398 3426 uid 3284,0 3399 3427 shape (CompositeShape … … 3440 3468 ) 3441 3469 ) 3442 *9 6(Net3470 *97 (Net 3443 3471 uid 3290,0 3444 3472 decl (Decl … … 3455 3483 font "Courier New,8,0" 3456 3484 ) 3457 xt "39000,31800,54000,32600" 3458 st "OE_ADC : STD_LOGIC 3459 " 3460 ) 3461 ) 3462 *97 (PortIoIn 3485 xt "39000,32600,54000,33400" 3486 st "OE_ADC : STD_LOGIC" 3487 ) 3488 ) 3489 *98 (PortIoIn 3463 3490 uid 3292,0 3464 3491 shape (CompositeShape … … 3505 3532 ) 3506 3533 ) 3507 *9 8(Net3534 *99 (Net 3508 3535 uid 3298,0 3509 3536 decl (Decl … … 3520 3547 ) 3521 3548 xt "39000,7000,64000,7800" 3522 st "A_OTR : std_logic_vector(3 DOWNTO 0) 3523 " 3524 ) 3525 ) 3526 *99 (HdlText 3549 st "A_OTR : std_logic_vector(3 DOWNTO 0)" 3550 ) 3551 ) 3552 *100 (HdlText 3527 3553 uid 3300,0 3528 3554 optionalChildren [ 3529 *10 0(EmbeddedText3555 *101 (EmbeddedText 3530 3556 uid 3306,0 3531 3557 commentText (CommentText … … 3579 3605 stg "VerticalLayoutStrategy" 3580 3606 textVec [ 3581 *10 1(Text3607 *102 (Text 3582 3608 uid 3303,0 3583 3609 va (VaSet … … 3589 3615 tm "HdlTextNameMgr" 3590 3616 ) 3591 *10 2(Text3617 *103 (Text 3592 3618 uid 3304,0 3593 3619 va (VaSet … … 3615 3641 viewiconposition 0 3616 3642 ) 3617 *10 3(PortIoIn3643 *104 (PortIoIn 3618 3644 uid 3310,0 3619 3645 shape (CompositeShape … … 3660 3686 ) 3661 3687 ) 3662 *10 4(PortIoIn3688 *105 (PortIoIn 3663 3689 uid 3332,0 3664 3690 shape (CompositeShape … … 3705 3731 ) 3706 3732 ) 3707 *10 5(PortIoIn3733 *106 (PortIoIn 3708 3734 uid 3338,0 3709 3735 shape (CompositeShape … … 3750 3776 ) 3751 3777 ) 3752 *10 6(PortIoIn3778 *107 (PortIoIn 3753 3779 uid 3344,0 3754 3780 shape (CompositeShape … … 3795 3821 ) 3796 3822 ) 3797 *10 7(Net3823 *108 (Net 3798 3824 uid 3374,0 3799 3825 decl (Decl … … 3810 3836 ) 3811 3837 xt "39000,3800,64500,4600" 3812 st "A0_D : std_logic_vector(11 DOWNTO 0) 3813 " 3814 ) 3815 ) 3816 *108 (Net 3838 st "A0_D : std_logic_vector(11 DOWNTO 0)" 3839 ) 3840 ) 3841 *109 (Net 3817 3842 uid 3376,0 3818 3843 decl (Decl … … 3829 3854 ) 3830 3855 xt "39000,4600,64500,5400" 3831 st "A1_D : std_logic_vector(11 DOWNTO 0) 3832 " 3833 ) 3834 ) 3835 *109 (Net 3856 st "A1_D : std_logic_vector(11 DOWNTO 0)" 3857 ) 3858 ) 3859 *110 (Net 3836 3860 uid 3378,0 3837 3861 decl (Decl … … 3848 3872 ) 3849 3873 xt "39000,5400,64500,6200" 3850 st "A2_D : std_logic_vector(11 DOWNTO 0) 3851 " 3852 ) 3853 ) 3854 *110 (Net 3874 st "A2_D : std_logic_vector(11 DOWNTO 0)" 3875 ) 3876 ) 3877 *111 (Net 3855 3878 uid 3380,0 3856 3879 decl (Decl … … 3867 3890 ) 3868 3891 xt "39000,6200,64500,7000" 3869 st "A3_D : std_logic_vector(11 DOWNTO 0) 3870 " 3871 ) 3872 ) 3873 *111 (HdlText 3892 st "A3_D : std_logic_vector(11 DOWNTO 0)" 3893 ) 3894 ) 3895 *112 (HdlText 3874 3896 uid 3394,0 3875 3897 optionalChildren [ 3876 *11 2(EmbeddedText3898 *113 (EmbeddedText 3877 3899 uid 3400,0 3878 3900 commentText (CommentText … … 3926 3948 stg "VerticalLayoutStrategy" 3927 3949 textVec [ 3928 *11 3(Text3950 *114 (Text 3929 3951 uid 3397,0 3930 3952 va (VaSet … … 3936 3958 tm "HdlTextNameMgr" 3937 3959 ) 3938 *11 4(Text3960 *115 (Text 3939 3961 uid 3398,0 3940 3962 va (VaSet … … 3962 3984 viewiconposition 0 3963 3985 ) 3964 *11 5(Net3986 *116 (Net 3965 3987 uid 3460,0 3966 3988 decl (Decl … … 3975 3997 font "Courier New,8,0" 3976 3998 ) 3977 xt "39000,21400,54000,22200" 3978 st "D0_SRCLK : STD_LOGIC 3979 " 3980 ) 3981 ) 3982 *116 (Net 3999 xt "39000,22200,54000,23000" 4000 st "D0_SRCLK : STD_LOGIC" 4001 ) 4002 ) 4003 *117 (Net 3983 4004 uid 3462,0 3984 4005 decl (Decl … … 3993 4014 font "Courier New,8,0" 3994 4015 ) 3995 xt "39000,22200,54000,23000" 3996 st "D1_SRCLK : STD_LOGIC 3997 " 3998 ) 3999 ) 4000 *117 (Net 4016 xt "39000,23000,54000,23800" 4017 st "D1_SRCLK : STD_LOGIC" 4018 ) 4019 ) 4020 *118 (Net 4001 4021 uid 3464,0 4002 4022 decl (Decl … … 4011 4031 font "Courier New,8,0" 4012 4032 ) 4013 xt "39000,23000,54000,23800" 4014 st "D2_SRCLK : STD_LOGIC 4015 " 4016 ) 4017 ) 4018 *118 (Net 4033 xt "39000,23800,54000,24600" 4034 st "D2_SRCLK : STD_LOGIC" 4035 ) 4036 ) 4037 *119 (Net 4019 4038 uid 3466,0 4020 4039 decl (Decl … … 4029 4048 font "Courier New,8,0" 4030 4049 ) 4031 xt "39000,23800,54000,24600" 4032 st "D3_SRCLK : STD_LOGIC 4033 " 4034 ) 4035 ) 4036 *119 (PortIoIn 4050 xt "39000,24600,54000,25400" 4051 st "D3_SRCLK : STD_LOGIC" 4052 ) 4053 ) 4054 *120 (PortIoIn 4037 4055 uid 3476,0 4038 4056 shape (CompositeShape … … 4079 4097 ) 4080 4098 ) 4081 *12 0(PortIoIn4099 *121 (PortIoIn 4082 4100 uid 3482,0 4083 4101 shape (CompositeShape … … 4124 4142 ) 4125 4143 ) 4126 *12 1(PortIoIn4144 *122 (PortIoIn 4127 4145 uid 3488,0 4128 4146 shape (CompositeShape … … 4169 4187 ) 4170 4188 ) 4171 *12 2(PortIoIn4189 *123 (PortIoIn 4172 4190 uid 3494,0 4173 4191 shape (CompositeShape … … 4214 4232 ) 4215 4233 ) 4216 *12 3(Net4234 *124 (Net 4217 4235 uid 3500,0 4218 4236 decl (Decl … … 4228 4246 ) 4229 4247 xt "39000,7800,54000,8600" 4230 st "D0_SROUT : std_logic 4231 " 4232 ) 4233 ) 4234 *124 (Net 4248 st "D0_SROUT : std_logic" 4249 ) 4250 ) 4251 *125 (Net 4235 4252 uid 3502,0 4236 4253 decl (Decl … … 4246 4263 ) 4247 4264 xt "39000,8600,54000,9400" 4248 st "D1_SROUT : std_logic 4249 " 4250 ) 4251 ) 4252 *125 (Net 4265 st "D1_SROUT : std_logic" 4266 ) 4267 ) 4268 *126 (Net 4253 4269 uid 3504,0 4254 4270 decl (Decl … … 4264 4280 ) 4265 4281 xt "39000,9400,54000,10200" 4266 st "D2_SROUT : std_logic 4267 " 4268 ) 4269 ) 4270 *126 (Net 4282 st "D2_SROUT : std_logic" 4283 ) 4284 ) 4285 *127 (Net 4271 4286 uid 3506,0 4272 4287 decl (Decl … … 4282 4297 ) 4283 4298 xt "39000,10200,54000,11000" 4284 st "D3_SROUT : std_logic 4285 " 4286 ) 4287 ) 4288 *127 (PortIoOut 4299 st "D3_SROUT : std_logic" 4300 ) 4301 ) 4302 *128 (PortIoOut 4289 4303 uid 3508,0 4290 4304 shape (CompositeShape … … 4331 4345 ) 4332 4346 ) 4333 *12 8(Net4347 *129 (Net 4334 4348 uid 3514,0 4335 4349 decl (Decl … … 4346 4360 font "Courier New,8,0" 4347 4361 ) 4348 xt "39000,27000,73500,27800" 4349 st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0') 4350 " 4351 ) 4352 ) 4353 *129 (PortIoOut 4362 xt "39000,27800,73500,28600" 4363 st "D_A : std_logic_vector(3 DOWNTO 0) := (others => '0')" 4364 ) 4365 ) 4366 *130 (PortIoOut 4354 4367 uid 3516,0 4355 4368 shape (CompositeShape … … 4396 4409 ) 4397 4410 ) 4398 *13 0(Net4411 *131 (Net 4399 4412 uid 3522,0 4400 4413 decl (Decl … … 4410 4423 font "Courier New,8,0" 4411 4424 ) 4412 xt "39000,26200,67500,27000" 4413 st "DWRITE : std_logic := '0' 4414 " 4415 ) 4416 ) 4417 *131 (PortIoOut 4425 xt "39000,27000,67500,27800" 4426 st "DWRITE : std_logic := '0'" 4427 ) 4428 ) 4429 *132 (PortIoOut 4418 4430 uid 3536,0 4419 4431 shape (CompositeShape … … 4459 4471 ) 4460 4472 ) 4461 *13 2(HdlText4473 *133 (HdlText 4462 4474 uid 3542,0 4463 4475 optionalChildren [ 4464 *13 3(EmbeddedText4476 *134 (EmbeddedText 4465 4477 uid 3612,0 4466 4478 commentText (CommentText … … 4514 4526 stg "VerticalLayoutStrategy" 4515 4527 textVec [ 4516 *13 4(Text4528 *135 (Text 4517 4529 uid 3545,0 4518 4530 va (VaSet … … 4524 4536 tm "HdlTextNameMgr" 4525 4537 ) 4526 *13 5(Text4538 *136 (Text 4527 4539 uid 3546,0 4528 4540 va (VaSet … … 4550 4562 viewiconposition 0 4551 4563 ) 4552 *13 6(PortIoOut4564 *137 (PortIoOut 4553 4565 uid 3548,0 4554 4566 shape (CompositeShape … … 4594 4606 ) 4595 4607 ) 4596 *13 7(PortIoOut4608 *138 (PortIoOut 4597 4609 uid 3554,0 4598 4610 shape (CompositeShape … … 4638 4650 ) 4639 4651 ) 4640 *13 8(PortIoOut4652 *139 (PortIoOut 4641 4653 uid 3560,0 4642 4654 shape (CompositeShape … … 4682 4694 ) 4683 4695 ) 4684 *1 39(PortIoOut4696 *140 (PortIoOut 4685 4697 uid 3566,0 4686 4698 shape (CompositeShape … … 4726 4738 ) 4727 4739 ) 4728 *14 0(Net4740 *141 (Net 4729 4741 uid 3604,0 4730 4742 decl (Decl … … 4739 4751 font "Courier New,8,0" 4740 4752 ) 4741 xt "39000,39800,54000,40600" 4742 st "T0_CS : std_logic 4743 " 4744 ) 4745 ) 4746 *141 (Net 4753 xt "39000,40600,54000,41400" 4754 st "T0_CS : std_logic" 4755 ) 4756 ) 4757 *142 (Net 4747 4758 uid 3606,0 4748 4759 decl (Decl … … 4757 4768 font "Courier New,8,0" 4758 4769 ) 4759 xt "39000,40600,54000,41400" 4760 st "T1_CS : std_logic 4761 " 4762 ) 4763 ) 4764 *142 (Net 4770 xt "39000,41400,54000,42200" 4771 st "T1_CS : std_logic" 4772 ) 4773 ) 4774 *143 (Net 4765 4775 uid 3608,0 4766 4776 decl (Decl … … 4775 4785 font "Courier New,8,0" 4776 4786 ) 4777 xt "39000,41400,54000,42200" 4778 st "T2_CS : std_logic 4779 " 4780 ) 4781 ) 4782 *143 (Net 4787 xt "39000,42200,54000,43000" 4788 st "T2_CS : std_logic" 4789 ) 4790 ) 4791 *144 (Net 4783 4792 uid 3610,0 4784 4793 decl (Decl … … 4793 4802 font "Courier New,8,0" 4794 4803 ) 4795 xt "39000,42200,54000,43000" 4796 st "T3_CS : std_logic 4797 " 4798 ) 4799 ) 4800 *144 (PortIoOut 4804 xt "39000,43000,54000,43800" 4805 st "T3_CS : std_logic" 4806 ) 4807 ) 4808 *145 (PortIoOut 4801 4809 uid 3624,0 4802 4810 shape (CompositeShape … … 4842 4850 ) 4843 4851 ) 4844 *14 5(Net4852 *146 (Net 4845 4853 uid 3630,0 4846 4854 decl (Decl … … 4855 4863 font "Courier New,8,0" 4856 4864 ) 4857 xt "39000,39000,54000,39800" 4858 st "S_CLK : std_logic 4859 " 4860 ) 4861 ) 4862 *146 (Net 4865 xt "39000,39800,54000,40600" 4866 st "S_CLK : std_logic" 4867 ) 4868 ) 4869 *147 (Net 4863 4870 uid 3632,0 4864 4871 decl (Decl … … 4874 4881 font "Courier New,8,0" 4875 4882 ) 4876 xt "39000,43800,64000,44600" 4877 st "W_A : std_logic_vector(9 DOWNTO 0) 4878 " 4879 ) 4880 ) 4881 *147 (Net 4883 xt "39000,44600,64000,45400" 4884 st "W_A : std_logic_vector(9 DOWNTO 0)" 4885 ) 4886 ) 4887 *148 (Net 4882 4888 uid 3634,0 4883 4889 decl (Decl … … 4893 4899 font "Courier New,8,0" 4894 4900 ) 4895 xt "39000,48600,64500,49400" 4896 st "W_D : std_logic_vector(15 DOWNTO 0) 4897 " 4898 ) 4899 ) 4900 *148 (Net 4901 xt "39000,49400,64500,50200" 4902 st "W_D : std_logic_vector(15 DOWNTO 0)" 4903 ) 4904 ) 4905 *149 (Net 4901 4906 uid 3636,0 4902 4907 decl (Decl … … 4912 4917 font "Courier New,8,0" 4913 4918 ) 4914 xt "39000,46200,67500,47000" 4915 st "W_RES : std_logic := '1' 4916 " 4917 ) 4918 ) 4919 *149 (Net 4919 xt "39000,47000,67500,47800" 4920 st "W_RES : std_logic := '1'" 4921 ) 4922 ) 4923 *150 (Net 4920 4924 uid 3638,0 4921 4925 decl (Decl … … 4931 4935 font "Courier New,8,0" 4932 4936 ) 4933 xt "39000,45400,67500,46200" 4934 st "W_RD : std_logic := '1' 4935 " 4936 ) 4937 ) 4938 *150 (Net 4937 xt "39000,46200,67500,47000" 4938 st "W_RD : std_logic := '1'" 4939 ) 4940 ) 4941 *151 (Net 4939 4942 uid 3640,0 4940 4943 decl (Decl … … 4950 4953 font "Courier New,8,0" 4951 4954 ) 4952 xt "39000,47000,67500,47800" 4953 st "W_WR : std_logic := '1' 4954 " 4955 ) 4956 ) 4957 *151 (Net 4955 xt "39000,47800,67500,48600" 4956 st "W_WR : std_logic := '1'" 4957 ) 4958 ) 4959 *152 (Net 4958 4960 uid 3642,0 4959 4961 decl (Decl … … 4968 4970 font "Courier New,8,0" 4969 4971 ) 4970 xt "39000,16600,54000,17400" 4971 st "W_INT : std_logic 4972 " 4973 ) 4974 ) 4975 *152 (Net 4972 xt "39000,17400,54000,18200" 4973 st "W_INT : std_logic" 4974 ) 4975 ) 4976 *153 (Net 4976 4977 uid 3644,0 4977 4978 decl (Decl … … 4987 4988 font "Courier New,8,0" 4988 4989 ) 4989 xt "39000,44600,67500,45400" 4990 st "W_CS : std_logic := '1' 4991 " 4992 ) 4993 ) 4994 *153 (PortIoInOut 4990 xt "39000,45400,67500,46200" 4991 st "W_CS : std_logic := '1'" 4992 ) 4993 ) 4994 *154 (PortIoInOut 4995 4995 uid 3674,0 4996 4996 shape (CompositeShape … … 5034 5034 ) 5035 5035 ) 5036 *15 4(Net5036 *155 (Net 5037 5037 uid 3680,0 5038 5038 decl (Decl … … 5048 5048 font "Courier New,8,0" 5049 5049 ) 5050 xt "39000,31000,67500,31800" 5051 st "MOSI : std_logic := '0' 5052 " 5053 ) 5054 ) 5055 *155 (PortIoOut 5050 xt "39000,31800,67500,32600" 5051 st "MOSI : std_logic := '0'" 5052 ) 5053 ) 5054 *156 (PortIoOut 5056 5055 uid 3688,0 5057 5056 shape (CompositeShape … … 5097 5096 ) 5098 5097 ) 5099 *15 6(Net5098 *157 (Net 5100 5099 uid 3694,0 5101 5100 decl (Decl … … 5112 5111 font "Courier New,8,0" 5113 5112 ) 5114 xt "39000,47800,54000,48600" 5115 st "MISO : std_logic 5116 " 5117 ) 5118 ) 5119 *157 (HdlText 5113 xt "39000,48600,54000,49400" 5114 st "MISO : std_logic" 5115 ) 5116 ) 5117 *158 (HdlText 5120 5118 uid 3700,0 5121 5119 optionalChildren [ 5122 *15 8(EmbeddedText5120 *159 (EmbeddedText 5123 5121 uid 3706,0 5124 5122 commentText (CommentText … … 5180 5178 stg "VerticalLayoutStrategy" 5181 5179 textVec [ 5182 *1 59(Text5180 *160 (Text 5183 5181 uid 3703,0 5184 5182 va (VaSet … … 5190 5188 tm "HdlTextNameMgr" 5191 5189 ) 5192 *16 0(Text5190 *161 (Text 5193 5191 uid 3704,0 5194 5192 va (VaSet … … 5216 5214 viewiconposition 0 5217 5215 ) 5218 *16 1(PortIoOut5216 *162 (PortIoOut 5219 5217 uid 3710,0 5220 5218 shape (CompositeShape … … 5260 5258 ) 5261 5259 ) 5262 *16 2(PortIoOut5260 *163 (PortIoOut 5263 5261 uid 3716,0 5264 5262 shape (CompositeShape … … 5304 5302 ) 5305 5303 ) 5306 *16 3(PortIoOut5304 *164 (PortIoOut 5307 5305 uid 3722,0 5308 5306 shape (CompositeShape … … 5348 5346 ) 5349 5347 ) 5350 *16 4(PortIoOut5348 *165 (PortIoOut 5351 5349 uid 3728,0 5352 5350 shape (CompositeShape … … 5392 5390 ) 5393 5391 ) 5394 *16 5(PortIoOut5392 *166 (PortIoOut 5395 5393 uid 3734,0 5396 5394 shape (CompositeShape … … 5436 5434 ) 5437 5435 ) 5438 *16 6(PortIoOut5436 *167 (PortIoOut 5439 5437 uid 3740,0 5440 5438 shape (CompositeShape … … 5480 5478 ) 5481 5479 ) 5482 *16 7(PortIoOut5480 *168 (PortIoOut 5483 5481 uid 3752,0 5484 5482 shape (CompositeShape … … 5524 5522 ) 5525 5523 ) 5526 *16 8(Net5524 *169 (Net 5527 5525 uid 3864,0 5528 5526 decl (Decl … … 5537 5535 font "Courier New,8,0" 5538 5536 ) 5539 xt "39000,43000,54000,43800" 5540 st "TRG_V : std_logic 5541 " 5542 ) 5543 ) 5544 *169 (Net 5537 xt "39000,43800,54000,44600" 5538 st "TRG_V : std_logic" 5539 ) 5540 ) 5541 *170 (Net 5545 5542 uid 3866,0 5546 5543 decl (Decl … … 5555 5552 font "Courier New,8,0" 5556 5553 ) 5557 xt "39000,35000,54000,35800" 5558 st "RS485_C_RE : std_logic 5559 " 5560 ) 5561 ) 5562 *170 (Net 5554 xt "39000,35800,54000,36600" 5555 st "RS485_C_RE : std_logic" 5556 ) 5557 ) 5558 *171 (Net 5563 5559 uid 3868,0 5564 5560 decl (Decl … … 5573 5569 font "Courier New,8,0" 5574 5570 ) 5575 xt "39000,33400,54000,34200" 5576 st "RS485_C_DE : std_logic 5577 " 5578 ) 5579 ) 5580 *171 (Net 5571 xt "39000,34200,54000,35000" 5572 st "RS485_C_DE : std_logic" 5573 ) 5574 ) 5575 *172 (Net 5581 5576 uid 3870,0 5582 5577 decl (Decl … …