Changeset 10123


Ignore:
Timestamp:
01/27/11 17:10:41 (14 years ago)
Author:
neise
Message:
jumper selectable MAC and IP address choice.
D_T7 jumper selects if in_can (no jumper) or not in cam(jumper set)
D_T6 jumper selects if in Dortmund (jumper set) or at ETHZ (jumper not set)
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib
Files:
19 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/clock_generator_var_ps_struct.vhd

    r10121 r10123  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 11:57:15 26.01.2011
     5--          at - 09:36:36 27.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    4040-- Created:
    4141--          by - dneise.UNKNOWN (E5B-LABOR6)
    42 --          at - 11:57:15 26.01.2011
     42--          at - 09:36:36 27.01.2011
    4343--
    4444-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf

    r10121 r10123  
    3535
    3636# BOARD ID  - inputs
    37 NET POSITION_ID<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;             #ok  name was LINE befoer i changed it
    38 NET POSITION_ID<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;             #ok
    39 NET POSITION_ID<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;            #ok
    40 NET POSITION_ID<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;            #ok
    41 NET POSITION_ID<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;            #ok
    42 NET POSITION_ID<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;            #ok
     37NET LINE<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;            #ok 
     38NET LINE<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;            #ok
     39NET LINE<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;           #ok
     40NET LINE<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;           #ok
     41NET LINE<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;           #ok
     42NET LINE<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;           #ok
    4343
    4444# W5300
     
    138138NET D_T<4> LOC  = K5 | IOSTANDARD=LVCMOS25;                     #ok
    139139NET D_T<5> LOC  = L4 | IOSTANDARD=LVCMOS25;                     #ok
    140 NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25;                     #ok
    141 NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25;                     #ok
     140NET D_T_in<0> LOC  = M3 | IOSTANDARD=LVCMOS25 | pullup;                 #ok was: NET D_T<6> LOC  = M3
     141NET D_T_in<1> LOC  = T3 | IOSTANDARD=LVCMOS25 | pullup;                 #ok was: NET D_T<7> LOC  = T3
     142#NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25;                    #ok
     143#NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25;                    #ok
    142144NET D_T2<0> LOC  = U2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<8>
    143145NET D_T2<1> LOC  = V2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<9>
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf.bak

    r10121 r10123  
    3535
    3636# BOARD ID  - inputs
    37 NET POSITION_ID<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;             #ok  name was LINE befoer i changed it
    38 NET POSITION_ID<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;             #ok
    39 NET POSITION_ID<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;            #ok
    40 NET POSITION_ID<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;            #ok
    41 NET POSITION_ID<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;            #ok
    42 NET POSITION_ID<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;            #ok
     37NET LINE<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;            #ok 
     38NET LINE<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;            #ok
     39NET LINE<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;           #ok
     40NET LINE<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;           #ok
     41NET LINE<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;           #ok
     42NET LINE<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;           #ok
    4343
    4444# W5300
     
    9999
    100100NET SRIN LOC  = E1 | IOSTANDARD=LVCMOS25;                       #ok -- nur fuer vollauslese noetig; auf Z legen.
    101 #NET REFCLK LOC  = AC11 | IOSTANDARD=LVCMOS25;          #ok -- listen to REFCLK possible
     101NET REFCLK LOC  = AC11 | IOSTANDARD=LVCMOS25;           #ok -- listen to REFCLK possible
    102102
    103103
     
    138138NET D_T<4> LOC  = K5 | IOSTANDARD=LVCMOS25;                     #ok
    139139NET D_T<5> LOC  = L4 | IOSTANDARD=LVCMOS25;                     #ok
    140 NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25;                     #ok
    141 NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25;                     #ok
     140#NET D_T_in<0> LOC  = M3 | IOSTANDARD=LVCMOS25 | pullup;                        #ok was: NET D_T<6> LOC  = M3
     141#NET D_T_in<1> LOC  = T3 | IOSTANDARD=LVCMOS25 | pullup;                        #ok was: NET D_T<7> LOC  = T3
     142NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25 | pullup;                    #ok
     143NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25 | pullup;                    #ok
    142144NET D_T2<0> LOC  = U2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<8>
    143145NET D_T2<1> LOC  = V2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<9>
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r10121 r10123  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 16:46:19 26.01.2011
     5--          at - 17:58:59 27.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    1313ENTITY FAD_Board IS
    1414   PORT(
    15       A0_D        : IN     std_logic_vector (11 DOWNTO 0);
    16       A1_D        : IN     std_logic_vector (11 DOWNTO 0);
    17       A2_D        : IN     std_logic_vector (11 DOWNTO 0);
    18       A3_D        : IN     std_logic_vector (11 DOWNTO 0);
    19       A_OTR       : IN     std_logic_vector (3 DOWNTO 0);
    20       D0_SROUT    : IN     std_logic;
    21       D1_SROUT    : IN     std_logic;
    22       D2_SROUT    : IN     std_logic;
    23       D3_SROUT    : IN     std_logic;
    24       D_PLLLCK    : IN     std_logic_vector (3 DOWNTO 0);
    25       POSITION_ID : IN     std_logic_vector ( 5 DOWNTO 0 );
    26       REFCLK      : IN     std_logic;
    27       RS485_C_DI  : IN     std_logic;
    28       RS485_E_DI  : IN     std_logic;
    29       RS485_E_DO  : IN     std_logic;
    30       TRG         : IN     STD_LOGIC;
    31       W_INT       : IN     std_logic;
    32       X_50M       : IN     STD_LOGIC;
    33       A0_T        : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0');
    34       A1_T        : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
    35       AMBER_LED   : OUT    std_logic;
    36       A_CLK       : OUT    std_logic_vector (3 DOWNTO 0);
    37       D0_SRCLK    : OUT    STD_LOGIC;
    38       D1_SRCLK    : OUT    STD_LOGIC;
    39       D2_SRCLK    : OUT    STD_LOGIC;
    40       D3_SRCLK    : OUT    STD_LOGIC;
    41       DAC_CS      : OUT    std_logic;
    42       DENABLE     : OUT    std_logic                     := '0';
    43       DWRITE      : OUT    std_logic                     := '0';
    44       D_A         : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
    45       D_T         : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
    46       D_T2        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
    47       EE_CS       : OUT    std_logic;
    48       GREEN_LED   : OUT    std_logic;
    49       MOSI        : OUT    std_logic                     := '0';
    50       OE_ADC      : OUT    STD_LOGIC;
    51       RED_LED     : OUT    std_logic;
    52       RS485_C_DE  : OUT    std_logic;
    53       RS485_C_DO  : OUT    std_logic;
    54       RS485_C_RE  : OUT    std_logic;
    55       RS485_E_DE  : OUT    std_logic;
    56       RS485_E_RE  : OUT    std_logic;
    57       RSRLOAD     : OUT    std_logic                     := '0';
    58       SRIN        : OUT    std_logic                     := '0';
    59       S_CLK       : OUT    std_logic;
    60       T0_CS       : OUT    std_logic;
    61       T1_CS       : OUT    std_logic;
    62       T2_CS       : OUT    std_logic;
    63       T3_CS       : OUT    std_logic;
    64       TRG_V       : OUT    std_logic;
    65       W_A         : OUT    std_logic_vector (9 DOWNTO 0);
    66       W_CS        : OUT    std_logic                     := '1';
    67       W_RD        : OUT    std_logic                     := '1';
    68       W_RES       : OUT    std_logic                     := '1';
    69       W_WR        : OUT    std_logic                     := '1';
    70       MISO        : INOUT  std_logic;
    71       W_D         : INOUT  std_logic_vector (15 DOWNTO 0)
     15      A0_D       : IN     std_logic_vector (11 DOWNTO 0);
     16      A1_D       : IN     std_logic_vector (11 DOWNTO 0);
     17      A2_D       : IN     std_logic_vector (11 DOWNTO 0);
     18      A3_D       : IN     std_logic_vector (11 DOWNTO 0);
     19      A_OTR      : IN     std_logic_vector (3 DOWNTO 0);
     20      D0_SROUT   : IN     std_logic;
     21      D1_SROUT   : IN     std_logic;
     22      D2_SROUT   : IN     std_logic;
     23      D3_SROUT   : IN     std_logic;
     24      D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0);
     25      D_T_in     : IN     std_logic_vector (1 DOWNTO 0);
     26      LINE       : IN     std_logic_vector ( 5 DOWNTO 0 );
     27      REFCLK     : IN     std_logic;
     28      RS485_C_DI : IN     std_logic;
     29      RS485_E_DI : IN     std_logic;
     30      RS485_E_DO : IN     std_logic;
     31      TRG        : IN     STD_LOGIC;
     32      W_INT      : IN     std_logic;
     33      X_50M      : IN     STD_LOGIC;
     34      A0_T       : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0');
     35      A1_T       : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     36      AMBER_LED  : OUT    std_logic;
     37      A_CLK      : OUT    std_logic_vector (3 DOWNTO 0);
     38      D0_SRCLK   : OUT    STD_LOGIC;
     39      D1_SRCLK   : OUT    STD_LOGIC;
     40      D2_SRCLK   : OUT    STD_LOGIC;
     41      D3_SRCLK   : OUT    STD_LOGIC;
     42      DAC_CS     : OUT    std_logic;
     43      DENABLE    : OUT    std_logic                     := '0';
     44      DWRITE     : OUT    std_logic                     := '0';
     45      D_A        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
     46      D_T        : OUT    std_logic_vector (5 DOWNTO 0) := (OTHERS => '0');
     47      D_T2       : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
     48      EE_CS      : OUT    std_logic;
     49      GREEN_LED  : OUT    std_logic;
     50      MOSI       : OUT    std_logic                     := '0';
     51      OE_ADC     : OUT    STD_LOGIC;
     52      RED_LED    : OUT    std_logic;
     53      RS485_C_DE : OUT    std_logic;
     54      RS485_C_DO : OUT    std_logic;
     55      RS485_C_RE : OUT    std_logic;
     56      RS485_E_DE : OUT    std_logic;
     57      RS485_E_RE : OUT    std_logic;
     58      RSRLOAD    : OUT    std_logic                     := '0';
     59      SRIN       : OUT    std_logic                     := '0';
     60      S_CLK      : OUT    std_logic;
     61      T0_CS      : OUT    std_logic;
     62      T1_CS      : OUT    std_logic;
     63      T2_CS      : OUT    std_logic;
     64      T3_CS      : OUT    std_logic;
     65      TRG_V      : OUT    std_logic;
     66      W_A        : OUT    std_logic_vector (9 DOWNTO 0);
     67      W_CS       : OUT    std_logic                     := '1';
     68      W_RD       : OUT    std_logic                     := '1';
     69      W_RES      : OUT    std_logic                     := '1';
     70      W_WR       : OUT    std_logic                     := '1';
     71      MISO       : INOUT  std_logic;
     72      W_D        : INOUT  std_logic_vector (15 DOWNTO 0)
    7273   );
    7374
     
    8182-- Created:
    8283--          by - dneise.UNKNOWN (E5B-LABOR6)
    83 --          at - 16:46:20 26.01.2011
     84--          at - 17:58:59 27.01.2011
    8485--
    8586-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    120121   SIGNAL drs_channel_id  : std_logic_vector(3 DOWNTO 0) := (others => '0');
    121122   SIGNAL dummy           : std_logic;
     123   SIGNAL led             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
    122124   SIGNAL ready           : std_logic                    := '0';
    123125   SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0);
     
    136138   PORT (
    137139      CLK                    : IN     std_logic ;
     140      D_T_in                 : IN     std_logic_vector (1 DOWNTO 0);
    138141      SROUT_in_0             : IN     std_logic ;
    139142      SROUT_in_1             : IN     std_logic ;
     
    198201   -- HDL Embedded Text Block 1 eb_ID
    199202   -- hard-wired IDs
    200    board_id <= "0101";
    201    crate_id <= "01";
     203   board_id <= LINE(5 downto 2);
     204   crate_id <= LINE(1 downto 0);
    202205
    203206   -- HDL Embedded Text Block 2 ADC_CLK
     
    243246   
    244247   EE_CS <= '1';
     248
     249   -- HDL Embedded Text Block 7 eb1
     250   D_T(5 downto 0) <= (others => '0');
    245251
    246252   -- HDL Embedded Text Block 8 eb2
     
    269275   A1_T(7) <= drs_channel_id(3);
    270276   
    271    A0_T(5 downto 0) <= POSITION_ID;
     277   A0_T(5 downto 0) <= (others => '0');
    272278   A0_T(6) <= REFCLK;
    273279   A0_T(7) <= RS485_E_DI;
     
    291297      PORT MAP (
    292298         CLK                    => X_50M,
     299         D_T_in                 => D_T_in,
    293300         SROUT_in_0             => D0_SROUT,
    294301         SROUT_in_1             => D1_SROUT,
     
    324331         drs_dwrite             => DWRITE,
    325332         green                  => RED_LED,
    326          led                    => D_T,
     333         led                    => led,
    327334         mosi                   => MOSI,
    328335         offset                 => OPEN,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd

    r10121 r10123  
    1818  type mac_type is array (0 to 2) of std_logic_vector (15 downto 0);
    1919  type ip_type is array (0 to 3) of integer;
     20 
     21  type mac_list_type is array (0 to 2) of mac_type;
     22  type ip_list_type is array (0 to 2) of ip_type;
    2023-- Network Settings
    2124
    22   constant MAC_ADDRESS : mac_type := (X"0011", X"9561", X"97B4");
     25  constant ETHZ_GATEWAY : ip_type := (192, 33, 96, 1);
     26  constant CAM_GATEWAY : ip_type := (192, 33, 96, 1); --???????????????
     27  constant TUDO_GATEWAY : ip_type := (129, 217, 160, 1); 
    2328 
    24   -- @ ETH zurich
    25 --   constant NETMASK : ip_type := (255, 255, 248, 0);
    26 --   constant IP_ADDRESS : ip_type := (192, 33, 99, 225);
    27 --   constant GATEWAY : ip_type := (192, 33, 96, 1);
     29  constant ETHZ_NETMASK : ip_type := (255, 255, 248, 0);
     30  constant CAM_NETMASK : ip_type := (255, 255, 248, 0);  --???????????????
     31  constant TUDO_NETMASK : ip_type := (255, 255, 255, 0);
    2832
    29   -- @ TU Dortmund
    30   constant NETMASK : ip_type := (255, 255, 255, 0);
    31   constant IP_ADDRESS : ip_type := (129, 217, 160, 119);
    32   constant GATEWAY : ip_type := (129, 217, 160, 1);
     33  --constant MAC_ZERO : mac_type := (X"0000", X"0000", X"0000");
     34  constant MAC_FAD0 : mac_type := (X"0011", X"9561", X"97B4");
     35  constant MAC_FAD1 : mac_type := (X"FAC7", X"0FAD", X"0001");
     36  constant MAC_FAD2 : mac_type := (X"FAC7", X"0FAD", X"0002");
     37 
     38  --constant IP_ZERO : ip_type := (0,0,0,0);
     39  constant IP_TUDO : ip_type := (129, 217, 160, 119);
     40  constant IP_ETHZ_FAD0 : ip_type := (192, 33, 99, 225);
     41  constant IP_ETHZ_FAD1 : ip_type := (192, 33, 99, 226);
     42  constant IP_ETHZ_FAD2 : ip_type := (192, 33, 99, 237);
     43
     44  -- IP lookup table used to convert CID,BID into IP, if not in camera.
     45  constant IP_LIST : ip_list_type := (IP_ETHZ_FAD0, IP_ETHZ_FAD1, IP_ETHZ_FAD2);   
     46  constant MAC_LIST : mac_list_type := (MAC_FAD0,MAC_FAD1,MAC_FAD2);
    3347
    3448  constant FIRST_PORT : integer := 5000;
     49  constant CAM_IP_PREFIX : ip_type := (192, 168, 0, 0);
     50  constant IP_offset : integer := 128;
     51  constant CAM_MAC_prefix : mac_type := (X"FAC7", X"0FAD", X"0000");
    3552-- Network Settings End 
    3653 
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd.bak

    r10121 r10123  
    1717
    1818  type mac_type is array (0 to 2) of std_logic_vector (15 downto 0);
     19  type mac_list_type is array (0 to 3) of mac_type;
    1920  type ip_type is array (0 to 3) of integer;
     21  type ip_list_type is array (0 to 3) of ip_type;
    2022-- Network Settings
    2123
    22   constant MAC_ADDRESS : mac_type := (X"0011", X"9561", X"97B4");
     24  constant ETHZ_GATEWAY : ip_type := (192, 33, 96, 1);
     25  constant CAM_GATEWAY : ip_type := (192, 33, 96, 1); --???????????????
     26  constant TUDO_GATEWAY : ip_type := (129, 217, 160, 1); 
    2327 
    24   -- @ ETH zurich
    25 --   constant NETMASK : ip_type := (255, 255, 248, 0);
    26 --   constant IP_ADDRESS : ip_type := (192, 33, 99, 225);
    27 --   constant GATEWAY : ip_type := (192, 33, 96, 1);
     28  constant ETHZ_NETMASK : ip_type := (255, 255, 248, 0);
     29  constant CAM_NETMASK : ip_type := (255, 255, 248, 0);  --???????????????
     30  constant TUDO_NETMASK : ip_type := (255, 255, 255, 0);
    2831
    29   -- @ TU Dortmund
    30   constant NETMASK : ip_type := (255, 255, 255, 0);
    31   constant IP_ADDRESS : ip_type := (129, 217, 160, 119);
    32   constant GATEWAY : ip_type := (129, 217, 160, 1);
     32  --constant MAC_ZERO : mac_type := (X"0000", X"0000", X"0000");
     33  constant MAC_FAD0 : mac_type := (X"0011", X"9561", X"97B4");
     34  constant MAC_FAD1 : mac_type := (X"FAC7", X"0FAD", X"0001");
     35  constant MAC_FAD2 : mac_type := (X"FAC7", X"0FAD", X"0002");
     36 
     37  --constant IP_ZERO : ip_type := (0,0,0,0);
     38  constant IP_TUDO : ip_type := (129, 217, 160, 119);
     39  constant IP_ETHZ_FAD0 : ip_type := (192, 33, 99, 225);
     40  constant IP_ETHZ_FAD1 : ip_type := (192, 33, 99, 226);
     41  constant IP_ETHZ_FAD2 : ip_type := (192, 33, 99, 237);
     42
     43  -- IP lookup table used to convert CID,BID into IP, if not in camera.
     44  constant IP_LIST : ip_list_type := (IP_ETHZ_FAD0, IP_ETHZ_FAD1, IP_ETHZ_FAD2);   
     45  constant MAC_LIST : mac_list_type := (MAC_FAD0,MAC_FAD1,MAC_FAD2);
    3346
    3447  constant FIRST_PORT : integer := 5000;
     48  constant CAM_IP_PREFIX : ip_type := (192, 168, 0, 0);
     49  constant IP_offset : integer := 128;
     50  constant CAM_MAC_prefix : mac_type := (X"FAC7", X"0FAD", X"0000");
    3551-- Network Settings End 
    3652 
     
    142158
    143159constant CMD_PS_RESET : std_logic_vector     := X"17";
     160
     161constant CMD_SET_TRIGGER_MULT : std_logic_vector := X"21";
     162
    144163-- DRS Registers
    145164  constant DRS_CONFIG_REG : std_logic_vector := "1100"; 
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r10121 r10123  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 16:46:18 26.01.2011
     5--          at - 17:58:58 27.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    1919   PORT(
    2020      CLK                    : IN     std_logic;
     21      D_T_in                 : IN     std_logic_vector (1 DOWNTO 0);
    2122      SROUT_in_0             : IN     std_logic;
    2223      SROUT_in_1             : IN     std_logic;
     
    7980-- Created:
    8081--          by - dneise.UNKNOWN (E5B-LABOR6)
    81 --          at - 16:46:19 26.01.2011
     82--          at - 17:58:58 27.01.2011
    8283--
    8384-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    468469      -- --
    469470      config_busy       : IN     std_logic ;
     471      MAC_jumper        : IN     std_logic_vector (1 DOWNTO 0);
     472      BoardID           : IN     std_logic_vector (3 DOWNTO 0);
     473      CrateID           : IN     std_logic_vector (1 DOWNTO 0);
    470474      denable           : OUT    std_logic                      := '0';             -- default domino wave off
    471475      dwrite_enable     : OUT    std_logic                      := '0';             -- default DWRITE low.
     
    784788         config_rw_ready   => config_rw_ready,
    785789         config_busy       => config_busy,
     790         MAC_jumper        => D_T_in,
     791         BoardID           => board_id,
     792         CrateID           => crate_id,
    786793         denable           => denable,
    787794         dwrite_enable     => dwrite_enable,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd

    r10121 r10123  
    6969      config_busy : in std_logic;
    7070     
    71 
     71      MAC_jumper : in std_logic_vector (1 downto 0);
     72      BoardID : in std_logic_vector (3 downto 0);
     73      CrateID : in std_logic_vector (1 downto 0);
    7274     
    7375      denable : out std_logic := '0'; -- default domino wave off
     
    9395
    9496type state_init_type is (INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA,
    95                          INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
     97                         INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
    9698                         SI, SI1, SI2, SI3, SI4, SI5, SI6,      ESTABLISH, EST1, CONFIG, MAIN, MAIN1, MAIN2, MAIN3, CHK_RECEIVED, READ_DATA);
    9799type state_write_type is (WR_START, WR_LENGTH, WR_WAIT1, WR_01, WR_02, WR_03, WR_04, WR_05, WR_05a, WR_05b, WR_06, WR_07, WR_08, WR_FIFO, WR_FIFO1, WR_ADC, WR_ADC1, WR_ADC2,
     
    152154signal data_valid_int : std_logic := '0';
    153155
     156signal FAD_in_cam : std_logic := '0';
     157signal FAD_at_ETHZ : std_logic := '0';
     158signal bid : std_logic_vector (3 downto 0);
     159signal cid : std_logic_vector (1 downto 0);
     160
     161
    154162-- only for debugging
    155163--signal error_cnt : std_logic_vector (7 downto 0) := (others => '0');
     
    160168signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending
    161169signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets.
     170
     171signal mac_loc : mac_type;
     172signal ip_loc : ip_type;
     173signal gateway_loc : ip_type;
     174signal netmask_loc : ip_type;
     175
    162176
    163177begin
     
    274288                                        -- Init
    275289                                        when INIT =>
     290                                          -- status of MAC jumpers is synched in
     291                                          -- and Board- and CrateID are synched in
     292                                          FAD_in_cam <= MAC_jumper(1); -- see position of jumpers in FACT logbook
     293            FAD_at_ETHZ <= MAC_jumper(0); -- MAC_jumper(1) is where D_T(7) was; MAC_jumper(0) is where D_T(6) was;
     294            bid <= BoardID;
     295            cid <= CrateID;
     296            --
    276297                                                par_addr <= W5300_MR;
    277298                                                par_data <= X"0000";
    278299                                                state_init <= WRITE_REG;
    279                                                 next_state <= IM;
     300                                                next_state <= LOCATE;
     301                                       
     302                                        when LOCATE =>                                   
     303                                          state_init <= IM;
     304                                         
     305                                          if (FAD_in_cam = '1') then
     306                                            -- if BID = "1111" and CID="11" then FAD is not really in cam
     307              -- back to INIT !! endless loop
     308              if (bid = "1111" and cid="11") then
     309               -- this should never happen!!!!!
     310               -- impossible to find this out, if in cam
     311                state_init <= INIT;
     312              else -- everything is fine
     313                -- IP & MAC are calculated from BID & CID
     314                -- code here
     315                gateway_loc <= CAM_GATEWAY;
     316                netmask_loc <= CAM_NETMASK;                                             
     317                mac_loc <= (CAM_MAC_prefix (0), CAM_MAC_prefix (1)  , conv_std_logic_vector ( conv_integer(cid)*10+conv_integer(bid)  , 16)   );
     318                ip_loc <= ( CAM_IP_PREFIX(0) , CAM_IP_PREFIX(1) , IP_offset + conv_integer(cid) , IP_offset + conv_integer(bid) );
     319              end if;
     320                                          else -- FAD is tested, eighther at ETHZ or at TUDO AND eighther with FMP or without.
     321                                            if ( FAD_at_ETHZ = '0' ) then
     322                                             -- easy FAD is at TUDO -> only one choice.
     323                                             mac_loc <= MAC_FAD0;
     324               ip_loc <= IP_TUDO;
     325               gateway_loc <= TUDO_GATEWAY;
     326               netmask_loc <= TUDO_NETMASK;
     327                                            else -- FAD is at ETHZ but not in cam --> IP lookup table is needed.
     328                                              if (bid = "1111" and cid="11") then -- FAD is not in crate
     329                  mac_loc <= MAC_FAD0;
     330                  ip_loc <= IP_ETHZ_FAD0;
     331                  gateway_loc <= ETHZ_GATEWAY;
     332                  netmask_loc <= ETHZ_NETMASK;                                         
     333                                              else
     334                                                -- FAD is at ETHZ and in crate:
     335                                                -- crate ID is not of importance.
     336                                                -- we only have 3 MACs and IPs so far, so only the first boardIDs are allowed.
     337                                                if ( conv_integer(bid) < MAC_LIST'length) then
     338                    gateway_loc <= ETHZ_GATEWAY;
     339                    netmask_loc <= ETHZ_NETMASK;                                               
     340                    mac_loc <= MAC_LIST(conv_integer(bid));
     341                                                ip_loc <= IP_LIST(conv_integer(bid));
     342                                                end if; -- conv_integer
     343                                              end if; -- bid=1111 & cid=11
     344                                            end if; --FAD_at_ETHZ = 0
     345                                          end if; --FAD_in_cam = 1
    280346                                               
    281347                                        -- Interrupt Mask
     
    336402                                        when MAC =>
    337403                                                par_addr <= W5300_SHAR;
    338                                                 par_data <= MAC_ADDRESS (0);
     404                                                par_data <= mac_loc(0);
    339405                                                state_init <= WRITE_REG;
    340406                                                next_state <= MAC1;
    341407                                        when MAC1 =>
    342408                                                par_addr <= W5300_SHAR + 2;
    343                                                 par_data <= MAC_ADDRESS (1);
     409                                                par_data <= mac_loc(1);
    344410                                                state_init <= WRITE_REG;
    345411                                                next_state <= MAC2;
    346412                                        when MAC2 =>
    347413                                                par_addr <= W5300_SHAR + 4;
    348                                                 par_data <= MAC_ADDRESS (2);
     414                                                par_data <= mac_loc(2);
    349415                                                state_init <= WRITE_REG;
    350416                                                next_state <= GW;
     
    353419                                        when GW =>
    354420                                                par_addr <= W5300_GAR;
    355                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (0),8);
    356                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (1),8);
     421                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(0),8);
     422                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(1),8);
    357423                                                state_init <= WRITE_REG;
    358424                                                next_state <= GW1;
    359425                                        when GW1 =>
    360426                                                par_addr <= W5300_GAR + 2;
    361                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (2),8);
    362                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (3),8);
     427                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(2),8);
     428                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(3),8);
    363429                                                state_init <= WRITE_REG;
    364430                                                next_state <= SNM;
     
    367433                                        when SNM =>
    368434                                                par_addr <= W5300_SUBR;
    369                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (0),8);
    370                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (1),8);
     435                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(0),8);
     436                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(1),8);
    371437                                                state_init <= WRITE_REG;
    372438                                                next_state <= SNM1;
    373439                                        when SNM1 =>
    374440                                                par_addr <= W5300_SUBR + 2;
    375                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (2),8);
    376                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (3),8);
     441                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(2),8);
     442                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(3),8);
    377443                                                state_init <= WRITE_REG;
    378444                                                next_state <= IP;
     
    380446                                        when IP =>
    381447                                                par_addr <= W5300_SIPR;
    382                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (0),8);
    383                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (1),8);
     448                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(0),8);
     449                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(1),8);
    384450                                                state_init <= WRITE_REG;
    385451                                                next_state <= IP1;
    386452                                        when IP1 =>
    387453                                                par_addr <= W5300_SIPR + 2;
    388                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (2),8);
    389                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (3),8);
     454                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(2),8);
     455                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(3),8);
    390456                                                state_init <= WRITE_REG;
    391457                                                next_state <= SI;
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd.bak

    r10121 r10123  
    6969      config_busy : in std_logic;
    7070     
    71 
     71      MAC_jumper : in std_logic_vector (1 downto 0);
     72      BoardID : in std_logic_vector (3 downto 0);
     73      CrateID : in std_logic_vector (1 downto 0);
    7274     
    7375      denable : out std_logic := '0'; -- default domino wave off
     
    9395
    9496type state_init_type is (INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA,
    95                          INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
     97                         INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
    9698                         SI, SI1, SI2, SI3, SI4, SI5, SI6,      ESTABLISH, EST1, CONFIG, MAIN, MAIN1, MAIN2, MAIN3, CHK_RECEIVED, READ_DATA);
    9799type state_write_type is (WR_START, WR_LENGTH, WR_WAIT1, WR_01, WR_02, WR_03, WR_04, WR_05, WR_05a, WR_05b, WR_06, WR_07, WR_08, WR_FIFO, WR_FIFO1, WR_ADC, WR_ADC1, WR_ADC2,
     
    152154signal data_valid_int : std_logic := '0';
    153155
     156signal FAD_in_cam : std_logic := '0';
     157signal FAD_at_ETHZ : std_logic := '0';
     158signal bid : std_logic_vector (3 downto 0);
     159signal cid : std_logic_vector (1 downto 0);
     160
     161
    154162-- only for debugging
    155163--signal error_cnt : std_logic_vector (7 downto 0) := (others => '0');
     
    160168signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending
    161169signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets.
     170
     171signal mac_loc : mac_type;
     172signal ip_loc : ip_type;
     173signal gateway_loc : ip_type;
     174signal netmask_loc : ip_type;
     175
    162176
    163177begin
     
    274288                                        -- Init
    275289                                        when INIT =>
     290                                          -- status of MAC jumpers is synched in
     291                                          -- and Board- and CrateID are synched in
     292                                          FAD_in_cam <= MAC_jumper(1); -- see position of jumpers in FACT logbook
     293            FAD_at_ETHZ <= MAC_jumper(0); -- MAC_jumper(1) is where D_T(7) was; MAC_jumper(0) is where D_T(6) was;
     294            bid <= BoardID;
     295            cid <= CrateID;
     296            --
    276297                                                par_addr <= W5300_MR;
    277298                                                par_data <= X"0000";
    278299                                                state_init <= WRITE_REG;
    279                                                 next_state <= IM;
     300                                                next_state <= LOCATE;
     301                                       
     302                                        when LOCATE =>                                   
     303                                          state_init <= IM;
     304                                         
     305                                          if (FAD_in_cam = '1') then
     306                                            -- if BID = "1111" and CID="11" then FAD is not really in cam
     307              -- back to INIT !! endless loop
     308              if (bid = "1111" and cid="11") then
     309               -- this should never happen!!!!!
     310               -- impossible to find this out, if in cam
     311                state_init <= INIT;
     312              else -- everything is fine
     313                -- IP & MAC are calculated from BID & CID
     314                -- code here
     315                gateway_loc <= CAM_GATEWAY;
     316                netmask_loc <= CAM_NETMASK;                                             
     317                mac_loc <= (CAM_MAC_prefix (0), CAM_MAC_prefix (1)  , conv_std_logic_vector ( conv_integer(cid)*10+conv_integer(bid)  , 16)   );
     318                ip_loc <= ( CAM_IP_PREFIX(0) , CAM_IP_PREFIX(1) , IP_offset + conv_integer(cid) , IP_offset + conv_integer(bid) );
     319              end if;
     320                                          else -- FAD is tested, eighther at ETHZ or at TUDO AND eighther with FMP or without.
     321                                            if ( FAD_at_ETHZ = '0' ) then
     322                                             -- easy FAD is at TUDO -> only one choice.
     323                                             mac_loc <= MAC_FAD0;
     324               ip_loc <= IP_TUDO;
     325               gateway_loc <= TUDO_GATEWAY;
     326               netmask_loc <= TUDO_NETMASK;
     327                                            else -- FAD is at ETHZ but not in cam --> IP lookup table is needed.
     328                                              if (bid = "1111" and cid="11") then -- FAD is not in crate
     329                  mac_loc <= MAC_FAD0;
     330                  ip_loc <= IP_ETHZ_FAD0;
     331                  gateway_loc <= ETHZ_GATEWAY;
     332                  netmask_loc <= ETHZ_NETMASK;                                         
     333                                              else
     334                                                -- FAD is at ETHZ and in crate:
     335                                                -- crate ID is not of importance.
     336                                                -- we only have 3 MACs and IPs so far, so only the first boardIDs are allowed.
     337                                                if ( conv_integer(bid) < MAC_LIST'length) then
     338                    gateway_loc <= ETHZ_GATEWAY;
     339                    netmask_loc <= ETHZ_NETMASK;                                               
     340                    mac_loc <= MAC_LIST(conv_integer(bid));
     341                                                ip_loc <= IP_LIST(conv_integer(bid));
     342                                                end if; -- conv_integer
     343                                              end if; -- bid=1111 & cid=11
     344                                            end if; --FAD_at_ETHZ = 0
     345                                          end if; --FAD_in_cam = 1
    280346                                               
    281347                                        -- Interrupt Mask
     
    336402                                        when MAC =>
    337403                                                par_addr <= W5300_SHAR;
    338                                                 par_data <= MAC_ADDRESS (0);
     404                                                par_data <= mac_loc(0);
    339405                                                state_init <= WRITE_REG;
    340406                                                next_state <= MAC1;
    341407                                        when MAC1 =>
    342408                                                par_addr <= W5300_SHAR + 2;
    343                                                 par_data <= MAC_ADDRESS (1);
     409                                                par_data <= mac_loc(1);
    344410                                                state_init <= WRITE_REG;
    345411                                                next_state <= MAC2;
    346412                                        when MAC2 =>
    347413                                                par_addr <= W5300_SHAR + 4;
    348                                                 par_data <= MAC_ADDRESS (2);
     414                                                par_data <= mac_loc(2);
    349415                                                state_init <= WRITE_REG;
    350416                                                next_state <= GW;
     
    353419                                        when GW =>
    354420                                                par_addr <= W5300_GAR;
    355                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (0),8);
    356                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (1),8);
     421                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(0),8);
     422                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(1),8);
    357423                                                state_init <= WRITE_REG;
    358424                                                next_state <= GW1;
    359425                                        when GW1 =>
    360426                                                par_addr <= W5300_GAR + 2;
    361                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (2),8);
    362                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (3),8);
     427                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(2),8);
     428                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(3),8);
    363429                                                state_init <= WRITE_REG;
    364430                                                next_state <= SNM;
     
    367433                                        when SNM =>
    368434                                                par_addr <= W5300_SUBR;
    369                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (0),8);
    370                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (1),8);
     435                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(0),8);
     436                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(1),8);
    371437                                                state_init <= WRITE_REG;
    372438                                                next_state <= SNM1;
    373439                                        when SNM1 =>
    374440                                                par_addr <= W5300_SUBR + 2;
    375                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (2),8);
    376                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (3),8);
     441                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(2),8);
     442                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(3),8);
    377443                                                state_init <= WRITE_REG;
    378444                                                next_state <= IP;
    379445                                        -- Own IP-Address
    380446                                        when IP =>
     447                                          led(0) <= '1';
     448          led(1) <= '1';
     449          led(2) <= '1';
     450
    381451                                                par_addr <= W5300_SIPR;
    382                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (0),8);
    383                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (1),8);
     452                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(0),8);
     453                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(1),8);
    384454                                                state_init <= WRITE_REG;
    385455                                                next_state <= IP1;
    386456                                        when IP1 =>
    387457                                                par_addr <= W5300_SIPR + 2;
    388                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (2),8);
    389                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (3),8);
     458                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(2),8);
     459                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(3),8);
    390460                                                state_init <= WRITE_REG;
    391461                                                next_state <= SI;
     
    404474                                        -- Socket Init
    405475                                        when SI =>
     476                                          led(3) <= '1';
    406477                                                par_addr <= W5300_S0_MR + socket_cnt * W5300_S_INC;
    407478                                                par_data <= X"0101"; -- ALIGN, TCP
     
    435506                                                end if;
    436507                                        when SI6 =>
     508                                          led(4) <= '1';
    437509                                                par_addr <= W5300_S0_CR + socket_cnt * W5300_S_INC;
    438510                                                par_data <= X"0002"; -- LISTEN
     
    448520                                               
    449521                                        when ESTABLISH =>
     522                                          led(5) <= '1';
    450523                                          socks_waiting <= '1';
    451524            socks_connected <= '0';
     
    607680                      --trigger_stop <= '1';
    608681                      state_read_data <= RD_5;
     682                    when CMD_SET_TRIGGER_MULT =>
     683                      c_trigger_mult <= data_read (7 downto 0);
     684                      state_read_data <= RD_5;
     685
    609686                    -- phase shift commands here:
    610687                    when CMD_PS_DO =>
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_board_struct.xrf

    r10121 r10123  
    3737DESIGN @f@a@d_@board
    3838VIEW symbol.sb
    39 GRAPHIC 4165,0 24 0
    40 DESIGN @f@a@d_@board
    41 VIEW symbol.sb
    42 GRAPHIC 4264,0 25 0
    43 DESIGN @f@a@d_@board
    44 VIEW symbol.sb
    45 GRAPHIC 3581,0 26 0
    46 DESIGN @f@a@d_@board
    47 VIEW symbol.sb
    48 GRAPHIC 3687,0 27 0
    49 DESIGN @f@a@d_@board
    50 VIEW symbol.sb
    51 GRAPHIC 3692,0 28 0
    52 DESIGN @f@a@d_@board
    53 VIEW symbol.sb
    54 GRAPHIC 1121,0 29 0
    55 DESIGN @f@a@d_@board
    56 VIEW symbol.sb
    57 GRAPHIC 1421,0 30 0
    58 DESIGN @f@a@d_@board
    59 VIEW symbol.sb
    60 GRAPHIC 1116,0 31 0
    61 DESIGN @f@a@d_@board
    62 VIEW symbol.sb
    63 GRAPHIC 3456,0 32 0
    64 DESIGN @f@a@d_@board
    65 VIEW symbol.sb
    66 GRAPHIC 3026,0 33 0
    67 DESIGN @f@a@d_@board
    68 VIEW symbol.sb
    69 GRAPHIC 4033,0 34 0
    70 DESIGN @f@a@d_@board
    71 VIEW symbol.sb
    72 GRAPHIC 1126,0 35 0
    73 DESIGN @f@a@d_@board
    74 VIEW symbol.sb
    75 GRAPHIC 1227,0 36 0
    76 DESIGN @f@a@d_@board
    77 VIEW symbol.sb
    78 GRAPHIC 1232,0 37 0
    79 DESIGN @f@a@d_@board
    80 VIEW symbol.sb
    81 GRAPHIC 1237,0 38 0
    82 DESIGN @f@a@d_@board
    83 VIEW symbol.sb
    84 GRAPHIC 1242,0 39 0
    85 DESIGN @f@a@d_@board
    86 VIEW symbol.sb
    87 GRAPHIC 1363,0 40 0
    88 DESIGN @f@a@d_@board
    89 VIEW symbol.sb
    90 GRAPHIC 1701,0 41 0
    91 DESIGN @f@a@d_@board
    92 VIEW symbol.sb
    93 GRAPHIC 1308,0 42 0
    94 DESIGN @f@a@d_@board
    95 VIEW symbol.sb
    96 GRAPHIC 1302,0 43 0
    97 DESIGN @f@a@d_@board
    98 VIEW symbol.sb
    99 GRAPHIC 2068,0 44 0
    100 DESIGN @f@a@d_@board
    101 VIEW symbol.sb
    102 GRAPHIC 2949,0 45 0
    103 DESIGN @f@a@d_@board
    104 VIEW symbol.sb
    105 GRAPHIC 1711,0 46 0
    106 DESIGN @f@a@d_@board
    107 VIEW symbol.sb
    108 GRAPHIC 4038,0 47 0
    109 DESIGN @f@a@d_@board
    110 VIEW symbol.sb
    111 GRAPHIC 1620,0 48 0
    112 DESIGN @f@a@d_@board
    113 VIEW symbol.sb
    114 GRAPHIC 1166,0 49 0
    115 DESIGN @f@a@d_@board
    116 VIEW symbol.sb
    117 GRAPHIC 4043,0 50 0
    118 DESIGN @f@a@d_@board
    119 VIEW symbol.sb
    120 GRAPHIC 1686,0 51 0
    121 DESIGN @f@a@d_@board
    122 VIEW symbol.sb
    123 GRAPHIC 3586,0 52 0
    124 DESIGN @f@a@d_@board
    125 VIEW symbol.sb
    126 GRAPHIC 1681,0 53 0
    127 DESIGN @f@a@d_@board
    128 VIEW symbol.sb
    129 GRAPHIC 1696,0 54 0
    130 DESIGN @f@a@d_@board
    131 VIEW symbol.sb
    132 GRAPHIC 1691,0 55 0
    133 DESIGN @f@a@d_@board
    134 VIEW symbol.sb
    135 GRAPHIC 693,0 56 0
    136 DESIGN @f@a@d_@board
    137 VIEW symbol.sb
    138 GRAPHIC 1706,0 57 0
    139 DESIGN @f@a@d_@board
    140 VIEW symbol.sb
    141 GRAPHIC 1388,0 58 0
    142 DESIGN @f@a@d_@board
    143 VIEW symbol.sb
    144 GRAPHIC 1368,0 59 0
    145 DESIGN @f@a@d_@board
    146 VIEW symbol.sb
    147 GRAPHIC 1373,0 60 0
    148 DESIGN @f@a@d_@board
    149 VIEW symbol.sb
    150 GRAPHIC 1378,0 61 0
    151 DESIGN @f@a@d_@board
    152 VIEW symbol.sb
    153 GRAPHIC 1383,0 62 0
    154 DESIGN @f@a@d_@board
    155 VIEW symbol.sb
    156 GRAPHIC 1676,0 63 0
    157 DESIGN @f@a@d_@board
    158 VIEW symbol.sb
    159 GRAPHIC 1393,0 64 0
    160 DESIGN @f@a@d_@board
    161 VIEW symbol.sb
    162 GRAPHIC 1426,0 65 0
    163 DESIGN @f@a@d_@board
    164 VIEW symbol.sb
    165 GRAPHIC 1409,0 66 0
    166 DESIGN @f@a@d_@board
    167 VIEW symbol.sb
    168 GRAPHIC 1403,0 67 0
    169 DESIGN @f@a@d_@board
    170 VIEW symbol.sb
    171 GRAPHIC 1415,0 68 0
    172 DESIGN @f@a@d_@board
    173 VIEW symbol.sb
    174 GRAPHIC 1626,0 69 0
    175 DESIGN @f@a@d_@board
    176 VIEW symbol.sb
    177 GRAPHIC 1398,0 70 0
    178 DESIGN @f@a@d_@board
    179 VIEW symbol.sb
    180 GRAPHIC 1,0 73 0
     39GRAPHIC 4324,0 24 0
     40DESIGN @f@a@d_@board
     41VIEW symbol.sb
     42GRAPHIC 4294,0 25 0
     43DESIGN @f@a@d_@board
     44VIEW symbol.sb
     45GRAPHIC 4264,0 26 0
     46DESIGN @f@a@d_@board
     47VIEW symbol.sb
     48GRAPHIC 3581,0 27 0
     49DESIGN @f@a@d_@board
     50VIEW symbol.sb
     51GRAPHIC 3687,0 28 0
     52DESIGN @f@a@d_@board
     53VIEW symbol.sb
     54GRAPHIC 3692,0 29 0
     55DESIGN @f@a@d_@board
     56VIEW symbol.sb
     57GRAPHIC 1121,0 30 0
     58DESIGN @f@a@d_@board
     59VIEW symbol.sb
     60GRAPHIC 1421,0 31 0
     61DESIGN @f@a@d_@board
     62VIEW symbol.sb
     63GRAPHIC 1116,0 32 0
     64DESIGN @f@a@d_@board
     65VIEW symbol.sb
     66GRAPHIC 3456,0 33 0
     67DESIGN @f@a@d_@board
     68VIEW symbol.sb
     69GRAPHIC 3026,0 34 0
     70DESIGN @f@a@d_@board
     71VIEW symbol.sb
     72GRAPHIC 4033,0 35 0
     73DESIGN @f@a@d_@board
     74VIEW symbol.sb
     75GRAPHIC 1126,0 36 0
     76DESIGN @f@a@d_@board
     77VIEW symbol.sb
     78GRAPHIC 1227,0 37 0
     79DESIGN @f@a@d_@board
     80VIEW symbol.sb
     81GRAPHIC 1232,0 38 0
     82DESIGN @f@a@d_@board
     83VIEW symbol.sb
     84GRAPHIC 1237,0 39 0
     85DESIGN @f@a@d_@board
     86VIEW symbol.sb
     87GRAPHIC 1242,0 40 0
     88DESIGN @f@a@d_@board
     89VIEW symbol.sb
     90GRAPHIC 1363,0 41 0
     91DESIGN @f@a@d_@board
     92VIEW symbol.sb
     93GRAPHIC 1701,0 42 0
     94DESIGN @f@a@d_@board
     95VIEW symbol.sb
     96GRAPHIC 1308,0 43 0
     97DESIGN @f@a@d_@board
     98VIEW symbol.sb
     99GRAPHIC 1302,0 44 0
     100DESIGN @f@a@d_@board
     101VIEW symbol.sb
     102GRAPHIC 2068,0 45 0
     103DESIGN @f@a@d_@board
     104VIEW symbol.sb
     105GRAPHIC 2949,0 46 0
     106DESIGN @f@a@d_@board
     107VIEW symbol.sb
     108GRAPHIC 1711,0 47 0
     109DESIGN @f@a@d_@board
     110VIEW symbol.sb
     111GRAPHIC 4038,0 48 0
     112DESIGN @f@a@d_@board
     113VIEW symbol.sb
     114GRAPHIC 1620,0 49 0
     115DESIGN @f@a@d_@board
     116VIEW symbol.sb
     117GRAPHIC 1166,0 50 0
     118DESIGN @f@a@d_@board
     119VIEW symbol.sb
     120GRAPHIC 4043,0 51 0
     121DESIGN @f@a@d_@board
     122VIEW symbol.sb
     123GRAPHIC 1686,0 52 0
     124DESIGN @f@a@d_@board
     125VIEW symbol.sb
     126GRAPHIC 3586,0 53 0
     127DESIGN @f@a@d_@board
     128VIEW symbol.sb
     129GRAPHIC 1681,0 54 0
     130DESIGN @f@a@d_@board
     131VIEW symbol.sb
     132GRAPHIC 1696,0 55 0
     133DESIGN @f@a@d_@board
     134VIEW symbol.sb
     135GRAPHIC 1691,0 56 0
     136DESIGN @f@a@d_@board
     137VIEW symbol.sb
     138GRAPHIC 693,0 57 0
     139DESIGN @f@a@d_@board
     140VIEW symbol.sb
     141GRAPHIC 1706,0 58 0
     142DESIGN @f@a@d_@board
     143VIEW symbol.sb
     144GRAPHIC 1388,0 59 0
     145DESIGN @f@a@d_@board
     146VIEW symbol.sb
     147GRAPHIC 1368,0 60 0
     148DESIGN @f@a@d_@board
     149VIEW symbol.sb
     150GRAPHIC 1373,0 61 0
     151DESIGN @f@a@d_@board
     152VIEW symbol.sb
     153GRAPHIC 1378,0 62 0
     154DESIGN @f@a@d_@board
     155VIEW symbol.sb
     156GRAPHIC 1383,0 63 0
     157DESIGN @f@a@d_@board
     158VIEW symbol.sb
     159GRAPHIC 1676,0 64 0
     160DESIGN @f@a@d_@board
     161VIEW symbol.sb
     162GRAPHIC 1393,0 65 0
     163DESIGN @f@a@d_@board
     164VIEW symbol.sb
     165GRAPHIC 1426,0 66 0
     166DESIGN @f@a@d_@board
     167VIEW symbol.sb
     168GRAPHIC 1409,0 67 0
     169DESIGN @f@a@d_@board
     170VIEW symbol.sb
     171GRAPHIC 1403,0 68 0
     172DESIGN @f@a@d_@board
     173VIEW symbol.sb
     174GRAPHIC 1415,0 69 0
     175DESIGN @f@a@d_@board
     176VIEW symbol.sb
     177GRAPHIC 1626,0 70 0
     178DESIGN @f@a@d_@board
     179VIEW symbol.sb
     180GRAPHIC 1398,0 71 0
    181181DESIGN @f@a@d_@board
    182182VIEW symbol.sb
    183183GRAPHIC 1,0 74 0
    184184DESIGN @f@a@d_@board
    185 VIEW struct.bd
    186 NO_GRAPHIC 77
    187 DESIGN @f@a@d_@board
    188 VIEW struct.bd
    189 GRAPHIC 41,0 86 0
    190 DESIGN @f@a@d_@board
    191 VIEW struct.bd
    192 NO_GRAPHIC 94
    193 DESIGN @f@a@d_@board
    194 VIEW struct.bd
    195 GRAPHIC 0,0 97 2
    196 DESIGN @f@a@d_@board
    197 VIEW struct.bd
    198 GRAPHIC 10504,0 102 0
    199 DESIGN @f@a@d_@board
    200 VIEW struct.bd
    201 GRAPHIC 10512,0 103 0
    202 DESIGN @f@a@d_@board
    203 VIEW struct.bd
    204 GRAPHIC 10496,0 104 0
    205 DESIGN @f@a@d_@board
    206 VIEW struct.bd
    207 GRAPHIC 3268,0 105 0
    208 DESIGN @f@a@d_@board
    209 VIEW struct.bd
    210 GRAPHIC 10032,0 106 0
    211 DESIGN @f@a@d_@board
    212 VIEW struct.bd
    213 GRAPHIC 9500,0 107 0
    214 DESIGN @f@a@d_@board
    215 VIEW struct.bd
    216 GRAPHIC 10552,0 108 0
    217 DESIGN @f@a@d_@board
    218 VIEW struct.bd
    219 GRAPHIC 10592,0 109 0
    220 DESIGN @f@a@d_@board
    221 VIEW struct.bd
    222 GRAPHIC 10584,0 110 0
    223 DESIGN @f@a@d_@board
    224 VIEW struct.bd
    225 GRAPHIC 10576,0 111 0
    226 DESIGN @f@a@d_@board
    227 VIEW struct.bd
    228 GRAPHIC 10544,0 112 0
    229 DESIGN @f@a@d_@board
    230 VIEW struct.bd
    231 GRAPHIC 10520,0 113 0
    232 DESIGN @f@a@d_@board
    233 VIEW struct.bd
    234 GRAPHIC 2421,0 114 0
    235 DESIGN @f@a@d_@board
    236 VIEW struct.bd
    237 GRAPHIC 10050,0 115 0
    238 DESIGN @f@a@d_@board
    239 VIEW struct.bd
    240 GRAPHIC 1465,0 116 0
    241 DESIGN @f@a@d_@board
    242 VIEW struct.bd
    243 GRAPHIC 275,0 117 0
    244 DESIGN @f@a@d_@board
    245 VIEW struct.bd
    246 GRAPHIC 283,0 118 0
    247 DESIGN @f@a@d_@board
    248 VIEW struct.bd
    249 GRAPHIC 8851,0 119 0
    250 DESIGN @f@a@d_@board
    251 VIEW struct.bd
    252 GRAPHIC 7485,0 120 0
    253 DESIGN @f@a@d_@board
    254 VIEW struct.bd
    255 GRAPHIC 10560,0 121 0
    256 DESIGN @f@a@d_@board
    257 VIEW struct.bd
    258 GRAPHIC 3019,0 122 0
    259 DESIGN @f@a@d_@board
    260 VIEW struct.bd
    261 GRAPHIC 10568,0 124 0
    262 DESIGN @f@a@d_@board
    263 VIEW struct.bd
    264 NO_GRAPHIC 125
    265 DESIGN @f@a@d_@board
    266 VIEW struct.bd
    267 GRAPHIC 13570,0 127 0
    268 DESIGN @f@a@d_@board
    269 VIEW struct.bd
    270 NO_GRAPHIC 129
     185VIEW symbol.sb
     186GRAPHIC 1,0 75 0
     187DESIGN @f@a@d_@board
     188VIEW struct.bd
     189NO_GRAPHIC 78
     190DESIGN @f@a@d_@board
     191VIEW struct.bd
     192GRAPHIC 41,0 87 0
     193DESIGN @f@a@d_@board
     194VIEW struct.bd
     195NO_GRAPHIC 95
     196DESIGN @f@a@d_@board
     197VIEW struct.bd
     198GRAPHIC 0,0 98 2
     199DESIGN @f@a@d_@board
     200VIEW struct.bd
     201GRAPHIC 10504,0 103 0
     202DESIGN @f@a@d_@board
     203VIEW struct.bd
     204GRAPHIC 10512,0 104 0
     205DESIGN @f@a@d_@board
     206VIEW struct.bd
     207GRAPHIC 10496,0 105 0
     208DESIGN @f@a@d_@board
     209VIEW struct.bd
     210GRAPHIC 3268,0 106 0
     211DESIGN @f@a@d_@board
     212VIEW struct.bd
     213GRAPHIC 10032,0 107 0
     214DESIGN @f@a@d_@board
     215VIEW struct.bd
     216GRAPHIC 9500,0 108 0
     217DESIGN @f@a@d_@board
     218VIEW struct.bd
     219GRAPHIC 10552,0 109 0
     220DESIGN @f@a@d_@board
     221VIEW struct.bd
     222GRAPHIC 10592,0 110 0
     223DESIGN @f@a@d_@board
     224VIEW struct.bd
     225GRAPHIC 10584,0 111 0
     226DESIGN @f@a@d_@board
     227VIEW struct.bd
     228GRAPHIC 10576,0 112 0
     229DESIGN @f@a@d_@board
     230VIEW struct.bd
     231GRAPHIC 10544,0 113 0
     232DESIGN @f@a@d_@board
     233VIEW struct.bd
     234GRAPHIC 10520,0 114 0
     235DESIGN @f@a@d_@board
     236VIEW struct.bd
     237GRAPHIC 2421,0 115 0
     238DESIGN @f@a@d_@board
     239VIEW struct.bd
     240GRAPHIC 10050,0 116 0
     241DESIGN @f@a@d_@board
     242VIEW struct.bd
     243GRAPHIC 1465,0 117 0
     244DESIGN @f@a@d_@board
     245VIEW struct.bd
     246GRAPHIC 275,0 118 0
     247DESIGN @f@a@d_@board
     248VIEW struct.bd
     249GRAPHIC 283,0 119 0
     250DESIGN @f@a@d_@board
     251VIEW struct.bd
     252GRAPHIC 8851,0 120 0
     253DESIGN @f@a@d_@board
     254VIEW struct.bd
     255GRAPHIC 7485,0 121 0
     256DESIGN @f@a@d_@board
     257VIEW struct.bd
     258GRAPHIC 15173,0 122 0
     259DESIGN @f@a@d_@board
     260VIEW struct.bd
     261GRAPHIC 10560,0 123 0
     262DESIGN @f@a@d_@board
     263VIEW struct.bd
     264GRAPHIC 3019,0 124 0
     265DESIGN @f@a@d_@board
     266VIEW struct.bd
     267GRAPHIC 10568,0 126 0
     268DESIGN @f@a@d_@board
     269VIEW struct.bd
     270NO_GRAPHIC 127
     271DESIGN @f@a@d_@board
     272VIEW struct.bd
     273GRAPHIC 13570,0 129 0
     274DESIGN @f@a@d_@board
     275VIEW struct.bd
     276NO_GRAPHIC 131
    271277LIBRARY FACT_FAD_lib
    272278DESIGN @f@a@d_main
    273279VIEW struct
    274 GRAPHIC 169,0 131 0
    275 DESIGN @f@a@d_main
    276 VIEW symbol.sb
    277 GRAPHIC 14,0 132 1
    278 DESIGN @f@a@d_main
    279 VIEW symbol.sb
    280 GRAPHIC 1755,0 136 0
    281 DESIGN @f@a@d_main
    282 VIEW symbol.sb
    283 GRAPHIC 2710,0 137 0
    284 DESIGN @f@a@d_main
    285 VIEW symbol.sb
    286 GRAPHIC 2715,0 138 0
    287 DESIGN @f@a@d_main
    288 VIEW symbol.sb
    289 GRAPHIC 2720,0 139 0
    290 DESIGN @f@a@d_main
    291 VIEW symbol.sb
    292 GRAPHIC 2725,0 140 0
    293 DESIGN @f@a@d_main
    294 VIEW symbol.sb
    295 GRAPHIC 2282,0 141 0
    296 DESIGN @f@a@d_main
    297 VIEW symbol.sb
    298 GRAPHIC 1976,0 142 0
    299 DESIGN @f@a@d_main
    300 VIEW symbol.sb
    301 GRAPHIC 923,0 143 0
    302 DESIGN @f@a@d_main
    303 VIEW symbol.sb
    304 GRAPHIC 928,0 144 0
    305 DESIGN @f@a@d_main
    306 VIEW symbol.sb
    307 GRAPHIC 464,0 145 0
    308 DESIGN @f@a@d_main
    309 VIEW symbol.sb
    310 GRAPHIC 1062,0 146 0
    311 DESIGN @f@a@d_main
    312 VIEW symbol.sb
    313 GRAPHIC 4584,0 147 0
    314 DESIGN @f@a@d_main
    315 VIEW symbol.sb
    316 GRAPHIC 4589,0 148 0
    317 DESIGN @f@a@d_main
    318 VIEW symbol.sb
    319 GRAPHIC 4579,0 149 0
    320 DESIGN @f@a@d_main
    321 VIEW symbol.sb
    322 GRAPHIC 1389,0 150 0
    323 DESIGN @f@a@d_main
    324 VIEW symbol.sb
    325 GRAPHIC 1725,0 151 0
    326 DESIGN @f@a@d_main
    327 VIEW symbol.sb
    328 GRAPHIC 4497,0 152 0
    329 DESIGN @f@a@d_main
    330 VIEW symbol.sb
    331 GRAPHIC 4467,0 153 0
    332 DESIGN @f@a@d_main
    333 VIEW symbol.sb
    334 GRAPHIC 4487,0 154 0
    335 DESIGN @f@a@d_main
    336 VIEW symbol.sb
    337 GRAPHIC 4472,0 155 0
    338 DESIGN @f@a@d_main
    339 VIEW symbol.sb
    340 GRAPHIC 4477,0 156 0
    341 DESIGN @f@a@d_main
    342 VIEW symbol.sb
    343 GRAPHIC 4517,0 157 0
    344 DESIGN @f@a@d_main
    345 VIEW symbol.sb
    346 GRAPHIC 2987,0 158 0
    347 DESIGN @f@a@d_main
    348 VIEW symbol.sb
    349 GRAPHIC 2992,0 159 0
    350 DESIGN @f@a@d_main
    351 VIEW symbol.sb
    352 GRAPHIC 4780,0 160 0
    353 DESIGN @f@a@d_main
    354 VIEW symbol.sb
    355 GRAPHIC 4323,0 161 0
    356 DESIGN @f@a@d_main
    357 VIEW symbol.sb
    358 GRAPHIC 833,0 162 0
    359 DESIGN @f@a@d_main
    360 VIEW symbol.sb
    361 GRAPHIC 5206,0 163 0
    362 DESIGN @f@a@d_main
    363 VIEW symbol.sb
    364 GRAPHIC 4911,0 164 0
    365 DESIGN @f@a@d_main
    366 VIEW symbol.sb
    367 GRAPHIC 3641,0 165 0
    368 DESIGN @f@a@d_main
    369 VIEW symbol.sb
    370 GRAPHIC 4144,0 166 0
    371 DESIGN @f@a@d_main
    372 VIEW symbol.sb
    373 GRAPHIC 2448,0 167 0
    374 DESIGN @f@a@d_main
    375 VIEW symbol.sb
    376 GRAPHIC 2453,0 168 0
    377 DESIGN @f@a@d_main
    378 VIEW symbol.sb
    379 GRAPHIC 4906,0 169 0
    380 DESIGN @f@a@d_main
    381 VIEW symbol.sb
    382 GRAPHIC 163,0 170 0
    383 DESIGN @f@a@d_main
    384 VIEW symbol.sb
    385 GRAPHIC 4067,0 171 0
    386 DESIGN @f@a@d_main
    387 VIEW symbol.sb
    388 GRAPHIC 4502,0 172 0
    389 DESIGN @f@a@d_main
    390 VIEW symbol.sb
    391 GRAPHIC 4512,0 173 0
    392 DESIGN @f@a@d_main
    393 VIEW symbol.sb
    394 GRAPHIC 4916,0 174 0
    395 DESIGN @f@a@d_main
    396 VIEW symbol.sb
    397 GRAPHIC 3631,0 175 0
    398 DESIGN @f@a@d_main
    399 VIEW symbol.sb
    400 GRAPHIC 3646,0 176 0
    401 DESIGN @f@a@d_main
    402 VIEW symbol.sb
    403 GRAPHIC 4507,0 177 0
    404 DESIGN @f@a@d_main
    405 VIEW symbol.sb
    406 GRAPHIC 1037,0 179 0
    407 DESIGN @f@a@d_main
    408 VIEW symbol.sb
    409 GRAPHIC 1047,0 180 0
    410 DESIGN @f@a@d_main
    411 VIEW symbol.sb
    412 GRAPHIC 1057,0 181 0
    413 DESIGN @f@a@d_main
    414 VIEW symbol.sb
    415 GRAPHIC 135,0 182 0
    416 DESIGN @f@a@d_main
    417 VIEW symbol.sb
    418 GRAPHIC 1052,0 183 0
    419 DESIGN @f@a@d_main
    420 VIEW symbol.sb
    421 GRAPHIC 3636,0 184 0
    422 DESIGN @f@a@d_main
    423 VIEW symbol.sb
    424 GRAPHIC 1042,0 185 0
     280GRAPHIC 169,0 133 0
     281DESIGN @f@a@d_main
     282VIEW symbol.sb
     283GRAPHIC 14,0 134 1
     284DESIGN @f@a@d_main
     285VIEW symbol.sb
     286GRAPHIC 1755,0 138 0
     287DESIGN @f@a@d_main
     288VIEW symbol.sb
     289GRAPHIC 5328,0 139 0
     290DESIGN @f@a@d_main
     291VIEW symbol.sb
     292GRAPHIC 2710,0 140 0
     293DESIGN @f@a@d_main
     294VIEW symbol.sb
     295GRAPHIC 2715,0 141 0
     296DESIGN @f@a@d_main
     297VIEW symbol.sb
     298GRAPHIC 2720,0 142 0
     299DESIGN @f@a@d_main
     300VIEW symbol.sb
     301GRAPHIC 2725,0 143 0
     302DESIGN @f@a@d_main
     303VIEW symbol.sb
     304GRAPHIC 2282,0 144 0
     305DESIGN @f@a@d_main
     306VIEW symbol.sb
     307GRAPHIC 1976,0 145 0
     308DESIGN @f@a@d_main
     309VIEW symbol.sb
     310GRAPHIC 923,0 146 0
     311DESIGN @f@a@d_main
     312VIEW symbol.sb
     313GRAPHIC 928,0 147 0
     314DESIGN @f@a@d_main
     315VIEW symbol.sb
     316GRAPHIC 464,0 148 0
     317DESIGN @f@a@d_main
     318VIEW symbol.sb
     319GRAPHIC 1062,0 149 0
     320DESIGN @f@a@d_main
     321VIEW symbol.sb
     322GRAPHIC 4584,0 150 0
     323DESIGN @f@a@d_main
     324VIEW symbol.sb
     325GRAPHIC 4589,0 151 0
     326DESIGN @f@a@d_main
     327VIEW symbol.sb
     328GRAPHIC 4579,0 152 0
     329DESIGN @f@a@d_main
     330VIEW symbol.sb
     331GRAPHIC 1389,0 153 0
     332DESIGN @f@a@d_main
     333VIEW symbol.sb
     334GRAPHIC 1725,0 154 0
     335DESIGN @f@a@d_main
     336VIEW symbol.sb
     337GRAPHIC 4497,0 155 0
     338DESIGN @f@a@d_main
     339VIEW symbol.sb
     340GRAPHIC 4467,0 156 0
     341DESIGN @f@a@d_main
     342VIEW symbol.sb
     343GRAPHIC 4487,0 157 0
     344DESIGN @f@a@d_main
     345VIEW symbol.sb
     346GRAPHIC 4472,0 158 0
     347DESIGN @f@a@d_main
     348VIEW symbol.sb
     349GRAPHIC 4477,0 159 0
     350DESIGN @f@a@d_main
     351VIEW symbol.sb
     352GRAPHIC 4517,0 160 0
     353DESIGN @f@a@d_main
     354VIEW symbol.sb
     355GRAPHIC 2987,0 161 0
     356DESIGN @f@a@d_main
     357VIEW symbol.sb
     358GRAPHIC 2992,0 162 0
     359DESIGN @f@a@d_main
     360VIEW symbol.sb
     361GRAPHIC 4780,0 163 0
     362DESIGN @f@a@d_main
     363VIEW symbol.sb
     364GRAPHIC 4323,0 164 0
     365DESIGN @f@a@d_main
     366VIEW symbol.sb
     367GRAPHIC 833,0 165 0
     368DESIGN @f@a@d_main
     369VIEW symbol.sb
     370GRAPHIC 5206,0 166 0
     371DESIGN @f@a@d_main
     372VIEW symbol.sb
     373GRAPHIC 4911,0 167 0
     374DESIGN @f@a@d_main
     375VIEW symbol.sb
     376GRAPHIC 3641,0 168 0
     377DESIGN @f@a@d_main
     378VIEW symbol.sb
     379GRAPHIC 4144,0 169 0
     380DESIGN @f@a@d_main
     381VIEW symbol.sb
     382GRAPHIC 2448,0 170 0
     383DESIGN @f@a@d_main
     384VIEW symbol.sb
     385GRAPHIC 2453,0 171 0
     386DESIGN @f@a@d_main
     387VIEW symbol.sb
     388GRAPHIC 4906,0 172 0
     389DESIGN @f@a@d_main
     390VIEW symbol.sb
     391GRAPHIC 163,0 173 0
     392DESIGN @f@a@d_main
     393VIEW symbol.sb
     394GRAPHIC 4067,0 174 0
     395DESIGN @f@a@d_main
     396VIEW symbol.sb
     397GRAPHIC 4502,0 175 0
     398DESIGN @f@a@d_main
     399VIEW symbol.sb
     400GRAPHIC 4512,0 176 0
     401DESIGN @f@a@d_main
     402VIEW symbol.sb
     403GRAPHIC 4916,0 177 0
     404DESIGN @f@a@d_main
     405VIEW symbol.sb
     406GRAPHIC 3631,0 178 0
     407DESIGN @f@a@d_main
     408VIEW symbol.sb
     409GRAPHIC 3646,0 179 0
     410DESIGN @f@a@d_main
     411VIEW symbol.sb
     412GRAPHIC 4507,0 180 0
     413DESIGN @f@a@d_main
     414VIEW symbol.sb
     415GRAPHIC 1037,0 182 0
     416DESIGN @f@a@d_main
     417VIEW symbol.sb
     418GRAPHIC 1047,0 183 0
     419DESIGN @f@a@d_main
     420VIEW symbol.sb
     421GRAPHIC 1057,0 184 0
     422DESIGN @f@a@d_main
     423VIEW symbol.sb
     424GRAPHIC 135,0 185 0
     425DESIGN @f@a@d_main
     426VIEW symbol.sb
     427GRAPHIC 1052,0 186 0
     428DESIGN @f@a@d_main
     429VIEW symbol.sb
     430GRAPHIC 3636,0 187 0
     431DESIGN @f@a@d_main
     432VIEW symbol.sb
     433GRAPHIC 1042,0 188 0
    425434LIBRARY FACT_FAD_lib
    426435DESIGN @f@a@d_@board
    427436VIEW struct.bd
    428 NO_GRAPHIC 188
    429 DESIGN @f@a@d_@board
    430 VIEW struct.bd
    431 GRAPHIC 169,0 191 0
    432 DESIGN @f@a@d_@board
    433 VIEW struct.bd
    434 NO_GRAPHIC 194
    435 DESIGN @f@a@d_@board
    436 VIEW struct.bd
    437 GRAPHIC 265,0 197 0
    438 DESIGN @f@a@d_@board
    439 VIEW struct.bd
    440 NO_GRAPHIC 201
    441 DESIGN @f@a@d_@board
    442 VIEW struct.bd
    443 GRAPHIC 3248,0 202 0
    444 DESIGN @f@a@d_@board
    445 VIEW struct.bd
    446 NO_GRAPHIC 208
    447 DESIGN @f@a@d_@board
    448 VIEW struct.bd
    449 GRAPHIC 3300,0 209 0
    450 DESIGN @f@a@d_@board
    451 VIEW struct.bd
    452 NO_GRAPHIC 215
    453 DESIGN @f@a@d_@board
    454 VIEW struct.bd
    455 GRAPHIC 3394,0 216 0
    456 DESIGN @f@a@d_@board
    457 VIEW struct.bd
    458 NO_GRAPHIC 222
    459 DESIGN @f@a@d_@board
    460 VIEW struct.bd
    461 GRAPHIC 3542,0 223 0
    462 DESIGN @f@a@d_@board
    463 VIEW struct.bd
    464 NO_GRAPHIC 229
    465 DESIGN @f@a@d_@board
    466 VIEW struct.bd
    467 GRAPHIC 3700,0 230 0
    468 DESIGN @f@a@d_@board
    469 VIEW struct.bd
    470 NO_GRAPHIC 244
    471 DESIGN @f@a@d_@board
    472 VIEW struct.bd
    473 GRAPHIC 7092,0 245 0
    474 DESIGN @f@a@d_@board
    475 VIEW struct.bd
    476 NO_GRAPHIC 248
    477 DESIGN @f@a@d_@board
    478 VIEW struct.bd
    479 GRAPHIC 10310,0 249 0
    480 DESIGN @f@a@d_@board
    481 VIEW struct.bd
    482 NO_GRAPHIC 277
    483 DESIGN @f@a@d_@board
    484 VIEW struct.bd
    485 GRAPHIC 10023,0 278 0
    486 DESIGN @f@a@d_@board
    487 VIEW struct.bd
    488 GRAPHIC 7652,0 281 0
    489 DESIGN @f@a@d_@board
    490 VIEW struct.bd
    491 NO_GRAPHIC 284
    492 DESIGN @f@a@d_@board
    493 VIEW struct.bd
    494 GRAPHIC 169,0 286 0
    495 DESIGN @f@a@d_@board
    496 VIEW struct.bd
    497 GRAPHIC 176,0 287 1
    498 DESIGN @f@a@d_@board
    499 VIEW struct.bd
    500 GRAPHIC 245,0 291 0
    501 DESIGN @f@a@d_@board
    502 VIEW struct.bd
    503 GRAPHIC 1865,0 292 0
    504 DESIGN @f@a@d_@board
    505 VIEW struct.bd
    506 GRAPHIC 1873,0 293 0
    507 DESIGN @f@a@d_@board
    508 VIEW struct.bd
    509 GRAPHIC 1881,0 294 0
    510 DESIGN @f@a@d_@board
    511 VIEW struct.bd
    512 GRAPHIC 1889,0 295 0
    513 DESIGN @f@a@d_@board
    514 VIEW struct.bd
    515 GRAPHIC 1467,0 296 0
    516 DESIGN @f@a@d_@board
    517 VIEW struct.bd
    518 GRAPHIC 1730,0 297 0
    519 DESIGN @f@a@d_@board
    520 VIEW struct.bd
    521 GRAPHIC 277,0 298 0
    522 DESIGN @f@a@d_@board
    523 VIEW struct.bd
    524 GRAPHIC 285,0 299 0
    525 DESIGN @f@a@d_@board
    526 VIEW struct.bd
    527 GRAPHIC 3218,0 300 0
    528 DESIGN @f@a@d_@board
    529 VIEW struct.bd
    530 GRAPHIC 450,0 301 0
    531 DESIGN @f@a@d_@board
    532 VIEW struct.bd
    533 GRAPHIC 10506,0 302 0
    534 DESIGN @f@a@d_@board
    535 VIEW struct.bd
    536 GRAPHIC 10514,0 303 0
    537 DESIGN @f@a@d_@board
    538 VIEW struct.bd
    539 GRAPHIC 10498,0 304 0
    540 DESIGN @f@a@d_@board
    541 VIEW struct.bd
    542 GRAPHIC 10034,0 305 0
    543 DESIGN @f@a@d_@board
    544 VIEW struct.bd
    545 GRAPHIC 9502,0 306 0
    546 DESIGN @f@a@d_@board
    547 VIEW struct.bd
    548 GRAPHIC 10554,0 307 0
    549 DESIGN @f@a@d_@board
    550 VIEW struct.bd
    551 GRAPHIC 10594,0 308 0
    552 DESIGN @f@a@d_@board
    553 VIEW struct.bd
    554 GRAPHIC 10586,0 309 0
    555 DESIGN @f@a@d_@board
    556 VIEW struct.bd
    557 GRAPHIC 10578,0 310 0
    558 DESIGN @f@a@d_@board
    559 VIEW struct.bd
    560 GRAPHIC 10546,0 311 0
    561 DESIGN @f@a@d_@board
    562 VIEW struct.bd
    563 GRAPHIC 10522,0 312 0
    564 DESIGN @f@a@d_@board
    565 VIEW struct.bd
    566 GRAPHIC 2409,0 313 0
    567 DESIGN @f@a@d_@board
    568 VIEW struct.bd
    569 GRAPHIC 2423,0 314 0
    570 DESIGN @f@a@d_@board
    571 VIEW struct.bd
    572 GRAPHIC 12320,0 315 0
    573 DESIGN @f@a@d_@board
    574 VIEW struct.bd
    575 GRAPHIC 10052,0 316 0
    576 DESIGN @f@a@d_@board
    577 VIEW struct.bd
    578 GRAPHIC 362,0 317 0
    579 DESIGN @f@a@d_@board
    580 VIEW struct.bd
    581 GRAPHIC 3778,0 318 0
    582 DESIGN @f@a@d_@board
    583 VIEW struct.bd
    584 GRAPHIC 12545,0 319 0
    585 DESIGN @f@a@d_@board
    586 VIEW struct.bd
    587 GRAPHIC 7477,0 320 0
    588 DESIGN @f@a@d_@board
    589 VIEW struct.bd
    590 GRAPHIC 6431,0 321 0
    591 DESIGN @f@a@d_@board
    592 VIEW struct.bd
    593 GRAPHIC 8853,0 322 0
    594 DESIGN @f@a@d_@board
    595 VIEW struct.bd
    596 GRAPHIC 1841,0 323 0
    597 DESIGN @f@a@d_@board
    598 VIEW struct.bd
    599 GRAPHIC 12573,0 324 0
    600 DESIGN @f@a@d_@board
    601 VIEW struct.bd
    602 GRAPHIC 4942,0 325 0
    603 DESIGN @f@a@d_@board
    604 VIEW struct.bd
    605 GRAPHIC 3682,0 326 0
    606 DESIGN @f@a@d_@board
    607 VIEW struct.bd
    608 GRAPHIC 10562,0 328 0
    609 DESIGN @f@a@d_@board
    610 VIEW struct.bd
    611 GRAPHIC 12559,0 329 0
    612 DESIGN @f@a@d_@board
    613 VIEW struct.bd
    614 GRAPHIC 3009,0 330 0
    615 DESIGN @f@a@d_@board
    616 VIEW struct.bd
    617 GRAPHIC 3021,0 331 0
    618 DESIGN @f@a@d_@board
    619 VIEW struct.bd
    620 GRAPHIC 10570,0 332 0
    621 DESIGN @f@a@d_@board
    622 VIEW struct.bd
    623 GRAPHIC 426,0 333 0
    624 DESIGN @f@a@d_@board
    625 VIEW struct.bd
    626 GRAPHIC 434,0 334 0
    627 DESIGN @f@a@d_@board
    628 VIEW struct.bd
    629 GRAPHIC 458,0 335 0
    630 DESIGN @f@a@d_@board
    631 VIEW struct.bd
    632 GRAPHIC 418,0 336 0
    633 DESIGN @f@a@d_@board
    634 VIEW struct.bd
    635 GRAPHIC 466,0 337 0
    636 DESIGN @f@a@d_@board
    637 VIEW struct.bd
    638 GRAPHIC 3015,0 338 0
    639 DESIGN @f@a@d_@board
    640 VIEW struct.bd
    641 GRAPHIC 442,0 339 0
    642 DESIGN @f@a@d_@board
    643 VIEW struct.bd
    644 GRAPHIC 13570,0 343 0
    645 DESIGN @f@a@d_@board
    646 VIEW struct.bd
    647 NO_GRAPHIC 345
     437NO_GRAPHIC 191
     438DESIGN @f@a@d_@board
     439VIEW struct.bd
     440GRAPHIC 169,0 194 0
     441DESIGN @f@a@d_@board
     442VIEW struct.bd
     443NO_GRAPHIC 197
     444DESIGN @f@a@d_@board
     445VIEW struct.bd
     446GRAPHIC 265,0 200 0
     447DESIGN @f@a@d_@board
     448VIEW struct.bd
     449NO_GRAPHIC 204
     450DESIGN @f@a@d_@board
     451VIEW struct.bd
     452GRAPHIC 3248,0 205 0
     453DESIGN @f@a@d_@board
     454VIEW struct.bd
     455NO_GRAPHIC 211
     456DESIGN @f@a@d_@board
     457VIEW struct.bd
     458GRAPHIC 3300,0 212 0
     459DESIGN @f@a@d_@board
     460VIEW struct.bd
     461NO_GRAPHIC 218
     462DESIGN @f@a@d_@board
     463VIEW struct.bd
     464GRAPHIC 3394,0 219 0
     465DESIGN @f@a@d_@board
     466VIEW struct.bd
     467NO_GRAPHIC 225
     468DESIGN @f@a@d_@board
     469VIEW struct.bd
     470GRAPHIC 3542,0 226 0
     471DESIGN @f@a@d_@board
     472VIEW struct.bd
     473NO_GRAPHIC 232
     474DESIGN @f@a@d_@board
     475VIEW struct.bd
     476GRAPHIC 3700,0 233 0
     477DESIGN @f@a@d_@board
     478VIEW struct.bd
     479NO_GRAPHIC 247
     480DESIGN @f@a@d_@board
     481VIEW struct.bd
     482GRAPHIC 14346,0 248 0
     483DESIGN @f@a@d_@board
     484VIEW struct.bd
     485NO_GRAPHIC 250
     486DESIGN @f@a@d_@board
     487VIEW struct.bd
     488GRAPHIC 7092,0 251 0
     489DESIGN @f@a@d_@board
     490VIEW struct.bd
     491NO_GRAPHIC 254
     492DESIGN @f@a@d_@board
     493VIEW struct.bd
     494GRAPHIC 10310,0 255 0
     495DESIGN @f@a@d_@board
     496VIEW struct.bd
     497NO_GRAPHIC 283
     498DESIGN @f@a@d_@board
     499VIEW struct.bd
     500GRAPHIC 10023,0 284 0
     501DESIGN @f@a@d_@board
     502VIEW struct.bd
     503GRAPHIC 7652,0 287 0
     504DESIGN @f@a@d_@board
     505VIEW struct.bd
     506NO_GRAPHIC 290
     507DESIGN @f@a@d_@board
     508VIEW struct.bd
     509GRAPHIC 169,0 292 0
     510DESIGN @f@a@d_@board
     511VIEW struct.bd
     512GRAPHIC 176,0 293 1
     513DESIGN @f@a@d_@board
     514VIEW struct.bd
     515GRAPHIC 245,0 297 0
     516DESIGN @f@a@d_@board
     517VIEW struct.bd
     518GRAPHIC 14328,0 298 0
     519DESIGN @f@a@d_@board
     520VIEW struct.bd
     521GRAPHIC 1865,0 299 0
     522DESIGN @f@a@d_@board
     523VIEW struct.bd
     524GRAPHIC 1873,0 300 0
     525DESIGN @f@a@d_@board
     526VIEW struct.bd
     527GRAPHIC 1881,0 301 0
     528DESIGN @f@a@d_@board
     529VIEW struct.bd
     530GRAPHIC 1889,0 302 0
     531DESIGN @f@a@d_@board
     532VIEW struct.bd
     533GRAPHIC 1467,0 303 0
     534DESIGN @f@a@d_@board
     535VIEW struct.bd
     536GRAPHIC 1730,0 304 0
     537DESIGN @f@a@d_@board
     538VIEW struct.bd
     539GRAPHIC 277,0 305 0
     540DESIGN @f@a@d_@board
     541VIEW struct.bd
     542GRAPHIC 285,0 306 0
     543DESIGN @f@a@d_@board
     544VIEW struct.bd
     545GRAPHIC 3218,0 307 0
     546DESIGN @f@a@d_@board
     547VIEW struct.bd
     548GRAPHIC 450,0 308 0
     549DESIGN @f@a@d_@board
     550VIEW struct.bd
     551GRAPHIC 10506,0 309 0
     552DESIGN @f@a@d_@board
     553VIEW struct.bd
     554GRAPHIC 10514,0 310 0
     555DESIGN @f@a@d_@board
     556VIEW struct.bd
     557GRAPHIC 10498,0 311 0
     558DESIGN @f@a@d_@board
     559VIEW struct.bd
     560GRAPHIC 10034,0 312 0
     561DESIGN @f@a@d_@board
     562VIEW struct.bd
     563GRAPHIC 9502,0 313 0
     564DESIGN @f@a@d_@board
     565VIEW struct.bd
     566GRAPHIC 10554,0 314 0
     567DESIGN @f@a@d_@board
     568VIEW struct.bd
     569GRAPHIC 10594,0 315 0
     570DESIGN @f@a@d_@board
     571VIEW struct.bd
     572GRAPHIC 10586,0 316 0
     573DESIGN @f@a@d_@board
     574VIEW struct.bd
     575GRAPHIC 10578,0 317 0
     576DESIGN @f@a@d_@board
     577VIEW struct.bd
     578GRAPHIC 10546,0 318 0
     579DESIGN @f@a@d_@board
     580VIEW struct.bd
     581GRAPHIC 10522,0 319 0
     582DESIGN @f@a@d_@board
     583VIEW struct.bd
     584GRAPHIC 2409,0 320 0
     585DESIGN @f@a@d_@board
     586VIEW struct.bd
     587GRAPHIC 2423,0 321 0
     588DESIGN @f@a@d_@board
     589VIEW struct.bd
     590GRAPHIC 12320,0 322 0
     591DESIGN @f@a@d_@board
     592VIEW struct.bd
     593GRAPHIC 10052,0 323 0
     594DESIGN @f@a@d_@board
     595VIEW struct.bd
     596GRAPHIC 362,0 324 0
     597DESIGN @f@a@d_@board
     598VIEW struct.bd
     599GRAPHIC 3778,0 325 0
     600DESIGN @f@a@d_@board
     601VIEW struct.bd
     602GRAPHIC 12545,0 326 0
     603DESIGN @f@a@d_@board
     604VIEW struct.bd
     605GRAPHIC 7477,0 327 0
     606DESIGN @f@a@d_@board
     607VIEW struct.bd
     608GRAPHIC 6431,0 328 0
     609DESIGN @f@a@d_@board
     610VIEW struct.bd
     611GRAPHIC 8853,0 329 0
     612DESIGN @f@a@d_@board
     613VIEW struct.bd
     614GRAPHIC 1841,0 330 0
     615DESIGN @f@a@d_@board
     616VIEW struct.bd
     617GRAPHIC 12573,0 331 0
     618DESIGN @f@a@d_@board
     619VIEW struct.bd
     620GRAPHIC 15175,0 332 0
     621DESIGN @f@a@d_@board
     622VIEW struct.bd
     623GRAPHIC 3682,0 333 0
     624DESIGN @f@a@d_@board
     625VIEW struct.bd
     626GRAPHIC 10562,0 335 0
     627DESIGN @f@a@d_@board
     628VIEW struct.bd
     629GRAPHIC 12559,0 336 0
     630DESIGN @f@a@d_@board
     631VIEW struct.bd
     632GRAPHIC 3009,0 337 0
     633DESIGN @f@a@d_@board
     634VIEW struct.bd
     635GRAPHIC 3021,0 338 0
     636DESIGN @f@a@d_@board
     637VIEW struct.bd
     638GRAPHIC 10570,0 339 0
     639DESIGN @f@a@d_@board
     640VIEW struct.bd
     641GRAPHIC 426,0 340 0
     642DESIGN @f@a@d_@board
     643VIEW struct.bd
     644GRAPHIC 434,0 341 0
     645DESIGN @f@a@d_@board
     646VIEW struct.bd
     647GRAPHIC 458,0 342 0
     648DESIGN @f@a@d_@board
     649VIEW struct.bd
     650GRAPHIC 418,0 343 0
     651DESIGN @f@a@d_@board
     652VIEW struct.bd
     653GRAPHIC 466,0 344 0
     654DESIGN @f@a@d_@board
     655VIEW struct.bd
     656GRAPHIC 3015,0 345 0
     657DESIGN @f@a@d_@board
     658VIEW struct.bd
     659GRAPHIC 442,0 346 0
     660DESIGN @f@a@d_@board
     661VIEW struct.bd
     662GRAPHIC 13570,0 350 0
     663DESIGN @f@a@d_@board
     664VIEW struct.bd
     665NO_GRAPHIC 352
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf

    r10121 r10123  
    1313DESIGN @f@a@d_main
    1414VIEW symbol.sb
    15 GRAPHIC 2710,0 20 0
    16 DESIGN @f@a@d_main
    17 VIEW symbol.sb
    18 GRAPHIC 2715,0 21 0
    19 DESIGN @f@a@d_main
    20 VIEW symbol.sb
    21 GRAPHIC 2720,0 22 0
    22 DESIGN @f@a@d_main
    23 VIEW symbol.sb
    24 GRAPHIC 2725,0 23 0
    25 DESIGN @f@a@d_main
    26 VIEW symbol.sb
    27 GRAPHIC 2282,0 24 0
    28 DESIGN @f@a@d_main
    29 VIEW symbol.sb
    30 GRAPHIC 1976,0 25 0
    31 DESIGN @f@a@d_main
    32 VIEW symbol.sb
    33 GRAPHIC 923,0 26 0
    34 DESIGN @f@a@d_main
    35 VIEW symbol.sb
    36 GRAPHIC 928,0 27 0
    37 DESIGN @f@a@d_main
    38 VIEW symbol.sb
    39 GRAPHIC 464,0 28 0
    40 DESIGN @f@a@d_main
    41 VIEW symbol.sb
    42 GRAPHIC 1062,0 29 0
    43 DESIGN @f@a@d_main
    44 VIEW symbol.sb
    45 GRAPHIC 4584,0 30 0
    46 DESIGN @f@a@d_main
    47 VIEW symbol.sb
    48 GRAPHIC 4589,0 31 0
    49 DESIGN @f@a@d_main
    50 VIEW symbol.sb
    51 GRAPHIC 4579,0 32 0
    52 DESIGN @f@a@d_main
    53 VIEW symbol.sb
    54 GRAPHIC 1389,0 33 0
    55 DESIGN @f@a@d_main
    56 VIEW symbol.sb
    57 GRAPHIC 1725,0 34 0
    58 DESIGN @f@a@d_main
    59 VIEW symbol.sb
    60 GRAPHIC 4497,0 35 0
    61 DESIGN @f@a@d_main
    62 VIEW symbol.sb
    63 GRAPHIC 4467,0 36 0
    64 DESIGN @f@a@d_main
    65 VIEW symbol.sb
    66 GRAPHIC 4487,0 37 0
    67 DESIGN @f@a@d_main
    68 VIEW symbol.sb
    69 GRAPHIC 4472,0 38 0
    70 DESIGN @f@a@d_main
    71 VIEW symbol.sb
    72 GRAPHIC 4477,0 39 0
    73 DESIGN @f@a@d_main
    74 VIEW symbol.sb
    75 GRAPHIC 4517,0 40 0
    76 DESIGN @f@a@d_main
    77 VIEW symbol.sb
    78 GRAPHIC 2987,0 41 0
    79 DESIGN @f@a@d_main
    80 VIEW symbol.sb
    81 GRAPHIC 2992,0 42 0
    82 DESIGN @f@a@d_main
    83 VIEW symbol.sb
    84 GRAPHIC 4780,0 43 0
    85 DESIGN @f@a@d_main
    86 VIEW symbol.sb
    87 GRAPHIC 4323,0 44 0
    88 DESIGN @f@a@d_main
    89 VIEW symbol.sb
    90 GRAPHIC 833,0 45 0
    91 DESIGN @f@a@d_main
    92 VIEW symbol.sb
    93 GRAPHIC 5206,0 46 0
    94 DESIGN @f@a@d_main
    95 VIEW symbol.sb
    96 GRAPHIC 4911,0 47 0
    97 DESIGN @f@a@d_main
    98 VIEW symbol.sb
    99 GRAPHIC 3641,0 48 0
    100 DESIGN @f@a@d_main
    101 VIEW symbol.sb
    102 GRAPHIC 4144,0 49 0
    103 DESIGN @f@a@d_main
    104 VIEW symbol.sb
    105 GRAPHIC 2448,0 50 0
    106 DESIGN @f@a@d_main
    107 VIEW symbol.sb
    108 GRAPHIC 2453,0 51 0
    109 DESIGN @f@a@d_main
    110 VIEW symbol.sb
    111 GRAPHIC 4906,0 52 0
    112 DESIGN @f@a@d_main
    113 VIEW symbol.sb
    114 GRAPHIC 163,0 53 0
    115 DESIGN @f@a@d_main
    116 VIEW symbol.sb
    117 GRAPHIC 4067,0 54 0
    118 DESIGN @f@a@d_main
    119 VIEW symbol.sb
    120 GRAPHIC 4502,0 55 0
    121 DESIGN @f@a@d_main
    122 VIEW symbol.sb
    123 GRAPHIC 4512,0 56 0
    124 DESIGN @f@a@d_main
    125 VIEW symbol.sb
    126 GRAPHIC 4916,0 57 0
    127 DESIGN @f@a@d_main
    128 VIEW symbol.sb
    129 GRAPHIC 3631,0 58 0
    130 DESIGN @f@a@d_main
    131 VIEW symbol.sb
    132 GRAPHIC 3646,0 59 0
    133 DESIGN @f@a@d_main
    134 VIEW symbol.sb
    135 GRAPHIC 4507,0 61 0
    136 DESIGN @f@a@d_main
    137 VIEW symbol.sb
    138 GRAPHIC 1037,0 62 0
    139 DESIGN @f@a@d_main
    140 VIEW symbol.sb
    141 GRAPHIC 1047,0 63 0
    142 DESIGN @f@a@d_main
    143 VIEW symbol.sb
    144 GRAPHIC 1057,0 64 0
    145 DESIGN @f@a@d_main
    146 VIEW symbol.sb
    147 GRAPHIC 135,0 65 0
    148 DESIGN @f@a@d_main
    149 VIEW symbol.sb
    150 GRAPHIC 1052,0 66 0
    151 DESIGN @f@a@d_main
    152 VIEW symbol.sb
    153 GRAPHIC 3636,0 67 0
    154 DESIGN @f@a@d_main
    155 VIEW symbol.sb
    156 GRAPHIC 1042,0 68 0
    157 DESIGN @f@a@d_main
    158 VIEW symbol.sb
    159 GRAPHIC 1,0 71 0
     15GRAPHIC 5328,0 20 0
     16DESIGN @f@a@d_main
     17VIEW symbol.sb
     18GRAPHIC 2710,0 21 0
     19DESIGN @f@a@d_main
     20VIEW symbol.sb
     21GRAPHIC 2715,0 22 0
     22DESIGN @f@a@d_main
     23VIEW symbol.sb
     24GRAPHIC 2720,0 23 0
     25DESIGN @f@a@d_main
     26VIEW symbol.sb
     27GRAPHIC 2725,0 24 0
     28DESIGN @f@a@d_main
     29VIEW symbol.sb
     30GRAPHIC 2282,0 25 0
     31DESIGN @f@a@d_main
     32VIEW symbol.sb
     33GRAPHIC 1976,0 26 0
     34DESIGN @f@a@d_main
     35VIEW symbol.sb
     36GRAPHIC 923,0 27 0
     37DESIGN @f@a@d_main
     38VIEW symbol.sb
     39GRAPHIC 928,0 28 0
     40DESIGN @f@a@d_main
     41VIEW symbol.sb
     42GRAPHIC 464,0 29 0
     43DESIGN @f@a@d_main
     44VIEW symbol.sb
     45GRAPHIC 1062,0 30 0
     46DESIGN @f@a@d_main
     47VIEW symbol.sb
     48GRAPHIC 4584,0 31 0
     49DESIGN @f@a@d_main
     50VIEW symbol.sb
     51GRAPHIC 4589,0 32 0
     52DESIGN @f@a@d_main
     53VIEW symbol.sb
     54GRAPHIC 4579,0 33 0
     55DESIGN @f@a@d_main
     56VIEW symbol.sb
     57GRAPHIC 1389,0 34 0
     58DESIGN @f@a@d_main
     59VIEW symbol.sb
     60GRAPHIC 1725,0 35 0
     61DESIGN @f@a@d_main
     62VIEW symbol.sb
     63GRAPHIC 4497,0 36 0
     64DESIGN @f@a@d_main
     65VIEW symbol.sb
     66GRAPHIC 4467,0 37 0
     67DESIGN @f@a@d_main
     68VIEW symbol.sb
     69GRAPHIC 4487,0 38 0
     70DESIGN @f@a@d_main
     71VIEW symbol.sb
     72GRAPHIC 4472,0 39 0
     73DESIGN @f@a@d_main
     74VIEW symbol.sb
     75GRAPHIC 4477,0 40 0
     76DESIGN @f@a@d_main
     77VIEW symbol.sb
     78GRAPHIC 4517,0 41 0
     79DESIGN @f@a@d_main
     80VIEW symbol.sb
     81GRAPHIC 2987,0 42 0
     82DESIGN @f@a@d_main
     83VIEW symbol.sb
     84GRAPHIC 2992,0 43 0
     85DESIGN @f@a@d_main
     86VIEW symbol.sb
     87GRAPHIC 4780,0 44 0
     88DESIGN @f@a@d_main
     89VIEW symbol.sb
     90GRAPHIC 4323,0 45 0
     91DESIGN @f@a@d_main
     92VIEW symbol.sb
     93GRAPHIC 833,0 46 0
     94DESIGN @f@a@d_main
     95VIEW symbol.sb
     96GRAPHIC 5206,0 47 0
     97DESIGN @f@a@d_main
     98VIEW symbol.sb
     99GRAPHIC 4911,0 48 0
     100DESIGN @f@a@d_main
     101VIEW symbol.sb
     102GRAPHIC 3641,0 49 0
     103DESIGN @f@a@d_main
     104VIEW symbol.sb
     105GRAPHIC 4144,0 50 0
     106DESIGN @f@a@d_main
     107VIEW symbol.sb
     108GRAPHIC 2448,0 51 0
     109DESIGN @f@a@d_main
     110VIEW symbol.sb
     111GRAPHIC 2453,0 52 0
     112DESIGN @f@a@d_main
     113VIEW symbol.sb
     114GRAPHIC 4906,0 53 0
     115DESIGN @f@a@d_main
     116VIEW symbol.sb
     117GRAPHIC 163,0 54 0
     118DESIGN @f@a@d_main
     119VIEW symbol.sb
     120GRAPHIC 4067,0 55 0
     121DESIGN @f@a@d_main
     122VIEW symbol.sb
     123GRAPHIC 4502,0 56 0
     124DESIGN @f@a@d_main
     125VIEW symbol.sb
     126GRAPHIC 4512,0 57 0
     127DESIGN @f@a@d_main
     128VIEW symbol.sb
     129GRAPHIC 4916,0 58 0
     130DESIGN @f@a@d_main
     131VIEW symbol.sb
     132GRAPHIC 3631,0 59 0
     133DESIGN @f@a@d_main
     134VIEW symbol.sb
     135GRAPHIC 3646,0 60 0
     136DESIGN @f@a@d_main
     137VIEW symbol.sb
     138GRAPHIC 4507,0 62 0
     139DESIGN @f@a@d_main
     140VIEW symbol.sb
     141GRAPHIC 1037,0 63 0
     142DESIGN @f@a@d_main
     143VIEW symbol.sb
     144GRAPHIC 1047,0 64 0
     145DESIGN @f@a@d_main
     146VIEW symbol.sb
     147GRAPHIC 1057,0 65 0
     148DESIGN @f@a@d_main
     149VIEW symbol.sb
     150GRAPHIC 135,0 66 0
     151DESIGN @f@a@d_main
     152VIEW symbol.sb
     153GRAPHIC 1052,0 67 0
     154DESIGN @f@a@d_main
     155VIEW symbol.sb
     156GRAPHIC 3636,0 68 0
     157DESIGN @f@a@d_main
     158VIEW symbol.sb
     159GRAPHIC 1042,0 69 0
    160160DESIGN @f@a@d_main
    161161VIEW symbol.sb
    162162GRAPHIC 1,0 72 0
    163163DESIGN @f@a@d_main
    164 VIEW struct.bd
    165 NO_GRAPHIC 75
    166 DESIGN @f@a@d_main
    167 VIEW struct.bd
    168 GRAPHIC 41,0 84 0
    169 DESIGN @f@a@d_main
    170 VIEW struct.bd
    171 NO_GRAPHIC 96
    172 DESIGN @f@a@d_main
    173 VIEW struct.bd
    174 GRAPHIC 0,0 99 2
    175 DESIGN @f@a@d_main
    176 VIEW struct.bd
    177 GRAPHIC 4204,0 104 0
    178 DESIGN @f@a@d_main
    179 VIEW struct.bd
    180 GRAPHIC 10008,0 105 0
    181 DESIGN @f@a@d_main
    182 VIEW struct.bd
    183 GRAPHIC 5640,0 106 0
    184 DESIGN @f@a@d_main
    185 VIEW struct.bd
    186 GRAPHIC 5632,0 107 0
    187 DESIGN @f@a@d_main
    188 VIEW struct.bd
    189 GRAPHIC 326,0 108 0
    190 DESIGN @f@a@d_main
    191 VIEW struct.bd
    192 GRAPHIC 13157,0 109 0
    193 DESIGN @f@a@d_main
    194 VIEW struct.bd
    195 GRAPHIC 13163,0 110 0
    196 DESIGN @f@a@d_main
    197 VIEW struct.bd
    198 GRAPHIC 5088,0 111 0
    199 DESIGN @f@a@d_main
    200 VIEW struct.bd
    201 GRAPHIC 5104,0 112 0
    202 DESIGN @f@a@d_main
    203 VIEW struct.bd
    204 GRAPHIC 5112,0 113 0
    205 DESIGN @f@a@d_main
    206 VIEW struct.bd
    207 GRAPHIC 5096,0 114 0
    208 DESIGN @f@a@d_main
    209 VIEW struct.bd
    210 GRAPHIC 5128,0 115 0
    211 DESIGN @f@a@d_main
    212 VIEW struct.bd
    213 GRAPHIC 2592,0 116 0
    214 DESIGN @f@a@d_main
    215 VIEW struct.bd
    216 GRAPHIC 5196,0 117 0
    217 DESIGN @f@a@d_main
    218 VIEW struct.bd
    219 GRAPHIC 5588,0 118 0
    220 DESIGN @f@a@d_main
    221 VIEW struct.bd
    222 GRAPHIC 10192,0 120 0
    223 DESIGN @f@a@d_main
    224 VIEW struct.bd
    225 GRAPHIC 10200,0 122 0
    226 DESIGN @f@a@d_main
    227 VIEW struct.bd
    228 GRAPHIC 2586,0 123 0
    229 DESIGN @f@a@d_main
    230 VIEW struct.bd
    231 GRAPHIC 5194,0 124 0
    232 DESIGN @f@a@d_main
    233 VIEW struct.bd
    234 GRAPHIC 5743,0 125 0
    235 DESIGN @f@a@d_main
    236 VIEW struct.bd
    237 GRAPHIC 5960,0 126 0
    238 DESIGN @f@a@d_main
    239 VIEW struct.bd
    240 GRAPHIC 6014,0 127 0
    241 DESIGN @f@a@d_main
    242 VIEW struct.bd
    243 GRAPHIC 6016,0 128 0
    244 DESIGN @f@a@d_main
    245 VIEW struct.bd
    246 GRAPHIC 6012,0 129 0
    247 DESIGN @f@a@d_main
    248 VIEW struct.bd
    249 GRAPHIC 5120,0 130 0
    250 DESIGN @f@a@d_main
    251 VIEW struct.bd
    252 GRAPHIC 5144,0 131 0
    253 DESIGN @f@a@d_main
    254 VIEW struct.bd
    255 GRAPHIC 332,0 132 0
    256 DESIGN @f@a@d_main
    257 VIEW struct.bd
    258 GRAPHIC 12304,0 133 0
    259 DESIGN @f@a@d_main
    260 VIEW struct.bd
    261 GRAPHIC 12641,0 134 0
    262 DESIGN @f@a@d_main
    263 VIEW struct.bd
    264 GRAPHIC 8508,0 135 0
    265 DESIGN @f@a@d_main
    266 VIEW struct.bd
    267 GRAPHIC 8516,0 136 0
    268 DESIGN @f@a@d_main
    269 VIEW struct.bd
    270 GRAPHIC 8583,0 137 0
    271 DESIGN @f@a@d_main
    272 VIEW struct.bd
    273 GRAPHIC 4399,0 138 0
    274 DESIGN @f@a@d_main
    275 VIEW struct.bd
    276 GRAPHIC 4417,0 139 0
    277 DESIGN @f@a@d_main
    278 VIEW struct.bd
    279 GRAPHIC 4741,0 140 0
    280 DESIGN @f@a@d_main
    281 VIEW struct.bd
    282 GRAPHIC 12647,0 143 0
    283 DESIGN @f@a@d_main
    284 VIEW struct.bd
    285 GRAPHIC 12653,0 144 0
    286 DESIGN @f@a@d_main
    287 VIEW struct.bd
    288 GRAPHIC 11403,0 145 0
    289 DESIGN @f@a@d_main
    290 VIEW struct.bd
    291 GRAPHIC 4405,0 146 0
    292 DESIGN @f@a@d_main
    293 VIEW struct.bd
    294 GRAPHIC 10314,0 147 0
    295 DESIGN @f@a@d_main
    296 VIEW struct.bd
    297 GRAPHIC 6544,0 148 0
    298 DESIGN @f@a@d_main
    299 VIEW struct.bd
    300 GRAPHIC 6450,0 149 0
    301 DESIGN @f@a@d_main
    302 VIEW struct.bd
    303 GRAPHIC 5948,0 150 0
    304 DESIGN @f@a@d_main
    305 VIEW struct.bd
    306 GRAPHIC 2640,0 151 0
    307 DESIGN @f@a@d_main
    308 VIEW struct.bd
    309 GRAPHIC 9231,0 152 0
    310 DESIGN @f@a@d_main
    311 VIEW struct.bd
    312 GRAPHIC 9239,0 153 0
    313 DESIGN @f@a@d_main
    314 VIEW struct.bd
    315 GRAPHIC 9941,0 154 0
    316 DESIGN @f@a@d_main
    317 VIEW struct.bd
    318 GRAPHIC 362,0 155 0
    319 DESIGN @f@a@d_main
    320 VIEW struct.bd
    321 GRAPHIC 368,0 156 0
    322 DESIGN @f@a@d_main
    323 VIEW struct.bd
    324 GRAPHIC 2297,0 157 0
    325 DESIGN @f@a@d_main
    326 VIEW struct.bd
    327 GRAPHIC 2574,0 158 0
    328 DESIGN @f@a@d_main
    329 VIEW struct.bd
    330 GRAPHIC 2580,0 159 0
    331 DESIGN @f@a@d_main
    332 VIEW struct.bd
    333 GRAPHIC 10465,0 161 0
    334 DESIGN @f@a@d_main
    335 VIEW struct.bd
    336 GRAPHIC 2924,0 162 0
    337 DESIGN @f@a@d_main
    338 VIEW struct.bd
    339 GRAPHIC 2598,0 163 0
    340 DESIGN @f@a@d_main
    341 VIEW struct.bd
    342 GRAPHIC 10264,0 164 0
    343 DESIGN @f@a@d_main
    344 VIEW struct.bd
    345 GRAPHIC 13206,0 165 0
    346 DESIGN @f@a@d_main
    347 VIEW struct.bd
    348 GRAPHIC 8730,0 166 0
    349 DESIGN @f@a@d_main
    350 VIEW struct.bd
    351 GRAPHIC 8746,0 167 0
    352 DESIGN @f@a@d_main
    353 VIEW struct.bd
    354 GRAPHIC 5478,0 168 0
    355 DESIGN @f@a@d_main
    356 VIEW struct.bd
    357 GRAPHIC 5472,0 169 0
    358 DESIGN @f@a@d_main
    359 VIEW struct.bd
    360 GRAPHIC 10627,0 170 0
    361 DESIGN @f@a@d_main
    362 VIEW struct.bd
    363 GRAPHIC 10635,0 171 0
    364 DESIGN @f@a@d_main
    365 VIEW struct.bd
    366 GRAPHIC 9949,0 172 0
    367 DESIGN @f@a@d_main
    368 VIEW struct.bd
    369 GRAPHIC 10302,0 173 0
    370 DESIGN @f@a@d_main
    371 VIEW struct.bd
    372 GRAPHIC 10308,0 174 0
    373 DESIGN @f@a@d_main
    374 VIEW struct.bd
    375 GRAPHIC 10296,0 175 0
    376 DESIGN @f@a@d_main
    377 VIEW struct.bd
    378 GRAPHIC 13208,0 176 0
    379 DESIGN @f@a@d_main
    380 VIEW struct.bd
    381 GRAPHIC 11856,0 177 0
    382 DESIGN @f@a@d_main
    383 VIEW struct.bd
    384 GRAPHIC 1981,0 178 0
    385 DESIGN @f@a@d_main
    386 VIEW struct.bd
    387 GRAPHIC 10449,0 179 0
    388 DESIGN @f@a@d_main
    389 VIEW struct.bd
    390 GRAPHIC 8414,0 180 0
    391 DESIGN @f@a@d_main
    392 VIEW struct.bd
    393 GRAPHIC 2468,0 181 0
    394 DESIGN @f@a@d_main
    395 VIEW struct.bd
    396 GRAPHIC 2492,0 182 0
    397 DESIGN @f@a@d_main
    398 VIEW struct.bd
    399 GRAPHIC 2486,0 183 0
    400 DESIGN @f@a@d_main
    401 VIEW struct.bd
    402 GRAPHIC 2474,0 184 0
    403 DESIGN @f@a@d_main
    404 VIEW struct.bd
    405 GRAPHIC 2498,0 185 0
    406 DESIGN @f@a@d_main
    407 VIEW struct.bd
    408 GRAPHIC 2504,0 186 0
    409 DESIGN @f@a@d_main
    410 VIEW struct.bd
    411 GRAPHIC 2480,0 187 0
    412 DESIGN @f@a@d_main
    413 VIEW struct.bd
    414 GRAPHIC 320,0 188 0
    415 DESIGN @f@a@d_main
    416 VIEW struct.bd
    417 NO_GRAPHIC 189
    418 DESIGN @f@a@d_main
    419 VIEW struct.bd
    420 GRAPHIC 6276,0 191 0
    421 DESIGN @f@a@d_main
    422 VIEW struct.bd
    423 GRAPHIC 3888,0 192 0
    424 DESIGN @f@a@d_main
    425 VIEW struct.bd
    426 NO_GRAPHIC 194
     164VIEW symbol.sb
     165GRAPHIC 1,0 73 0
     166DESIGN @f@a@d_main
     167VIEW struct.bd
     168NO_GRAPHIC 76
     169DESIGN @f@a@d_main
     170VIEW struct.bd
     171GRAPHIC 41,0 85 0
     172DESIGN @f@a@d_main
     173VIEW struct.bd
     174NO_GRAPHIC 97
     175DESIGN @f@a@d_main
     176VIEW struct.bd
     177GRAPHIC 0,0 100 2
     178DESIGN @f@a@d_main
     179VIEW struct.bd
     180GRAPHIC 4204,0 105 0
     181DESIGN @f@a@d_main
     182VIEW struct.bd
     183GRAPHIC 10008,0 106 0
     184DESIGN @f@a@d_main
     185VIEW struct.bd
     186GRAPHIC 5640,0 107 0
     187DESIGN @f@a@d_main
     188VIEW struct.bd
     189GRAPHIC 5632,0 108 0
     190DESIGN @f@a@d_main
     191VIEW struct.bd
     192GRAPHIC 326,0 109 0
     193DESIGN @f@a@d_main
     194VIEW struct.bd
     195GRAPHIC 13157,0 110 0
     196DESIGN @f@a@d_main
     197VIEW struct.bd
     198GRAPHIC 13163,0 111 0
     199DESIGN @f@a@d_main
     200VIEW struct.bd
     201GRAPHIC 5088,0 112 0
     202DESIGN @f@a@d_main
     203VIEW struct.bd
     204GRAPHIC 5104,0 113 0
     205DESIGN @f@a@d_main
     206VIEW struct.bd
     207GRAPHIC 5112,0 114 0
     208DESIGN @f@a@d_main
     209VIEW struct.bd
     210GRAPHIC 5096,0 115 0
     211DESIGN @f@a@d_main
     212VIEW struct.bd
     213GRAPHIC 5128,0 116 0
     214DESIGN @f@a@d_main
     215VIEW struct.bd
     216GRAPHIC 2592,0 117 0
     217DESIGN @f@a@d_main
     218VIEW struct.bd
     219GRAPHIC 5196,0 118 0
     220DESIGN @f@a@d_main
     221VIEW struct.bd
     222GRAPHIC 5588,0 119 0
     223DESIGN @f@a@d_main
     224VIEW struct.bd
     225GRAPHIC 10192,0 121 0
     226DESIGN @f@a@d_main
     227VIEW struct.bd
     228GRAPHIC 10200,0 123 0
     229DESIGN @f@a@d_main
     230VIEW struct.bd
     231GRAPHIC 2586,0 124 0
     232DESIGN @f@a@d_main
     233VIEW struct.bd
     234GRAPHIC 5194,0 125 0
     235DESIGN @f@a@d_main
     236VIEW struct.bd
     237GRAPHIC 5743,0 126 0
     238DESIGN @f@a@d_main
     239VIEW struct.bd
     240GRAPHIC 5960,0 127 0
     241DESIGN @f@a@d_main
     242VIEW struct.bd
     243GRAPHIC 6014,0 128 0
     244DESIGN @f@a@d_main
     245VIEW struct.bd
     246GRAPHIC 6016,0 129 0
     247DESIGN @f@a@d_main
     248VIEW struct.bd
     249GRAPHIC 6012,0 130 0
     250DESIGN @f@a@d_main
     251VIEW struct.bd
     252GRAPHIC 5120,0 131 0
     253DESIGN @f@a@d_main
     254VIEW struct.bd
     255GRAPHIC 5144,0 132 0
     256DESIGN @f@a@d_main
     257VIEW struct.bd
     258GRAPHIC 332,0 133 0
     259DESIGN @f@a@d_main
     260VIEW struct.bd
     261GRAPHIC 12304,0 134 0
     262DESIGN @f@a@d_main
     263VIEW struct.bd
     264GRAPHIC 12641,0 135 0
     265DESIGN @f@a@d_main
     266VIEW struct.bd
     267GRAPHIC 8508,0 136 0
     268DESIGN @f@a@d_main
     269VIEW struct.bd
     270GRAPHIC 8516,0 137 0
     271DESIGN @f@a@d_main
     272VIEW struct.bd
     273GRAPHIC 8583,0 138 0
     274DESIGN @f@a@d_main
     275VIEW struct.bd
     276GRAPHIC 4399,0 139 0
     277DESIGN @f@a@d_main
     278VIEW struct.bd
     279GRAPHIC 4417,0 140 0
     280DESIGN @f@a@d_main
     281VIEW struct.bd
     282GRAPHIC 4741,0 141 0
     283DESIGN @f@a@d_main
     284VIEW struct.bd
     285GRAPHIC 12647,0 144 0
     286DESIGN @f@a@d_main
     287VIEW struct.bd
     288GRAPHIC 12653,0 145 0
     289DESIGN @f@a@d_main
     290VIEW struct.bd
     291GRAPHIC 11403,0 146 0
     292DESIGN @f@a@d_main
     293VIEW struct.bd
     294GRAPHIC 4405,0 147 0
     295DESIGN @f@a@d_main
     296VIEW struct.bd
     297GRAPHIC 10314,0 148 0
     298DESIGN @f@a@d_main
     299VIEW struct.bd
     300GRAPHIC 6544,0 149 0
     301DESIGN @f@a@d_main
     302VIEW struct.bd
     303GRAPHIC 6450,0 150 0
     304DESIGN @f@a@d_main
     305VIEW struct.bd
     306GRAPHIC 5948,0 151 0
     307DESIGN @f@a@d_main
     308VIEW struct.bd
     309GRAPHIC 2640,0 152 0
     310DESIGN @f@a@d_main
     311VIEW struct.bd
     312GRAPHIC 9231,0 153 0
     313DESIGN @f@a@d_main
     314VIEW struct.bd
     315GRAPHIC 9239,0 154 0
     316DESIGN @f@a@d_main
     317VIEW struct.bd
     318GRAPHIC 9941,0 155 0
     319DESIGN @f@a@d_main
     320VIEW struct.bd
     321GRAPHIC 362,0 156 0
     322DESIGN @f@a@d_main
     323VIEW struct.bd
     324GRAPHIC 368,0 157 0
     325DESIGN @f@a@d_main
     326VIEW struct.bd
     327GRAPHIC 2297,0 158 0
     328DESIGN @f@a@d_main
     329VIEW struct.bd
     330GRAPHIC 2574,0 159 0
     331DESIGN @f@a@d_main
     332VIEW struct.bd
     333GRAPHIC 2580,0 160 0
     334DESIGN @f@a@d_main
     335VIEW struct.bd
     336GRAPHIC 10465,0 162 0
     337DESIGN @f@a@d_main
     338VIEW struct.bd
     339GRAPHIC 2924,0 163 0
     340DESIGN @f@a@d_main
     341VIEW struct.bd
     342GRAPHIC 2598,0 164 0
     343DESIGN @f@a@d_main
     344VIEW struct.bd
     345GRAPHIC 10264,0 165 0
     346DESIGN @f@a@d_main
     347VIEW struct.bd
     348GRAPHIC 13206,0 166 0
     349DESIGN @f@a@d_main
     350VIEW struct.bd
     351GRAPHIC 8730,0 167 0
     352DESIGN @f@a@d_main
     353VIEW struct.bd
     354GRAPHIC 8746,0 168 0
     355DESIGN @f@a@d_main
     356VIEW struct.bd
     357GRAPHIC 5478,0 169 0
     358DESIGN @f@a@d_main
     359VIEW struct.bd
     360GRAPHIC 5472,0 170 0
     361DESIGN @f@a@d_main
     362VIEW struct.bd
     363GRAPHIC 10627,0 171 0
     364DESIGN @f@a@d_main
     365VIEW struct.bd
     366GRAPHIC 10635,0 172 0
     367DESIGN @f@a@d_main
     368VIEW struct.bd
     369GRAPHIC 9949,0 173 0
     370DESIGN @f@a@d_main
     371VIEW struct.bd
     372GRAPHIC 10302,0 174 0
     373DESIGN @f@a@d_main
     374VIEW struct.bd
     375GRAPHIC 10308,0 175 0
     376DESIGN @f@a@d_main
     377VIEW struct.bd
     378GRAPHIC 10296,0 176 0
     379DESIGN @f@a@d_main
     380VIEW struct.bd
     381GRAPHIC 13208,0 177 0
     382DESIGN @f@a@d_main
     383VIEW struct.bd
     384GRAPHIC 11856,0 178 0
     385DESIGN @f@a@d_main
     386VIEW struct.bd
     387GRAPHIC 1981,0 179 0
     388DESIGN @f@a@d_main
     389VIEW struct.bd
     390GRAPHIC 10449,0 180 0
     391DESIGN @f@a@d_main
     392VIEW struct.bd
     393GRAPHIC 8414,0 181 0
     394DESIGN @f@a@d_main
     395VIEW struct.bd
     396GRAPHIC 2468,0 182 0
     397DESIGN @f@a@d_main
     398VIEW struct.bd
     399GRAPHIC 2492,0 183 0
     400DESIGN @f@a@d_main
     401VIEW struct.bd
     402GRAPHIC 2486,0 184 0
     403DESIGN @f@a@d_main
     404VIEW struct.bd
     405GRAPHIC 2474,0 185 0
     406DESIGN @f@a@d_main
     407VIEW struct.bd
     408GRAPHIC 2498,0 186 0
     409DESIGN @f@a@d_main
     410VIEW struct.bd
     411GRAPHIC 2504,0 187 0
     412DESIGN @f@a@d_main
     413VIEW struct.bd
     414GRAPHIC 2480,0 188 0
     415DESIGN @f@a@d_main
     416VIEW struct.bd
     417GRAPHIC 320,0 189 0
     418DESIGN @f@a@d_main
     419VIEW struct.bd
     420NO_GRAPHIC 190
     421DESIGN @f@a@d_main
     422VIEW struct.bd
     423GRAPHIC 6276,0 192 0
     424DESIGN @f@a@d_main
     425VIEW struct.bd
     426GRAPHIC 3888,0 193 0
     427DESIGN @f@a@d_main
     428VIEW struct.bd
     429NO_GRAPHIC 195
    427430LIBRARY FACT_FAD_lib
    428431DESIGN adc_buffer
    429432VIEW beha
    430 GRAPHIC 5678,0 196 0
    431 DESIGN @f@a@d_main
    432 VIEW struct.bd
    433 NO_GRAPHIC 203
    434 DESIGN @f@a@d_main
    435 VIEW struct.bd
    436 GRAPHIC 9175,0 205 0
     433GRAPHIC 5678,0 197 0
     434DESIGN @f@a@d_main
     435VIEW struct.bd
     436NO_GRAPHIC 204
     437DESIGN @f@a@d_main
     438VIEW struct.bd
     439GRAPHIC 9175,0 206 0
    437440DESIGN clock_generator_var_ps
    438441VIEW symbol.sb
    439 GRAPHIC 168,0 207 0
     442GRAPHIC 168,0 208 0
    440443DESIGN clock_generator_var_ps
    441444VIEW symbol.sb
    442 GRAPHIC 848,0 208 0
     445GRAPHIC 848,0 209 0
    443446DESIGN clock_generator_var_ps
    444447VIEW symbol.sb
    445 GRAPHIC 703,0 209 0
     448GRAPHIC 703,0 210 0
    446449DESIGN clock_generator_var_ps
    447450VIEW symbol.sb
    448 GRAPHIC 698,0 210 0
     451GRAPHIC 698,0 211 0
    449452DESIGN clock_generator_var_ps
    450453VIEW symbol.sb
    451 GRAPHIC 126,0 211 0
     454GRAPHIC 126,0 212 0
    452455DESIGN clock_generator_var_ps
    453456VIEW symbol.sb
    454 GRAPHIC 643,0 212 0
     457GRAPHIC 643,0 213 0
    455458DESIGN clock_generator_var_ps
    456459VIEW symbol.sb
    457 GRAPHIC 121,0 213 0
     460GRAPHIC 121,0 214 0
    458461DESIGN clock_generator_var_ps
    459462VIEW symbol.sb
    460 GRAPHIC 481,0 214 0
     463GRAPHIC 481,0 215 0
    461464DESIGN clock_generator_var_ps
    462465VIEW symbol.sb
    463 GRAPHIC 544,0 215 0
     466GRAPHIC 544,0 216 0
    464467DESIGN clock_generator_var_ps
    465468VIEW symbol.sb
    466 GRAPHIC 524,0 216 0
     469GRAPHIC 524,0 217 0
    467470DESIGN clock_generator_var_ps
    468471VIEW symbol.sb
    469 GRAPHIC 539,0 217 0
     472GRAPHIC 539,0 218 0
    470473DESIGN clock_generator_var_ps
    471474VIEW symbol.sb
    472 GRAPHIC 534,0 218 0
     475GRAPHIC 534,0 219 0
    473476DESIGN clock_generator_var_ps
    474477VIEW symbol.sb
    475 GRAPHIC 475,0 219 0
     478GRAPHIC 475,0 220 0
    476479DESIGN clock_generator_var_ps
    477480VIEW symbol.sb
    478 GRAPHIC 463,0 220 0
     481GRAPHIC 463,0 221 0
    479482DESIGN clock_generator_var_ps
    480483VIEW symbol.sb
    481 GRAPHIC 469,0 221 0
    482 DESIGN @f@a@d_main
    483 VIEW struct.bd
    484 GRAPHIC 13117,0 225 0
    485 DESIGN @f@a@d_main
    486 VIEW struct.bd
    487 GRAPHIC 13124,0 226 1
    488 DESIGN @f@a@d_main
    489 VIEW struct.bd
    490 NO_GRAPHIC 234
    491 DESIGN @f@a@d_main
    492 VIEW struct.bd
    493 GRAPHIC 5072,0 236 0
     484GRAPHIC 469,0 222 0
     485DESIGN @f@a@d_main
     486VIEW struct.bd
     487GRAPHIC 13117,0 226 0
     488DESIGN @f@a@d_main
     489VIEW struct.bd
     490GRAPHIC 13124,0 227 1
     491DESIGN @f@a@d_main
     492VIEW struct.bd
     493NO_GRAPHIC 235
     494DESIGN @f@a@d_main
     495VIEW struct.bd
     496GRAPHIC 5072,0 237 0
    494497DESIGN control_unit
    495498VIEW symbol.sb
    496 GRAPHIC 130,0 238 0
     499GRAPHIC 130,0 239 0
    497500DESIGN control_unit
    498501VIEW symbol.sb
    499 GRAPHIC 135,0 239 0
     502GRAPHIC 135,0 240 0
    500503DESIGN control_unit
    501504VIEW symbol.sb
    502 GRAPHIC 170,0 240 0
     505GRAPHIC 170,0 241 0
    503506DESIGN control_unit
    504507VIEW symbol.sb
    505 GRAPHIC 175,0 241 0
     508GRAPHIC 175,0 242 0
    506509DESIGN control_unit
    507510VIEW symbol.sb
    508 GRAPHIC 160,0 242 0
     511GRAPHIC 160,0 243 0
    509512DESIGN control_unit
    510513VIEW symbol.sb
    511 GRAPHIC 145,0 243 0
     514GRAPHIC 145,0 244 0
    512515DESIGN control_unit
    513516VIEW symbol.sb
    514 GRAPHIC 140,0 244 0
     517GRAPHIC 140,0 245 0
    515518DESIGN control_unit
    516519VIEW symbol.sb
    517 GRAPHIC 180,0 245 0
     520GRAPHIC 180,0 246 0
    518521DESIGN control_unit
    519522VIEW symbol.sb
    520 GRAPHIC 558,0 246 0
     523GRAPHIC 558,0 247 0
    521524DESIGN control_unit
    522525VIEW symbol.sb
    523 GRAPHIC 564,0 248 0
     526GRAPHIC 564,0 249 0
    524527DESIGN control_unit
    525528VIEW symbol.sb
    526 GRAPHIC 350,0 250 0
     529GRAPHIC 350,0 251 0
    527530DESIGN control_unit
    528531VIEW symbol.sb
    529 GRAPHIC 165,0 251 0
     532GRAPHIC 165,0 252 0
    530533DESIGN control_unit
    531534VIEW symbol.sb
    532 GRAPHIC 155,0 252 0
     535GRAPHIC 155,0 253 0
    533536DESIGN control_unit
    534537VIEW symbol.sb
    535 GRAPHIC 150,0 253 0
    536 DESIGN @f@a@d_main
    537 VIEW struct.bd
    538 GRAPHIC 8277,0 256 0
     538GRAPHIC 150,0 254 0
     539DESIGN @f@a@d_main
     540VIEW struct.bd
     541GRAPHIC 8277,0 257 0
    539542DESIGN data@r@a@m_64b_16b_width14_5
    540543VIEW data@r@a@m_64b_16b_width14_5_a
    541 GRAPHIC 48,0 258 0
     544GRAPHIC 48,0 259 0
    542545DESIGN data@r@a@m_64b_16b_width14_5
    543546VIEW data@r@a@m_64b_16b_width14_5_a
    544 GRAPHIC 53,0 259 0
     547GRAPHIC 53,0 260 0
    545548DESIGN data@r@a@m_64b_16b_width14_5
    546549VIEW data@r@a@m_64b_16b_width14_5_a
    547 GRAPHIC 58,0 260 0
     550GRAPHIC 58,0 261 0
    548551DESIGN data@r@a@m_64b_16b_width14_5
    549552VIEW data@r@a@m_64b_16b_width14_5_a
    550 GRAPHIC 63,0 261 0
     553GRAPHIC 63,0 262 0
    551554DESIGN data@r@a@m_64b_16b_width14_5
    552555VIEW data@r@a@m_64b_16b_width14_5_a
    553 GRAPHIC 68,0 262 0
     556GRAPHIC 68,0 263 0
    554557DESIGN data@r@a@m_64b_16b_width14_5
    555558VIEW data@r@a@m_64b_16b_width14_5_a
    556 GRAPHIC 73,0 263 0
     559GRAPHIC 73,0 264 0
    557560DESIGN data@r@a@m_64b_16b_width14_5
    558561VIEW data@r@a@m_64b_16b_width14_5_a
    559 GRAPHIC 78,0 264 0
    560 DESIGN @f@a@d_main
    561 VIEW struct.bd
    562 GRAPHIC 1399,0 267 0
    563 DESIGN data_generator
    564 VIEW symbol.sb
    565 GRAPHIC 14,0 268 1
    566 DESIGN data_generator
    567 VIEW @behavioral
    568 GRAPHIC 48,0 272 0
    569 DESIGN data_generator
    570 VIEW @behavioral
    571 GRAPHIC 53,0 274 0
    572 DESIGN data_generator
    573 VIEW @behavioral
    574 GRAPHIC 58,0 275 0
    575 DESIGN data_generator
    576 VIEW @behavioral
    577 GRAPHIC 73,0 276 0
    578 DESIGN data_generator
    579 VIEW @behavioral
    580 GRAPHIC 78,0 277 0
    581 DESIGN data_generator
    582 VIEW @behavioral
    583 GRAPHIC 402,0 278 0
    584 DESIGN data_generator
    585 VIEW @behavioral
    586 GRAPHIC 407,0 279 0
    587 DESIGN data_generator
    588 VIEW @behavioral
    589 GRAPHIC 1479,0 280 0
    590 DESIGN data_generator
    591 VIEW @behavioral
    592 GRAPHIC 1122,0 282 0
    593 DESIGN data_generator
    594 VIEW @behavioral
    595 GRAPHIC 963,0 284 0
    596 DESIGN data_generator
    597 VIEW @behavioral
    598 GRAPHIC 1127,0 286 0
    599 DESIGN data_generator
    600 VIEW @behavioral
    601 GRAPHIC 1048,0 288 0
    602 DESIGN data_generator
    603 VIEW @behavioral
    604 GRAPHIC 958,0 289 0
    605 DESIGN data_generator
    606 VIEW @behavioral
    607 GRAPHIC 1053,0 290 0
    608 DESIGN data_generator
    609 VIEW @behavioral
    610 GRAPHIC 1201,0 291 0
    611 DESIGN data_generator
    612 VIEW @behavioral
    613 GRAPHIC 1196,0 292 0
    614 DESIGN data_generator
    615 VIEW @behavioral
    616 GRAPHIC 1206,0 293 0
    617 DESIGN data_generator
    618 VIEW @behavioral
    619 GRAPHIC 473,0 294 0
    620 DESIGN data_generator
    621 VIEW @behavioral
    622 GRAPHIC 412,0 295 0
    623 DESIGN data_generator
    624 VIEW @behavioral
    625 GRAPHIC 1085,0 296 0
    626 DESIGN data_generator
    627 VIEW @behavioral
    628 GRAPHIC 1090,0 297 0
    629 DESIGN data_generator
    630 VIEW @behavioral
    631 GRAPHIC 1240,0 298 0
    632 DESIGN data_generator
    633 VIEW @behavioral
    634 GRAPHIC 526,0 299 0
    635 DESIGN data_generator
    636 VIEW @behavioral
    637 GRAPHIC 88,0 300 0
    638 DESIGN data_generator
    639 VIEW @behavioral
    640 GRAPHIC 285,0 301 0
    641 DESIGN data_generator
    642 VIEW @behavioral
    643 GRAPHIC 93,0 302 0
    644 DESIGN data_generator
    645 VIEW @behavioral
    646 GRAPHIC 98,0 303 0
    647 DESIGN data_generator
    648 VIEW @behavioral
    649 GRAPHIC 1164,0 304 0
    650 DESIGN data_generator
    651 VIEW @behavioral
    652 GRAPHIC 1159,0 306 0
    653 DESIGN data_generator
    654 VIEW @behavioral
    655 GRAPHIC 898,0 307 0
    656 DESIGN data_generator
    657 VIEW @behavioral
    658 GRAPHIC 637,0 308 0
    659 DESIGN data_generator
    660 VIEW @behavioral
    661 GRAPHIC 1395,0 309 0
    662 DESIGN data_generator
    663 VIEW @behavioral
    664 GRAPHIC 1427,0 310 0
    665 DESIGN data_generator
    666 VIEW @behavioral
    667 GRAPHIC 676,0 311 0
    668 DESIGN data_generator
    669 VIEW @behavioral
    670 GRAPHIC 1551,0 312 0
    671 DESIGN data_generator
    672 VIEW @behavioral
    673 GRAPHIC 1583,0 315 0
    674 DESIGN data_generator
    675 VIEW @behavioral
    676 GRAPHIC 681,0 316 0
    677 DESIGN data_generator
    678 VIEW @behavioral
    679 GRAPHIC 801,0 318 0
    680 DESIGN data_generator
    681 VIEW @behavioral
    682 GRAPHIC 1464,0 320 0
    683 DESIGN data_generator
    684 VIEW @behavioral
    685 GRAPHIC 1469,0 321 0
    686 DESIGN data_generator
    687 VIEW @behavioral
    688 GRAPHIC 1459,0 322 0
    689 DESIGN data_generator
    690 VIEW @behavioral
    691 GRAPHIC 1474,0 323 0
    692 DESIGN data_generator
    693 VIEW @behavioral
    694 GRAPHIC 806,0 324 0
    695 DESIGN data_generator
    696 VIEW @behavioral
    697 GRAPHIC 811,0 325 0
    698 DESIGN data_generator
    699 VIEW @behavioral
    700 GRAPHIC 1519,0 326 0
    701 DESIGN @f@a@d_main
    702 VIEW struct.bd
    703 GRAPHIC 4903,0 329 0
    704 DESIGN @f@a@d_main
    705 VIEW struct.bd
    706 NO_GRAPHIC 347
    707 DESIGN @f@a@d_main
    708 VIEW struct.bd
    709 GRAPHIC 11209,0 349 0
    710 DESIGN @f@a@d_main
    711 VIEW struct.bd
    712 GRAPHIC 11216,0 350 1
    713 DESIGN @f@a@d_main
    714 VIEW struct.bd
    715 NO_GRAPHIC 364
    716 DESIGN @f@a@d_main
    717 VIEW struct.bd
    718 GRAPHIC 2311,0 366 0
    719 DESIGN memory_manager
    720 VIEW symbol.sb
    721 GRAPHIC 14,0 367 1
    722 DESIGN memory_manager
    723 VIEW beha
    724 GRAPHIC 138,0 372 0
    725 DESIGN memory_manager
    726 VIEW beha
    727 GRAPHIC 194,0 373 0
    728 DESIGN memory_manager
    729 VIEW beha
    730 GRAPHIC 349,0 374 0
    731 DESIGN memory_manager
    732 VIEW beha
    733 GRAPHIC 949,0 375 0
    734 DESIGN memory_manager
    735 VIEW beha
    736 GRAPHIC 569,0 377 0
    737 DESIGN memory_manager
    738 VIEW beha
    739 GRAPHIC 224,0 379 0
    740 DESIGN memory_manager
    741 VIEW beha
    742 GRAPHIC 254,0 380 0
    743 DESIGN memory_manager
    744 VIEW beha
    745 GRAPHIC 804,0 381 0
    746 DESIGN memory_manager
    747 VIEW beha
    748 GRAPHIC 433,0 382 0
    749 DESIGN memory_manager
    750 VIEW beha
    751 GRAPHIC 622,0 383 0
    752 DESIGN memory_manager
    753 VIEW beha
    754 GRAPHIC 289,0 384 0
    755 DESIGN memory_manager
    756 VIEW beha
    757 GRAPHIC 309,0 385 0
    758 DESIGN memory_manager
    759 VIEW beha
    760 GRAPHIC 284,0 386 0
    761 DESIGN memory_manager
    762 VIEW beha
    763 GRAPHIC 294,0 387 0
    764 DESIGN memory_manager
    765 VIEW beha
    766 GRAPHIC 304,0 388 0
    767 DESIGN memory_manager
    768 VIEW beha
    769 GRAPHIC 299,0 389 0
    770 DESIGN memory_manager
    771 VIEW beha
    772 GRAPHIC 379,0 390 0
    773 DESIGN memory_manager
    774 VIEW beha
    775 GRAPHIC 915,0 391 0
    776 DESIGN memory_manager
    777 VIEW beha
    778 GRAPHIC 51,0 392 0
    779 DESIGN @f@a@d_main
    780 VIEW struct.bd
    781 GRAPHIC 5793,0 395 0
     562GRAPHIC 78,0 265 0
     563DESIGN @f@a@d_main
     564VIEW struct.bd
     565GRAPHIC 1399,0 268 0
     566DESIGN data_generator
     567VIEW symbol.sb
     568GRAPHIC 14,0 269 1
     569DESIGN data_generator
     570VIEW @behavioral
     571GRAPHIC 48,0 273 0
     572DESIGN data_generator
     573VIEW @behavioral
     574GRAPHIC 53,0 275 0
     575DESIGN data_generator
     576VIEW @behavioral
     577GRAPHIC 58,0 276 0
     578DESIGN data_generator
     579VIEW @behavioral
     580GRAPHIC 73,0 277 0
     581DESIGN data_generator
     582VIEW @behavioral
     583GRAPHIC 78,0 278 0
     584DESIGN data_generator
     585VIEW @behavioral
     586GRAPHIC 402,0 279 0
     587DESIGN data_generator
     588VIEW @behavioral
     589GRAPHIC 407,0 280 0
     590DESIGN data_generator
     591VIEW @behavioral
     592GRAPHIC 1479,0 281 0
     593DESIGN data_generator
     594VIEW @behavioral
     595GRAPHIC 1122,0 283 0
     596DESIGN data_generator
     597VIEW @behavioral
     598GRAPHIC 963,0 285 0
     599DESIGN data_generator
     600VIEW @behavioral
     601GRAPHIC 1127,0 287 0
     602DESIGN data_generator
     603VIEW @behavioral
     604GRAPHIC 1048,0 289 0
     605DESIGN data_generator
     606VIEW @behavioral
     607GRAPHIC 958,0 290 0
     608DESIGN data_generator
     609VIEW @behavioral
     610GRAPHIC 1053,0 291 0
     611DESIGN data_generator
     612VIEW @behavioral
     613GRAPHIC 1201,0 292 0
     614DESIGN data_generator
     615VIEW @behavioral
     616GRAPHIC 1196,0 293 0
     617DESIGN data_generator
     618VIEW @behavioral
     619GRAPHIC 1206,0 294 0
     620DESIGN data_generator
     621VIEW @behavioral
     622GRAPHIC 473,0 295 0
     623DESIGN data_generator
     624VIEW @behavioral
     625GRAPHIC 412,0 296 0
     626DESIGN data_generator
     627VIEW @behavioral
     628GRAPHIC 1085,0 297 0
     629DESIGN data_generator
     630VIEW @behavioral
     631GRAPHIC 1090,0 298 0
     632DESIGN data_generator
     633VIEW @behavioral
     634GRAPHIC 1240,0 299 0
     635DESIGN data_generator
     636VIEW @behavioral
     637GRAPHIC 526,0 300 0
     638DESIGN data_generator
     639VIEW @behavioral
     640GRAPHIC 88,0 301 0
     641DESIGN data_generator
     642VIEW @behavioral
     643GRAPHIC 285,0 302 0
     644DESIGN data_generator
     645VIEW @behavioral
     646GRAPHIC 93,0 303 0
     647DESIGN data_generator
     648VIEW @behavioral
     649GRAPHIC 98,0 304 0
     650DESIGN data_generator
     651VIEW @behavioral
     652GRAPHIC 1164,0 305 0
     653DESIGN data_generator
     654VIEW @behavioral
     655GRAPHIC 1159,0 307 0
     656DESIGN data_generator
     657VIEW @behavioral
     658GRAPHIC 898,0 308 0
     659DESIGN data_generator
     660VIEW @behavioral
     661GRAPHIC 637,0 309 0
     662DESIGN data_generator
     663VIEW @behavioral
     664GRAPHIC 1395,0 310 0
     665DESIGN data_generator
     666VIEW @behavioral
     667GRAPHIC 1427,0 311 0
     668DESIGN data_generator
     669VIEW @behavioral
     670GRAPHIC 676,0 312 0
     671DESIGN data_generator
     672VIEW @behavioral
     673GRAPHIC 1551,0 313 0
     674DESIGN data_generator
     675VIEW @behavioral
     676GRAPHIC 1583,0 316 0
     677DESIGN data_generator
     678VIEW @behavioral
     679GRAPHIC 681,0 317 0
     680DESIGN data_generator
     681VIEW @behavioral
     682GRAPHIC 801,0 319 0
     683DESIGN data_generator
     684VIEW @behavioral
     685GRAPHIC 1464,0 321 0
     686DESIGN data_generator
     687VIEW @behavioral
     688GRAPHIC 1469,0 322 0
     689DESIGN data_generator
     690VIEW @behavioral
     691GRAPHIC 1459,0 323 0
     692DESIGN data_generator
     693VIEW @behavioral
     694GRAPHIC 1474,0 324 0
     695DESIGN data_generator
     696VIEW @behavioral
     697GRAPHIC 806,0 325 0
     698DESIGN data_generator
     699VIEW @behavioral
     700GRAPHIC 811,0 326 0
     701DESIGN data_generator
     702VIEW @behavioral
     703GRAPHIC 1519,0 327 0
     704DESIGN @f@a@d_main
     705VIEW struct.bd
     706GRAPHIC 4903,0 330 0
     707DESIGN @f@a@d_main
     708VIEW struct.bd
     709NO_GRAPHIC 348
     710DESIGN @f@a@d_main
     711VIEW struct.bd
     712GRAPHIC 11209,0 350 0
     713DESIGN @f@a@d_main
     714VIEW struct.bd
     715GRAPHIC 11216,0 351 1
     716DESIGN @f@a@d_main
     717VIEW struct.bd
     718NO_GRAPHIC 365
     719DESIGN @f@a@d_main
     720VIEW struct.bd
     721GRAPHIC 2311,0 367 0
     722DESIGN memory_manager
     723VIEW symbol.sb
     724GRAPHIC 14,0 368 1
     725DESIGN memory_manager
     726VIEW beha
     727GRAPHIC 138,0 373 0
     728DESIGN memory_manager
     729VIEW beha
     730GRAPHIC 194,0 374 0
     731DESIGN memory_manager
     732VIEW beha
     733GRAPHIC 349,0 375 0
     734DESIGN memory_manager
     735VIEW beha
     736GRAPHIC 949,0 376 0
     737DESIGN memory_manager
     738VIEW beha
     739GRAPHIC 569,0 378 0
     740DESIGN memory_manager
     741VIEW beha
     742GRAPHIC 224,0 380 0
     743DESIGN memory_manager
     744VIEW beha
     745GRAPHIC 254,0 381 0
     746DESIGN memory_manager
     747VIEW beha
     748GRAPHIC 804,0 382 0
     749DESIGN memory_manager
     750VIEW beha
     751GRAPHIC 433,0 383 0
     752DESIGN memory_manager
     753VIEW beha
     754GRAPHIC 622,0 384 0
     755DESIGN memory_manager
     756VIEW beha
     757GRAPHIC 289,0 385 0
     758DESIGN memory_manager
     759VIEW beha
     760GRAPHIC 309,0 386 0
     761DESIGN memory_manager
     762VIEW beha
     763GRAPHIC 284,0 387 0
     764DESIGN memory_manager
     765VIEW beha
     766GRAPHIC 294,0 388 0
     767DESIGN memory_manager
     768VIEW beha
     769GRAPHIC 304,0 389 0
     770DESIGN memory_manager
     771VIEW beha
     772GRAPHIC 299,0 390 0
     773DESIGN memory_manager
     774VIEW beha
     775GRAPHIC 379,0 391 0
     776DESIGN memory_manager
     777VIEW beha
     778GRAPHIC 915,0 392 0
     779DESIGN memory_manager
     780VIEW beha
     781GRAPHIC 51,0 393 0
     782DESIGN @f@a@d_main
     783VIEW struct.bd
     784GRAPHIC 5793,0 396 0
    782785DESIGN spi_interface
    783786VIEW symbol.sb
    784 GRAPHIC 1121,0 397 0
     787GRAPHIC 1121,0 398 0
    785788DESIGN spi_interface
    786789VIEW symbol.sb
    787 GRAPHIC 326,0 398 0
     790GRAPHIC 326,0 399 0
    788791DESIGN spi_interface
    789792VIEW symbol.sb
    790 GRAPHIC 197,0 399 0
     793GRAPHIC 197,0 400 0
    791794DESIGN spi_interface
    792795VIEW symbol.sb
    793 GRAPHIC 321,0 400 0
     796GRAPHIC 321,0 401 0
    794797DESIGN spi_interface
    795798VIEW symbol.sb
    796 GRAPHIC 1198,0 401 0
     799GRAPHIC 1198,0 402 0
    797800DESIGN spi_interface
    798801VIEW symbol.sb
    799 GRAPHIC 1017,0 402 0
     802GRAPHIC 1017,0 403 0
    800803DESIGN spi_interface
    801804VIEW symbol.sb
    802 GRAPHIC 1229,0 403 0
     805GRAPHIC 1229,0 404 0
    803806DESIGN spi_interface
    804807VIEW symbol.sb
    805 GRAPHIC 126,0 404 0
     808GRAPHIC 126,0 405 0
    806809DESIGN spi_interface
    807810VIEW symbol.sb
    808 GRAPHIC 819,0 405 0
     811GRAPHIC 819,0 406 0
    809812DESIGN spi_interface
    810813VIEW symbol.sb
    811 GRAPHIC 1022,0 406 0
     814GRAPHIC 1022,0 407 0
    812815DESIGN spi_interface
    813816VIEW symbol.sb
    814 GRAPHIC 824,0 407 0
     817GRAPHIC 824,0 408 0
    815818DESIGN spi_interface
    816819VIEW symbol.sb
    817 GRAPHIC 1283,0 408 0
    818 DESIGN @f@a@d_main
    819 VIEW struct.bd
    820 GRAPHIC 1768,0 411 0
     820GRAPHIC 1283,0 409 0
     821DESIGN @f@a@d_main
     822VIEW struct.bd
     823GRAPHIC 1768,0 412 0
    821824DESIGN trigger_counter
    822825VIEW beha
    823 GRAPHIC 48,0 413 0
     826GRAPHIC 48,0 414 0
    824827DESIGN trigger_counter
    825828VIEW beha
    826 GRAPHIC 53,0 414 0
     829GRAPHIC 53,0 415 0
    827830DESIGN trigger_counter
    828831VIEW beha
    829 GRAPHIC 148,0 415 0
    830 DESIGN @f@a@d_main
    831 VIEW struct.bd
    832 GRAPHIC 12625,0 418 0
    833 DESIGN @f@a@d_main
    834 VIEW struct.bd
    835 NO_GRAPHIC 426
    836 DESIGN @f@a@d_main
    837 VIEW struct.bd
    838 GRAPHIC 1606,0 428 0
    839 DESIGN w5300_modul
    840 VIEW symbol.sb
    841 GRAPHIC 14,0 429 1
    842 DESIGN w5300_modul
    843 VIEW @behavioral
    844 GRAPHIC 48,0 433 0
    845 DESIGN w5300_modul
    846 VIEW @behavioral
    847 GRAPHIC 53,0 434 0
    848 DESIGN w5300_modul
    849 VIEW @behavioral
    850 GRAPHIC 58,0 435 0
    851 DESIGN w5300_modul
    852 VIEW @behavioral
    853 GRAPHIC 63,0 436 0
    854 DESIGN w5300_modul
    855 VIEW @behavioral
    856 GRAPHIC 68,0 437 0
    857 DESIGN w5300_modul
    858 VIEW @behavioral
    859 GRAPHIC 73,0 438 0
    860 DESIGN w5300_modul
    861 VIEW @behavioral
    862 GRAPHIC 491,0 439 0
    863 DESIGN w5300_modul
    864 VIEW @behavioral
    865 GRAPHIC 83,0 440 0
    866 DESIGN w5300_modul
    867 VIEW @behavioral
    868 GRAPHIC 88,0 441 0
    869 DESIGN w5300_modul
    870 VIEW @behavioral
    871 GRAPHIC 93,0 442 0
    872 DESIGN w5300_modul
    873 VIEW @behavioral
    874 GRAPHIC 98,0 443 0
    875 DESIGN w5300_modul
    876 VIEW @behavioral
    877 GRAPHIC 103,0 444 0
    878 DESIGN w5300_modul
    879 VIEW @behavioral
    880 GRAPHIC 108,0 445 0
    881 DESIGN w5300_modul
    882 VIEW @behavioral
    883 GRAPHIC 113,0 446 0
    884 DESIGN w5300_modul
    885 VIEW @behavioral
    886 GRAPHIC 885,0 447 0
    887 DESIGN w5300_modul
    888 VIEW @behavioral
    889 GRAPHIC 118,0 448 0
    890 DESIGN w5300_modul
    891 VIEW @behavioral
    892 GRAPHIC 353,0 449 0
    893 DESIGN w5300_modul
    894 VIEW @behavioral
    895 GRAPHIC 348,0 450 0
    896 DESIGN w5300_modul
    897 VIEW @behavioral
    898 GRAPHIC 385,0 451 0
    899 DESIGN w5300_modul
    900 VIEW @behavioral
    901 GRAPHIC 521,0 452 0
    902 DESIGN w5300_modul
    903 VIEW @behavioral
    904 GRAPHIC 1187,0 454 0
    905 DESIGN w5300_modul
    906 VIEW @behavioral
    907 GRAPHIC 1192,0 455 0
    908 DESIGN w5300_modul
    909 VIEW @behavioral
    910 GRAPHIC 576,0 456 0
    911 DESIGN w5300_modul
    912 VIEW @behavioral
    913 GRAPHIC 566,0 458 0
    914 DESIGN w5300_modul
    915 VIEW @behavioral
    916 GRAPHIC 551,0 459 0
    917 DESIGN w5300_modul
    918 VIEW @behavioral
    919 GRAPHIC 561,0 460 0
    920 DESIGN w5300_modul
    921 VIEW @behavioral
    922 GRAPHIC 571,0 461 0
    923 DESIGN w5300_modul
    924 VIEW @behavioral
    925 GRAPHIC 640,0 462 0
    926 DESIGN w5300_modul
    927 VIEW @behavioral
    928 GRAPHIC 1052,0 463 0
    929 DESIGN w5300_modul
    930 VIEW @behavioral
    931 GRAPHIC 1057,0 465 0
    932 DESIGN w5300_modul
    933 VIEW @behavioral
    934 GRAPHIC 556,0 467 0
    935 DESIGN w5300_modul
    936 VIEW @behavioral
    937 GRAPHIC 670,0 469 0
    938 DESIGN w5300_modul
    939 VIEW @behavioral
    940 GRAPHIC 723,0 470 0
    941 DESIGN w5300_modul
    942 VIEW @behavioral
    943 GRAPHIC 917,0 471 0
    944 DESIGN w5300_modul
    945 VIEW @behavioral
    946 GRAPHIC 949,0 472 0
    947 DESIGN w5300_modul
    948 VIEW @behavioral
    949 GRAPHIC 954,0 473 0
    950 DESIGN w5300_modul
    951 VIEW @behavioral
    952 GRAPHIC 988,0 474 0
    953 DESIGN w5300_modul
    954 VIEW @behavioral
    955 GRAPHIC 1020,0 475 0
    956 DESIGN w5300_modul
    957 VIEW @behavioral
    958 GRAPHIC 1130,0 476 0
    959 DESIGN w5300_modul
    960 VIEW @behavioral
    961 GRAPHIC 1096,0 477 0
    962 DESIGN w5300_modul
    963 VIEW @behavioral
    964 GRAPHIC 1091,0 478 0
     832GRAPHIC 148,0 416 0
     833DESIGN @f@a@d_main
     834VIEW struct.bd
     835GRAPHIC 12625,0 419 0
     836DESIGN @f@a@d_main
     837VIEW struct.bd
     838NO_GRAPHIC 427
     839DESIGN @f@a@d_main
     840VIEW struct.bd
     841GRAPHIC 1606,0 429 0
     842DESIGN w5300_modul
     843VIEW symbol.sb
     844GRAPHIC 14,0 430 1
     845DESIGN w5300_modul
     846VIEW @behavioral
     847GRAPHIC 48,0 434 0
     848DESIGN w5300_modul
     849VIEW @behavioral
     850GRAPHIC 53,0 435 0
     851DESIGN w5300_modul
     852VIEW @behavioral
     853GRAPHIC 58,0 436 0
     854DESIGN w5300_modul
     855VIEW @behavioral
     856GRAPHIC 63,0 437 0
     857DESIGN w5300_modul
     858VIEW @behavioral
     859GRAPHIC 68,0 438 0
     860DESIGN w5300_modul
     861VIEW @behavioral
     862GRAPHIC 73,0 439 0
     863DESIGN w5300_modul
     864VIEW @behavioral
     865GRAPHIC 491,0 440 0
     866DESIGN w5300_modul
     867VIEW @behavioral
     868GRAPHIC 83,0 441 0
     869DESIGN w5300_modul
     870VIEW @behavioral
     871GRAPHIC 88,0 442 0
     872DESIGN w5300_modul
     873VIEW @behavioral
     874GRAPHIC 93,0 443 0
     875DESIGN w5300_modul
     876VIEW @behavioral
     877GRAPHIC 98,0 444 0
     878DESIGN w5300_modul
     879VIEW @behavioral
     880GRAPHIC 103,0 445 0
     881DESIGN w5300_modul
     882VIEW @behavioral
     883GRAPHIC 108,0 446 0
     884DESIGN w5300_modul
     885VIEW @behavioral
     886GRAPHIC 113,0 447 0
     887DESIGN w5300_modul
     888VIEW @behavioral
     889GRAPHIC 885,0 448 0
     890DESIGN w5300_modul
     891VIEW @behavioral
     892GRAPHIC 118,0 449 0
     893DESIGN w5300_modul
     894VIEW @behavioral
     895GRAPHIC 353,0 450 0
     896DESIGN w5300_modul
     897VIEW @behavioral
     898GRAPHIC 348,0 451 0
     899DESIGN w5300_modul
     900VIEW @behavioral
     901GRAPHIC 385,0 452 0
     902DESIGN w5300_modul
     903VIEW @behavioral
     904GRAPHIC 521,0 453 0
     905DESIGN w5300_modul
     906VIEW @behavioral
     907GRAPHIC 1187,0 455 0
     908DESIGN w5300_modul
     909VIEW @behavioral
     910GRAPHIC 1192,0 456 0
     911DESIGN w5300_modul
     912VIEW @behavioral
     913GRAPHIC 576,0 457 0
     914DESIGN w5300_modul
     915VIEW @behavioral
     916GRAPHIC 566,0 459 0
     917DESIGN w5300_modul
     918VIEW @behavioral
     919GRAPHIC 551,0 460 0
     920DESIGN w5300_modul
     921VIEW @behavioral
     922GRAPHIC 561,0 461 0
     923DESIGN w5300_modul
     924VIEW @behavioral
     925GRAPHIC 571,0 462 0
     926DESIGN w5300_modul
     927VIEW @behavioral
     928GRAPHIC 640,0 463 0
     929DESIGN w5300_modul
     930VIEW @behavioral
     931GRAPHIC 1052,0 464 0
     932DESIGN w5300_modul
     933VIEW @behavioral
     934GRAPHIC 1057,0 466 0
     935DESIGN w5300_modul
     936VIEW @behavioral
     937GRAPHIC 556,0 468 0
     938DESIGN w5300_modul
     939VIEW @behavioral
     940GRAPHIC 1283,0 470 0
     941DESIGN w5300_modul
     942VIEW @behavioral
     943GRAPHIC 1315,0 471 0
     944DESIGN w5300_modul
     945VIEW @behavioral
     946GRAPHIC 1320,0 472 0
     947DESIGN w5300_modul
     948VIEW @behavioral
     949GRAPHIC 670,0 473 0
     950DESIGN w5300_modul
     951VIEW @behavioral
     952GRAPHIC 723,0 474 0
     953DESIGN w5300_modul
     954VIEW @behavioral
     955GRAPHIC 917,0 475 0
     956DESIGN w5300_modul
     957VIEW @behavioral
     958GRAPHIC 949,0 476 0
     959DESIGN w5300_modul
     960VIEW @behavioral
     961GRAPHIC 954,0 477 0
     962DESIGN w5300_modul
     963VIEW @behavioral
     964GRAPHIC 988,0 478 0
     965DESIGN w5300_modul
     966VIEW @behavioral
     967GRAPHIC 1020,0 479 0
     968DESIGN w5300_modul
     969VIEW @behavioral
     970GRAPHIC 1130,0 480 0
     971DESIGN w5300_modul
     972VIEW @behavioral
     973GRAPHIC 1096,0 481 0
     974DESIGN w5300_modul
     975VIEW @behavioral
     976GRAPHIC 1091,0 482 0
    965977LIBRARY FACT_FAD_lib
    966978DESIGN @f@a@d_main
    967979VIEW struct.bd
    968 NO_GRAPHIC 481
    969 DESIGN @f@a@d_main
    970 VIEW struct.bd
    971 GRAPHIC 5678,0 484 0
    972 DESIGN @f@a@d_main
    973 VIEW struct.bd
    974 GRAPHIC 9175,0 485 0
    975 DESIGN @f@a@d_main
    976 VIEW struct.bd
    977 GRAPHIC 13117,0 486 0
    978 DESIGN @f@a@d_main
    979 VIEW struct.bd
    980 GRAPHIC 5072,0 487 0
    981 DESIGN @f@a@d_main
    982 VIEW struct.bd
    983 GRAPHIC 8277,0 488 0
    984 DESIGN @f@a@d_main
    985 VIEW struct.bd
    986 GRAPHIC 1399,0 489 0
    987 DESIGN @f@a@d_main
    988 VIEW struct.bd
    989 GRAPHIC 4903,0 490 0
    990 DESIGN @f@a@d_main
    991 VIEW struct.bd
    992 GRAPHIC 11209,0 491 0
    993 DESIGN @f@a@d_main
    994 VIEW struct.bd
    995 GRAPHIC 2311,0 492 0
    996 DESIGN @f@a@d_main
    997 VIEW struct.bd
    998 GRAPHIC 5793,0 493 0
    999 DESIGN @f@a@d_main
    1000 VIEW struct.bd
    1001 GRAPHIC 1768,0 494 0
    1002 DESIGN @f@a@d_main
    1003 VIEW struct.bd
    1004 GRAPHIC 12625,0 495 0
    1005 DESIGN @f@a@d_main
    1006 VIEW struct.bd
    1007 GRAPHIC 1606,0 496 0
    1008 DESIGN @f@a@d_main
    1009 VIEW struct.bd
    1010 NO_GRAPHIC 499
    1011 DESIGN @f@a@d_main
    1012 VIEW struct.bd
    1013 GRAPHIC 6529,0 501 0
    1014 DESIGN @f@a@d_main
    1015 VIEW struct.bd
    1016 GRAPHIC 9957,0 504 0
    1017 DESIGN @f@a@d_main
    1018 VIEW struct.bd
    1019 GRAPHIC 8721,0 507 0
    1020 DESIGN @f@a@d_main
    1021 VIEW struct.bd
    1022 GRAPHIC 12295,0 510 0
    1023 DESIGN @f@a@d_main
    1024 VIEW struct.bd
    1025 GRAPHIC 9472,0 513 0
    1026 DESIGN @f@a@d_main
    1027 VIEW struct.bd
    1028 GRAPHIC 9662,0 516 0
    1029 DESIGN @f@a@d_main
    1030 VIEW struct.bd
    1031 GRAPHIC 9679,0 519 0
    1032 DESIGN @f@a@d_main
    1033 VIEW struct.bd
    1034 GRAPHIC 9710,0 522 0
    1035 DESIGN @f@a@d_main
    1036 VIEW struct.bd
    1037 GRAPHIC 8562,0 525 0
    1038 DESIGN @f@a@d_main
    1039 VIEW struct.bd
    1040 GRAPHIC 10380,0 536 0
    1041 DESIGN @f@a@d_main
    1042 VIEW struct.bd
    1043 GRAPHIC 13266,0 539 0
    1044 DESIGN @f@a@d_main
    1045 VIEW struct.bd
    1046 NO_GRAPHIC 542
    1047 DESIGN @f@a@d_main
    1048 VIEW struct.bd
    1049 GRAPHIC 5678,0 544 0
    1050 DESIGN @f@a@d_main
    1051 VIEW struct.bd
    1052 GRAPHIC 5646,0 546 0
    1053 DESIGN @f@a@d_main
    1054 VIEW struct.bd
    1055 GRAPHIC 4272,0 547 0
    1056 DESIGN @f@a@d_main
    1057 VIEW struct.bd
    1058 GRAPHIC 2786,0 548 0
    1059 DESIGN @f@a@d_main
    1060 VIEW struct.bd
    1061 GRAPHIC 5626,0 549 0
    1062 DESIGN @f@a@d_main
    1063 VIEW struct.bd
    1064 GRAPHIC 5634,0 550 0
    1065 DESIGN @f@a@d_main
    1066 VIEW struct.bd
    1067 GRAPHIC 9175,0 552 0
    1068 DESIGN @f@a@d_main
    1069 VIEW struct.bd
    1070 GRAPHIC 4042,0 554 0
    1071 DESIGN @f@a@d_main
    1072 VIEW struct.bd
    1073 GRAPHIC 10036,0 555 0
    1074 DESIGN @f@a@d_main
    1075 VIEW struct.bd
    1076 GRAPHIC 9253,0 556 0
    1077 DESIGN @f@a@d_main
    1078 VIEW struct.bd
    1079 GRAPHIC 9261,0 557 0
    1080 DESIGN @f@a@d_main
    1081 VIEW struct.bd
    1082 GRAPHIC 6072,0 558 0
    1083 DESIGN @f@a@d_main
    1084 VIEW struct.bd
    1085 GRAPHIC 3984,0 559 0
    1086 DESIGN @f@a@d_main
    1087 VIEW struct.bd
    1088 GRAPHIC 3888,0 560 0
    1089 DESIGN @f@a@d_main
    1090 VIEW struct.bd
    1091 GRAPHIC 9353,0 561 0
    1092 DESIGN @f@a@d_main
    1093 VIEW struct.bd
    1094 GRAPHIC 9269,0 562 0
    1095 DESIGN @f@a@d_main
    1096 VIEW struct.bd
    1097 GRAPHIC 9325,0 563 0
    1098 DESIGN @f@a@d_main
    1099 VIEW struct.bd
    1100 GRAPHIC 9283,0 564 0
    1101 DESIGN @f@a@d_main
    1102 VIEW struct.bd
    1103 GRAPHIC 9297,0 565 0
    1104 DESIGN @f@a@d_main
    1105 VIEW struct.bd
    1106 GRAPHIC 9367,0 566 0
    1107 DESIGN @f@a@d_main
    1108 VIEW struct.bd
    1109 GRAPHIC 9397,0 567 0
    1110 DESIGN @f@a@d_main
    1111 VIEW struct.bd
    1112 GRAPHIC 9382,0 568 0
    1113 DESIGN @f@a@d_main
    1114 VIEW struct.bd
    1115 GRAPHIC 13117,0 570 0
    1116 DESIGN @f@a@d_main
    1117 VIEW struct.bd
    1118 GRAPHIC 13124,0 571 1
    1119 DESIGN @f@a@d_main
    1120 VIEW struct.bd
    1121 GRAPHIC 13143,0 575 0
    1122 DESIGN @f@a@d_main
    1123 VIEW struct.bd
    1124 GRAPHIC 13159,0 576 0
    1125 DESIGN @f@a@d_main
    1126 VIEW struct.bd
    1127 GRAPHIC 13165,0 577 0
    1128 DESIGN @f@a@d_main
    1129 VIEW struct.bd
    1130 GRAPHIC 13210,0 578 0
    1131 DESIGN @f@a@d_main
    1132 VIEW struct.bd
    1133 GRAPHIC 5072,0 580 0
    1134 DESIGN @f@a@d_main
    1135 VIEW struct.bd
    1136 GRAPHIC 5582,0 582 0
    1137 DESIGN @f@a@d_main
    1138 VIEW struct.bd
    1139 GRAPHIC 5090,0 583 0
    1140 DESIGN @f@a@d_main
    1141 VIEW struct.bd
    1142 GRAPHIC 5130,0 584 0
    1143 DESIGN @f@a@d_main
    1144 VIEW struct.bd
    1145 GRAPHIC 5184,0 585 0
    1146 DESIGN @f@a@d_main
    1147 VIEW struct.bd
    1148 GRAPHIC 5122,0 586 0
    1149 DESIGN @f@a@d_main
    1150 VIEW struct.bd
    1151 GRAPHIC 5106,0 587 0
    1152 DESIGN @f@a@d_main
    1153 VIEW struct.bd
    1154 GRAPHIC 5098,0 588 0
    1155 DESIGN @f@a@d_main
    1156 VIEW struct.bd
    1157 GRAPHIC 5190,0 589 0
    1158 DESIGN @f@a@d_main
    1159 VIEW struct.bd
    1160 GRAPHIC 10194,0 590 0
    1161 DESIGN @f@a@d_main
    1162 VIEW struct.bd
    1163 GRAPHIC 10202,0 591 0
    1164 DESIGN @f@a@d_main
    1165 VIEW struct.bd
    1166 GRAPHIC 6002,0 592 0
    1167 DESIGN @f@a@d_main
    1168 VIEW struct.bd
    1169 GRAPHIC 5146,0 593 0
    1170 DESIGN @f@a@d_main
    1171 VIEW struct.bd
    1172 GRAPHIC 5138,0 594 0
    1173 DESIGN @f@a@d_main
    1174 VIEW struct.bd
    1175 GRAPHIC 5114,0 595 0
    1176 DESIGN @f@a@d_main
    1177 VIEW struct.bd
    1178 GRAPHIC 8277,0 597 0
    1179 DESIGN @f@a@d_main
    1180 VIEW struct.bd
    1181 GRAPHIC 5602,0 599 0
    1182 DESIGN @f@a@d_main
    1183 VIEW struct.bd
    1184 GRAPHIC 334,0 600 0
    1185 DESIGN @f@a@d_main
    1186 VIEW struct.bd
    1187 GRAPHIC 328,0 601 0
    1188 DESIGN @f@a@d_main
    1189 VIEW struct.bd
    1190 GRAPHIC 322,0 602 0
    1191 DESIGN @f@a@d_main
    1192 VIEW struct.bd
    1193 GRAPHIC 4240,0 603 0
    1194 DESIGN @f@a@d_main
    1195 VIEW struct.bd
    1196 GRAPHIC 364,0 604 0
    1197 DESIGN @f@a@d_main
    1198 VIEW struct.bd
    1199 GRAPHIC 370,0 605 0
    1200 DESIGN @f@a@d_main
    1201 VIEW struct.bd
    1202 GRAPHIC 1399,0 607 0
    1203 DESIGN @f@a@d_main
    1204 VIEW struct.bd
    1205 GRAPHIC 1406,0 608 1
    1206 DESIGN @f@a@d_main
    1207 VIEW struct.bd
    1208 GRAPHIC 5602,0 612 0
    1209 DESIGN @f@a@d_main
    1210 VIEW struct.bd
    1211 GRAPHIC 334,0 613 0
    1212 DESIGN @f@a@d_main
    1213 VIEW struct.bd
    1214 GRAPHIC 328,0 614 0
    1215 DESIGN @f@a@d_main
    1216 VIEW struct.bd
    1217 GRAPHIC 322,0 615 0
    1218 DESIGN @f@a@d_main
    1219 VIEW struct.bd
    1220 GRAPHIC 2299,0 616 0
    1221 DESIGN @f@a@d_main
    1222 VIEW struct.bd
    1223 GRAPHIC 2576,0 617 0
    1224 DESIGN @f@a@d_main
    1225 VIEW struct.bd
    1226 GRAPHIC 2582,0 618 0
    1227 DESIGN @f@a@d_main
    1228 VIEW struct.bd
    1229 GRAPHIC 10467,0 619 0
    1230 DESIGN @f@a@d_main
    1231 VIEW struct.bd
    1232 GRAPHIC 2588,0 620 0
    1233 DESIGN @f@a@d_main
    1234 VIEW struct.bd
    1235 GRAPHIC 5184,0 621 0
    1236 DESIGN @f@a@d_main
    1237 VIEW struct.bd
    1238 GRAPHIC 5745,0 622 0
    1239 DESIGN @f@a@d_main
    1240 VIEW struct.bd
    1241 GRAPHIC 2594,0 623 0
    1242 DESIGN @f@a@d_main
    1243 VIEW struct.bd
    1244 GRAPHIC 5190,0 624 0
    1245 DESIGN @f@a@d_main
    1246 VIEW struct.bd
    1247 GRAPHIC 5404,0 625 0
    1248 DESIGN @f@a@d_main
    1249 VIEW struct.bd
    1250 GRAPHIC 6018,0 626 0
    1251 DESIGN @f@a@d_main
    1252 VIEW struct.bd
    1253 GRAPHIC 6002,0 627 0
    1254 DESIGN @f@a@d_main
    1255 VIEW struct.bd
    1256 GRAPHIC 6008,0 628 0
    1257 DESIGN @f@a@d_main
    1258 VIEW struct.bd
    1259 GRAPHIC 5138,0 629 0
    1260 DESIGN @f@a@d_main
    1261 VIEW struct.bd
    1262 GRAPHIC 2600,0 630 0
    1263 DESIGN @f@a@d_main
    1264 VIEW struct.bd
    1265 GRAPHIC 5480,0 631 0
    1266 DESIGN @f@a@d_main
    1267 VIEW struct.bd
    1268 GRAPHIC 5474,0 632 0
    1269 DESIGN @f@a@d_main
    1270 VIEW struct.bd
    1271 GRAPHIC 6064,0 633 0
    1272 DESIGN @f@a@d_main
    1273 VIEW struct.bd
    1274 GRAPHIC 2642,0 634 0
    1275 DESIGN @f@a@d_main
    1276 VIEW struct.bd
    1277 GRAPHIC 1411,0 635 0
    1278 DESIGN @f@a@d_main
    1279 VIEW struct.bd
    1280 GRAPHIC 1682,0 636 0
    1281 DESIGN @f@a@d_main
    1282 VIEW struct.bd
    1283 GRAPHIC 1983,0 637 0
    1284 DESIGN @f@a@d_main
    1285 VIEW struct.bd
    1286 GRAPHIC 10439,0 638 0
    1287 DESIGN @f@a@d_main
    1288 VIEW struct.bd
    1289 GRAPHIC 5950,0 639 0
    1290 DESIGN @f@a@d_main
    1291 VIEW struct.bd
    1292 GRAPHIC 5962,0 640 0
    1293 DESIGN @f@a@d_main
    1294 VIEW struct.bd
    1295 GRAPHIC 5626,0 641 0
    1296 DESIGN @f@a@d_main
    1297 VIEW struct.bd
    1298 GRAPHIC 2778,0 642 0
    1299 DESIGN @f@a@d_main
    1300 VIEW struct.bd
    1301 GRAPHIC 9006,0 643 0
    1302 DESIGN @f@a@d_main
    1303 VIEW struct.bd
    1304 GRAPHIC 5634,0 644 0
    1305 DESIGN @f@a@d_main
    1306 VIEW struct.bd
    1307 GRAPHIC 8577,0 645 0
    1308 DESIGN @f@a@d_main
    1309 VIEW struct.bd
    1310 GRAPHIC 12649,0 646 0
    1311 DESIGN @f@a@d_main
    1312 VIEW struct.bd
    1313 GRAPHIC 12655,0 647 0
    1314 DESIGN @f@a@d_main
    1315 VIEW struct.bd
    1316 GRAPHIC 4401,0 648 0
    1317 DESIGN @f@a@d_main
    1318 VIEW struct.bd
    1319 GRAPHIC 4419,0 649 0
    1320 DESIGN @f@a@d_main
    1321 VIEW struct.bd
    1322 GRAPHIC 10298,0 650 0
    1323 DESIGN @f@a@d_main
    1324 VIEW struct.bd
    1325 GRAPHIC 10304,0 651 0
    1326 DESIGN @f@a@d_main
    1327 VIEW struct.bd
    1328 GRAPHIC 10316,0 652 0
    1329 DESIGN @f@a@d_main
    1330 VIEW struct.bd
    1331 GRAPHIC 10310,0 653 0
    1332 DESIGN @f@a@d_main
    1333 VIEW struct.bd
    1334 GRAPHIC 4743,0 654 0
    1335 DESIGN @f@a@d_main
    1336 VIEW struct.bd
    1337 GRAPHIC 4407,0 655 0
    1338 DESIGN @f@a@d_main
    1339 VIEW struct.bd
    1340 GRAPHIC 11405,0 656 0
    1341 DESIGN @f@a@d_main
    1342 VIEW struct.bd
    1343 GRAPHIC 4903,0 658 0
    1344 DESIGN @f@a@d_main
    1345 VIEW struct.bd
    1346 GRAPHIC 4757,0 660 0
    1347 DESIGN @f@a@d_main
    1348 VIEW struct.bd
    1349 GRAPHIC 4401,0 661 0
    1350 DESIGN @f@a@d_main
    1351 VIEW struct.bd
    1352 GRAPHIC 4419,0 662 0
    1353 DESIGN @f@a@d_main
    1354 VIEW struct.bd
    1355 GRAPHIC 4671,0 663 0
    1356 DESIGN @f@a@d_main
    1357 VIEW struct.bd
    1358 GRAPHIC 4679,0 664 0
    1359 DESIGN @f@a@d_main
    1360 VIEW struct.bd
    1361 GRAPHIC 4687,0 665 0
    1362 DESIGN @f@a@d_main
    1363 VIEW struct.bd
    1364 GRAPHIC 4695,0 666 0
    1365 DESIGN @f@a@d_main
    1366 VIEW struct.bd
    1367 GRAPHIC 4407,0 667 0
    1368 DESIGN @f@a@d_main
    1369 VIEW struct.bd
    1370 GRAPHIC 4743,0 668 0
    1371 DESIGN @f@a@d_main
    1372 VIEW struct.bd
    1373 GRAPHIC 10298,0 669 0
    1374 DESIGN @f@a@d_main
    1375 VIEW struct.bd
    1376 GRAPHIC 10310,0 670 0
    1377 DESIGN @f@a@d_main
    1378 VIEW struct.bd
    1379 GRAPHIC 10304,0 671 0
    1380 DESIGN @f@a@d_main
    1381 VIEW struct.bd
    1382 GRAPHIC 10316,0 672 0
    1383 DESIGN @f@a@d_main
    1384 VIEW struct.bd
    1385 GRAPHIC 10322,0 673 0
    1386 DESIGN @f@a@d_main
    1387 VIEW struct.bd
    1388 GRAPHIC 4948,0 674 0
    1389 DESIGN @f@a@d_main
    1390 VIEW struct.bd
    1391 GRAPHIC 10010,0 675 0
    1392 DESIGN @f@a@d_main
    1393 VIEW struct.bd
    1394 GRAPHIC 11209,0 677 0
    1395 DESIGN @f@a@d_main
    1396 VIEW struct.bd
    1397 GRAPHIC 11216,0 678 1
    1398 DESIGN @f@a@d_main
    1399 VIEW struct.bd
    1400 GRAPHIC 10699,0 684 0
    1401 DESIGN @f@a@d_main
    1402 VIEW struct.bd
    1403 GRAPHIC 10723,0 685 0
    1404 DESIGN @f@a@d_main
    1405 VIEW struct.bd
    1406 GRAPHIC 10737,0 686 0
    1407 DESIGN @f@a@d_main
    1408 VIEW struct.bd
    1409 GRAPHIC 10751,0 687 0
    1410 DESIGN @f@a@d_main
    1411 VIEW struct.bd
    1412 GRAPHIC 12707,0 688 0
    1413 DESIGN @f@a@d_main
    1414 VIEW struct.bd
    1415 GRAPHIC 10707,0 689 0
    1416 DESIGN @f@a@d_main
    1417 VIEW struct.bd
    1418 GRAPHIC 10685,0 690 0
    1419 DESIGN @f@a@d_main
    1420 VIEW struct.bd
    1421 GRAPHIC 10691,0 691 0
    1422 DESIGN @f@a@d_main
    1423 VIEW struct.bd
    1424 GRAPHIC 2311,0 693 0
    1425 DESIGN @f@a@d_main
    1426 VIEW struct.bd
    1427 GRAPHIC 2318,0 694 1
    1428 DESIGN @f@a@d_main
    1429 VIEW struct.bd
    1430 GRAPHIC 6082,0 699 0
    1431 DESIGN @f@a@d_main
    1432 VIEW struct.bd
    1433 GRAPHIC 2588,0 700 0
    1434 DESIGN @f@a@d_main
    1435 VIEW struct.bd
    1436 GRAPHIC 2582,0 701 0
    1437 DESIGN @f@a@d_main
    1438 VIEW struct.bd
    1439 GRAPHIC 10467,0 702 0
    1440 DESIGN @f@a@d_main
    1441 VIEW struct.bd
    1442 GRAPHIC 5168,0 703 0
    1443 DESIGN @f@a@d_main
    1444 VIEW struct.bd
    1445 GRAPHIC 2576,0 704 0
    1446 DESIGN @f@a@d_main
    1447 VIEW struct.bd
    1448 GRAPHIC 2594,0 705 0
    1449 DESIGN @f@a@d_main
    1450 VIEW struct.bd
    1451 GRAPHIC 6018,0 706 0
    1452 DESIGN @f@a@d_main
    1453 VIEW struct.bd
    1454 GRAPHIC 2600,0 707 0
    1455 DESIGN @f@a@d_main
    1456 VIEW struct.bd
    1457 GRAPHIC 2642,0 708 0
    1458 DESIGN @f@a@d_main
    1459 VIEW struct.bd
    1460 GRAPHIC 2488,0 709 0
    1461 DESIGN @f@a@d_main
    1462 VIEW struct.bd
    1463 GRAPHIC 2482,0 710 0
    1464 DESIGN @f@a@d_main
    1465 VIEW struct.bd
    1466 GRAPHIC 2494,0 711 0
    1467 DESIGN @f@a@d_main
    1468 VIEW struct.bd
    1469 GRAPHIC 2476,0 712 0
    1470 DESIGN @f@a@d_main
    1471 VIEW struct.bd
    1472 GRAPHIC 2506,0 713 0
    1473 DESIGN @f@a@d_main
    1474 VIEW struct.bd
    1475 GRAPHIC 2500,0 714 0
    1476 DESIGN @f@a@d_main
    1477 VIEW struct.bd
    1478 GRAPHIC 2470,0 715 0
    1479 DESIGN @f@a@d_main
    1480 VIEW struct.bd
    1481 GRAPHIC 8416,0 716 0
    1482 DESIGN @f@a@d_main
    1483 VIEW struct.bd
    1484 GRAPHIC 2299,0 717 0
    1485 DESIGN @f@a@d_main
    1486 VIEW struct.bd
    1487 GRAPHIC 5793,0 719 0
    1488 DESIGN @f@a@d_main
    1489 VIEW struct.bd
    1490 GRAPHIC 5805,0 721 0
    1491 DESIGN @f@a@d_main
    1492 VIEW struct.bd
    1493 GRAPHIC 5745,0 722 0
    1494 DESIGN @f@a@d_main
    1495 VIEW struct.bd
    1496 GRAPHIC 5146,0 723 0
    1497 DESIGN @f@a@d_main
    1498 VIEW struct.bd
    1499 GRAPHIC 5404,0 724 0
    1500 DESIGN @f@a@d_main
    1501 VIEW struct.bd
    1502 GRAPHIC 6008,0 725 0
    1503 DESIGN @f@a@d_main
    1504 VIEW struct.bd
    1505 GRAPHIC 5829,0 726 0
    1506 DESIGN @f@a@d_main
    1507 VIEW struct.bd
    1508 GRAPHIC 6160,0 727 0
    1509 DESIGN @f@a@d_main
    1510 VIEW struct.bd
    1511 GRAPHIC 8732,0 728 0
    1512 DESIGN @f@a@d_main
    1513 VIEW struct.bd
    1514 GRAPHIC 5480,0 729 0
    1515 DESIGN @f@a@d_main
    1516 VIEW struct.bd
    1517 GRAPHIC 5837,0 730 0
    1518 DESIGN @f@a@d_main
    1519 VIEW struct.bd
    1520 GRAPHIC 5474,0 731 0
    1521 DESIGN @f@a@d_main
    1522 VIEW struct.bd
    1523 GRAPHIC 5821,0 732 0
    1524 DESIGN @f@a@d_main
    1525 VIEW struct.bd
    1526 GRAPHIC 1768,0 734 0
    1527 DESIGN @f@a@d_main
    1528 VIEW struct.bd
    1529 GRAPHIC 1983,0 736 0
    1530 DESIGN @f@a@d_main
    1531 VIEW struct.bd
    1532 GRAPHIC 10439,0 737 0
    1533 DESIGN @f@a@d_main
    1534 VIEW struct.bd
    1535 GRAPHIC 6276,0 738 0
    1536 DESIGN @f@a@d_main
    1537 VIEW struct.bd
    1538 GRAPHIC 12625,0 740 0
    1539 DESIGN @f@a@d_main
    1540 VIEW struct.bd
    1541 GRAPHIC 12687,0 742 0
    1542 DESIGN @f@a@d_main
    1543 VIEW struct.bd
    1544 GRAPHIC 12643,0 743 0
    1545 DESIGN @f@a@d_main
    1546 VIEW struct.bd
    1547 GRAPHIC 12635,0 744 0
    1548 DESIGN @f@a@d_main
    1549 VIEW struct.bd
    1550 GRAPHIC 12667,0 745 0
    1551 DESIGN @f@a@d_main
    1552 VIEW struct.bd
    1553 GRAPHIC 12649,0 746 0
    1554 DESIGN @f@a@d_main
    1555 VIEW struct.bd
    1556 GRAPHIC 12655,0 747 0
    1557 DESIGN @f@a@d_main
    1558 VIEW struct.bd
    1559 GRAPHIC 1606,0 749 0
    1560 DESIGN @f@a@d_main
    1561 VIEW struct.bd
    1562 GRAPHIC 1613,0 750 1
    1563 DESIGN @f@a@d_main
    1564 VIEW struct.bd
    1565 GRAPHIC 3888,0 754 0
    1566 DESIGN @f@a@d_main
    1567 VIEW struct.bd
    1568 GRAPHIC 376,0 755 0
    1569 DESIGN @f@a@d_main
    1570 VIEW struct.bd
    1571 GRAPHIC 384,0 756 0
    1572 DESIGN @f@a@d_main
    1573 VIEW struct.bd
    1574 GRAPHIC 392,0 757 0
    1575 DESIGN @f@a@d_main
    1576 VIEW struct.bd
    1577 GRAPHIC 400,0 758 0
    1578 DESIGN @f@a@d_main
    1579 VIEW struct.bd
    1580 GRAPHIC 408,0 759 0
    1581 DESIGN @f@a@d_main
    1582 VIEW struct.bd
    1583 GRAPHIC 5222,0 760 0
    1584 DESIGN @f@a@d_main
    1585 VIEW struct.bd
    1586 GRAPHIC 424,0 761 0
    1587 DESIGN @f@a@d_main
    1588 VIEW struct.bd
    1589 GRAPHIC 432,0 762 0
    1590 DESIGN @f@a@d_main
    1591 VIEW struct.bd
    1592 GRAPHIC 2482,0 763 0
    1593 DESIGN @f@a@d_main
    1594 VIEW struct.bd
    1595 GRAPHIC 2488,0 764 0
    1596 DESIGN @f@a@d_main
    1597 VIEW struct.bd
    1598 GRAPHIC 370,0 765 0
    1599 DESIGN @f@a@d_main
    1600 VIEW struct.bd
    1601 GRAPHIC 364,0 766 0
    1602 DESIGN @f@a@d_main
    1603 VIEW struct.bd
    1604 GRAPHIC 2476,0 767 0
    1605 DESIGN @f@a@d_main
    1606 VIEW struct.bd
    1607 GRAPHIC 8416,0 768 0
    1608 DESIGN @f@a@d_main
    1609 VIEW struct.bd
    1610 GRAPHIC 2470,0 769 0
    1611 DESIGN @f@a@d_main
    1612 VIEW struct.bd
    1613 GRAPHIC 2506,0 770 0
    1614 DESIGN @f@a@d_main
    1615 VIEW struct.bd
    1616 GRAPHIC 2500,0 771 0
    1617 DESIGN @f@a@d_main
    1618 VIEW struct.bd
    1619 GRAPHIC 2494,0 772 0
    1620 DESIGN @f@a@d_main
    1621 VIEW struct.bd
    1622 GRAPHIC 10266,0 773 0
    1623 DESIGN @f@a@d_main
    1624 VIEW struct.bd
    1625 GRAPHIC 13159,0 774 0
    1626 DESIGN @f@a@d_main
    1627 VIEW struct.bd
    1628 GRAPHIC 13165,0 775 0
    1629 DESIGN @f@a@d_main
    1630 VIEW struct.bd
    1631 GRAPHIC 5950,0 776 0
    1632 DESIGN @f@a@d_main
    1633 VIEW struct.bd
    1634 GRAPHIC 5962,0 777 0
    1635 DESIGN @f@a@d_main
    1636 VIEW struct.bd
    1637 GRAPHIC 5090,0 778 0
    1638 DESIGN @f@a@d_main
    1639 VIEW struct.bd
    1640 GRAPHIC 5114,0 779 0
    1641 DESIGN @f@a@d_main
    1642 VIEW struct.bd
    1643 GRAPHIC 5122,0 780 0
    1644 DESIGN @f@a@d_main
    1645 VIEW struct.bd
    1646 GRAPHIC 5130,0 781 0
    1647 DESIGN @f@a@d_main
    1648 VIEW struct.bd
    1649 GRAPHIC 10194,0 782 0
    1650 DESIGN @f@a@d_main
    1651 VIEW struct.bd
    1652 GRAPHIC 10202,0 783 0
    1653 DESIGN @f@a@d_main
    1654 VIEW struct.bd
    1655 GRAPHIC 5106,0 784 0
    1656 DESIGN @f@a@d_main
    1657 VIEW struct.bd
    1658 GRAPHIC 6362,0 785 0
    1659 DESIGN @f@a@d_main
    1660 VIEW struct.bd
    1661 GRAPHIC 6452,0 786 0
    1662 DESIGN @f@a@d_main
    1663 VIEW struct.bd
    1664 GRAPHIC 8752,0 787 0
    1665 DESIGN @f@a@d_main
    1666 VIEW struct.bd
    1667 GRAPHIC 9233,0 788 0
    1668 DESIGN @f@a@d_main
    1669 VIEW struct.bd
    1670 GRAPHIC 9241,0 789 0
    1671 DESIGN @f@a@d_main
    1672 VIEW struct.bd
    1673 GRAPHIC 9943,0 790 0
    1674 DESIGN @f@a@d_main
    1675 VIEW struct.bd
    1676 GRAPHIC 9951,0 791 0
    1677 DESIGN @f@a@d_main
    1678 VIEW struct.bd
    1679 GRAPHIC 11858,0 792 0
    1680 DESIGN @f@a@d_main
    1681 VIEW struct.bd
    1682 GRAPHIC 10637,0 793 0
    1683 DESIGN @f@a@d_main
    1684 VIEW struct.bd
    1685 GRAPHIC 10629,0 794 0
    1686 DESIGN @f@a@d_main
    1687 VIEW struct.bd
    1688 GRAPHIC 6276,0 798 0
    1689 DESIGN @f@a@d_main
    1690 VIEW struct.bd
    1691 GRAPHIC 3888,0 799 0
    1692 DESIGN @f@a@d_main
    1693 VIEW struct.bd
    1694 NO_GRAPHIC 801
     980NO_GRAPHIC 485
     981DESIGN @f@a@d_main
     982VIEW struct.bd
     983GRAPHIC 5678,0 488 0
     984DESIGN @f@a@d_main
     985VIEW struct.bd
     986GRAPHIC 9175,0 489 0
     987DESIGN @f@a@d_main
     988VIEW struct.bd
     989GRAPHIC 13117,0 490 0
     990DESIGN @f@a@d_main
     991VIEW struct.bd
     992GRAPHIC 5072,0 491 0
     993DESIGN @f@a@d_main
     994VIEW struct.bd
     995GRAPHIC 8277,0 492 0
     996DESIGN @f@a@d_main
     997VIEW struct.bd
     998GRAPHIC 1399,0 493 0
     999DESIGN @f@a@d_main
     1000VIEW struct.bd
     1001GRAPHIC 4903,0 494 0
     1002DESIGN @f@a@d_main
     1003VIEW struct.bd
     1004GRAPHIC 11209,0 495 0
     1005DESIGN @f@a@d_main
     1006VIEW struct.bd
     1007GRAPHIC 2311,0 496 0
     1008DESIGN @f@a@d_main
     1009VIEW struct.bd
     1010GRAPHIC 5793,0 497 0
     1011DESIGN @f@a@d_main
     1012VIEW struct.bd
     1013GRAPHIC 1768,0 498 0
     1014DESIGN @f@a@d_main
     1015VIEW struct.bd
     1016GRAPHIC 12625,0 499 0
     1017DESIGN @f@a@d_main
     1018VIEW struct.bd
     1019GRAPHIC 1606,0 500 0
     1020DESIGN @f@a@d_main
     1021VIEW struct.bd
     1022NO_GRAPHIC 503
     1023DESIGN @f@a@d_main
     1024VIEW struct.bd
     1025GRAPHIC 6529,0 505 0
     1026DESIGN @f@a@d_main
     1027VIEW struct.bd
     1028GRAPHIC 9957,0 508 0
     1029DESIGN @f@a@d_main
     1030VIEW struct.bd
     1031GRAPHIC 8721,0 511 0
     1032DESIGN @f@a@d_main
     1033VIEW struct.bd
     1034GRAPHIC 12295,0 514 0
     1035DESIGN @f@a@d_main
     1036VIEW struct.bd
     1037GRAPHIC 9472,0 517 0
     1038DESIGN @f@a@d_main
     1039VIEW struct.bd
     1040GRAPHIC 9662,0 520 0
     1041DESIGN @f@a@d_main
     1042VIEW struct.bd
     1043GRAPHIC 9679,0 523 0
     1044DESIGN @f@a@d_main
     1045VIEW struct.bd
     1046GRAPHIC 9710,0 526 0
     1047DESIGN @f@a@d_main
     1048VIEW struct.bd
     1049GRAPHIC 8562,0 529 0
     1050DESIGN @f@a@d_main
     1051VIEW struct.bd
     1052GRAPHIC 10380,0 540 0
     1053DESIGN @f@a@d_main
     1054VIEW struct.bd
     1055GRAPHIC 13266,0 543 0
     1056DESIGN @f@a@d_main
     1057VIEW struct.bd
     1058NO_GRAPHIC 546
     1059DESIGN @f@a@d_main
     1060VIEW struct.bd
     1061GRAPHIC 5678,0 548 0
     1062DESIGN @f@a@d_main
     1063VIEW struct.bd
     1064GRAPHIC 5646,0 550 0
     1065DESIGN @f@a@d_main
     1066VIEW struct.bd
     1067GRAPHIC 4272,0 551 0
     1068DESIGN @f@a@d_main
     1069VIEW struct.bd
     1070GRAPHIC 2786,0 552 0
     1071DESIGN @f@a@d_main
     1072VIEW struct.bd
     1073GRAPHIC 5626,0 553 0
     1074DESIGN @f@a@d_main
     1075VIEW struct.bd
     1076GRAPHIC 5634,0 554 0
     1077DESIGN @f@a@d_main
     1078VIEW struct.bd
     1079GRAPHIC 9175,0 556 0
     1080DESIGN @f@a@d_main
     1081VIEW struct.bd
     1082GRAPHIC 4042,0 558 0
     1083DESIGN @f@a@d_main
     1084VIEW struct.bd
     1085GRAPHIC 10036,0 559 0
     1086DESIGN @f@a@d_main
     1087VIEW struct.bd
     1088GRAPHIC 9253,0 560 0
     1089DESIGN @f@a@d_main
     1090VIEW struct.bd
     1091GRAPHIC 9261,0 561 0
     1092DESIGN @f@a@d_main
     1093VIEW struct.bd
     1094GRAPHIC 6072,0 562 0
     1095DESIGN @f@a@d_main
     1096VIEW struct.bd
     1097GRAPHIC 3984,0 563 0
     1098DESIGN @f@a@d_main
     1099VIEW struct.bd
     1100GRAPHIC 3888,0 564 0
     1101DESIGN @f@a@d_main
     1102VIEW struct.bd
     1103GRAPHIC 9353,0 565 0
     1104DESIGN @f@a@d_main
     1105VIEW struct.bd
     1106GRAPHIC 9269,0 566 0
     1107DESIGN @f@a@d_main
     1108VIEW struct.bd
     1109GRAPHIC 9325,0 567 0
     1110DESIGN @f@a@d_main
     1111VIEW struct.bd
     1112GRAPHIC 9283,0 568 0
     1113DESIGN @f@a@d_main
     1114VIEW struct.bd
     1115GRAPHIC 9297,0 569 0
     1116DESIGN @f@a@d_main
     1117VIEW struct.bd
     1118GRAPHIC 9367,0 570 0
     1119DESIGN @f@a@d_main
     1120VIEW struct.bd
     1121GRAPHIC 9397,0 571 0
     1122DESIGN @f@a@d_main
     1123VIEW struct.bd
     1124GRAPHIC 9382,0 572 0
     1125DESIGN @f@a@d_main
     1126VIEW struct.bd
     1127GRAPHIC 13117,0 574 0
     1128DESIGN @f@a@d_main
     1129VIEW struct.bd
     1130GRAPHIC 13124,0 575 1
     1131DESIGN @f@a@d_main
     1132VIEW struct.bd
     1133GRAPHIC 13143,0 579 0
     1134DESIGN @f@a@d_main
     1135VIEW struct.bd
     1136GRAPHIC 13159,0 580 0
     1137DESIGN @f@a@d_main
     1138VIEW struct.bd
     1139GRAPHIC 13165,0 581 0
     1140DESIGN @f@a@d_main
     1141VIEW struct.bd
     1142GRAPHIC 13210,0 582 0
     1143DESIGN @f@a@d_main
     1144VIEW struct.bd
     1145GRAPHIC 5072,0 584 0
     1146DESIGN @f@a@d_main
     1147VIEW struct.bd
     1148GRAPHIC 5582,0 586 0
     1149DESIGN @f@a@d_main
     1150VIEW struct.bd
     1151GRAPHIC 5090,0 587 0
     1152DESIGN @f@a@d_main
     1153VIEW struct.bd
     1154GRAPHIC 5130,0 588 0
     1155DESIGN @f@a@d_main
     1156VIEW struct.bd
     1157GRAPHIC 5184,0 589 0
     1158DESIGN @f@a@d_main
     1159VIEW struct.bd
     1160GRAPHIC 5122,0 590 0
     1161DESIGN @f@a@d_main
     1162VIEW struct.bd
     1163GRAPHIC 5106,0 591 0
     1164DESIGN @f@a@d_main
     1165VIEW struct.bd
     1166GRAPHIC 5098,0 592 0
     1167DESIGN @f@a@d_main
     1168VIEW struct.bd
     1169GRAPHIC 5190,0 593 0
     1170DESIGN @f@a@d_main
     1171VIEW struct.bd
     1172GRAPHIC 10194,0 594 0
     1173DESIGN @f@a@d_main
     1174VIEW struct.bd
     1175GRAPHIC 10202,0 595 0
     1176DESIGN @f@a@d_main
     1177VIEW struct.bd
     1178GRAPHIC 6002,0 596 0
     1179DESIGN @f@a@d_main
     1180VIEW struct.bd
     1181GRAPHIC 5146,0 597 0
     1182DESIGN @f@a@d_main
     1183VIEW struct.bd
     1184GRAPHIC 5138,0 598 0
     1185DESIGN @f@a@d_main
     1186VIEW struct.bd
     1187GRAPHIC 5114,0 599 0
     1188DESIGN @f@a@d_main
     1189VIEW struct.bd
     1190GRAPHIC 8277,0 601 0
     1191DESIGN @f@a@d_main
     1192VIEW struct.bd
     1193GRAPHIC 5602,0 603 0
     1194DESIGN @f@a@d_main
     1195VIEW struct.bd
     1196GRAPHIC 334,0 604 0
     1197DESIGN @f@a@d_main
     1198VIEW struct.bd
     1199GRAPHIC 328,0 605 0
     1200DESIGN @f@a@d_main
     1201VIEW struct.bd
     1202GRAPHIC 322,0 606 0
     1203DESIGN @f@a@d_main
     1204VIEW struct.bd
     1205GRAPHIC 4240,0 607 0
     1206DESIGN @f@a@d_main
     1207VIEW struct.bd
     1208GRAPHIC 364,0 608 0
     1209DESIGN @f@a@d_main
     1210VIEW struct.bd
     1211GRAPHIC 370,0 609 0
     1212DESIGN @f@a@d_main
     1213VIEW struct.bd
     1214GRAPHIC 1399,0 611 0
     1215DESIGN @f@a@d_main
     1216VIEW struct.bd
     1217GRAPHIC 1406,0 612 1
     1218DESIGN @f@a@d_main
     1219VIEW struct.bd
     1220GRAPHIC 5602,0 616 0
     1221DESIGN @f@a@d_main
     1222VIEW struct.bd
     1223GRAPHIC 334,0 617 0
     1224DESIGN @f@a@d_main
     1225VIEW struct.bd
     1226GRAPHIC 328,0 618 0
     1227DESIGN @f@a@d_main
     1228VIEW struct.bd
     1229GRAPHIC 322,0 619 0
     1230DESIGN @f@a@d_main
     1231VIEW struct.bd
     1232GRAPHIC 2299,0 620 0
     1233DESIGN @f@a@d_main
     1234VIEW struct.bd
     1235GRAPHIC 2576,0 621 0
     1236DESIGN @f@a@d_main
     1237VIEW struct.bd
     1238GRAPHIC 2582,0 622 0
     1239DESIGN @f@a@d_main
     1240VIEW struct.bd
     1241GRAPHIC 10467,0 623 0
     1242DESIGN @f@a@d_main
     1243VIEW struct.bd
     1244GRAPHIC 2588,0 624 0
     1245DESIGN @f@a@d_main
     1246VIEW struct.bd
     1247GRAPHIC 5184,0 625 0
     1248DESIGN @f@a@d_main
     1249VIEW struct.bd
     1250GRAPHIC 5745,0 626 0
     1251DESIGN @f@a@d_main
     1252VIEW struct.bd
     1253GRAPHIC 2594,0 627 0
     1254DESIGN @f@a@d_main
     1255VIEW struct.bd
     1256GRAPHIC 5190,0 628 0
     1257DESIGN @f@a@d_main
     1258VIEW struct.bd
     1259GRAPHIC 5404,0 629 0
     1260DESIGN @f@a@d_main
     1261VIEW struct.bd
     1262GRAPHIC 6018,0 630 0
     1263DESIGN @f@a@d_main
     1264VIEW struct.bd
     1265GRAPHIC 6002,0 631 0
     1266DESIGN @f@a@d_main
     1267VIEW struct.bd
     1268GRAPHIC 6008,0 632 0
     1269DESIGN @f@a@d_main
     1270VIEW struct.bd
     1271GRAPHIC 5138,0 633 0
     1272DESIGN @f@a@d_main
     1273VIEW struct.bd
     1274GRAPHIC 2600,0 634 0
     1275DESIGN @f@a@d_main
     1276VIEW struct.bd
     1277GRAPHIC 5480,0 635 0
     1278DESIGN @f@a@d_main
     1279VIEW struct.bd
     1280GRAPHIC 5474,0 636 0
     1281DESIGN @f@a@d_main
     1282VIEW struct.bd
     1283GRAPHIC 6064,0 637 0
     1284DESIGN @f@a@d_main
     1285VIEW struct.bd
     1286GRAPHIC 2642,0 638 0
     1287DESIGN @f@a@d_main
     1288VIEW struct.bd
     1289GRAPHIC 1411,0 639 0
     1290DESIGN @f@a@d_main
     1291VIEW struct.bd
     1292GRAPHIC 1682,0 640 0
     1293DESIGN @f@a@d_main
     1294VIEW struct.bd
     1295GRAPHIC 1983,0 641 0
     1296DESIGN @f@a@d_main
     1297VIEW struct.bd
     1298GRAPHIC 10439,0 642 0
     1299DESIGN @f@a@d_main
     1300VIEW struct.bd
     1301GRAPHIC 5950,0 643 0
     1302DESIGN @f@a@d_main
     1303VIEW struct.bd
     1304GRAPHIC 5962,0 644 0
     1305DESIGN @f@a@d_main
     1306VIEW struct.bd
     1307GRAPHIC 5626,0 645 0
     1308DESIGN @f@a@d_main
     1309VIEW struct.bd
     1310GRAPHIC 2778,0 646 0
     1311DESIGN @f@a@d_main
     1312VIEW struct.bd
     1313GRAPHIC 9006,0 647 0
     1314DESIGN @f@a@d_main
     1315VIEW struct.bd
     1316GRAPHIC 5634,0 648 0
     1317DESIGN @f@a@d_main
     1318VIEW struct.bd
     1319GRAPHIC 8577,0 649 0
     1320DESIGN @f@a@d_main
     1321VIEW struct.bd
     1322GRAPHIC 12649,0 650 0
     1323DESIGN @f@a@d_main
     1324VIEW struct.bd
     1325GRAPHIC 12655,0 651 0
     1326DESIGN @f@a@d_main
     1327VIEW struct.bd
     1328GRAPHIC 4401,0 652 0
     1329DESIGN @f@a@d_main
     1330VIEW struct.bd
     1331GRAPHIC 4419,0 653 0
     1332DESIGN @f@a@d_main
     1333VIEW struct.bd
     1334GRAPHIC 10298,0 654 0
     1335DESIGN @f@a@d_main
     1336VIEW struct.bd
     1337GRAPHIC 10304,0 655 0
     1338DESIGN @f@a@d_main
     1339VIEW struct.bd
     1340GRAPHIC 10316,0 656 0
     1341DESIGN @f@a@d_main
     1342VIEW struct.bd
     1343GRAPHIC 10310,0 657 0
     1344DESIGN @f@a@d_main
     1345VIEW struct.bd
     1346GRAPHIC 4743,0 658 0
     1347DESIGN @f@a@d_main
     1348VIEW struct.bd
     1349GRAPHIC 4407,0 659 0
     1350DESIGN @f@a@d_main
     1351VIEW struct.bd
     1352GRAPHIC 11405,0 660 0
     1353DESIGN @f@a@d_main
     1354VIEW struct.bd
     1355GRAPHIC 4903,0 662 0
     1356DESIGN @f@a@d_main
     1357VIEW struct.bd
     1358GRAPHIC 4757,0 664 0
     1359DESIGN @f@a@d_main
     1360VIEW struct.bd
     1361GRAPHIC 4401,0 665 0
     1362DESIGN @f@a@d_main
     1363VIEW struct.bd
     1364GRAPHIC 4419,0 666 0
     1365DESIGN @f@a@d_main
     1366VIEW struct.bd
     1367GRAPHIC 4671,0 667 0
     1368DESIGN @f@a@d_main
     1369VIEW struct.bd
     1370GRAPHIC 4679,0 668 0
     1371DESIGN @f@a@d_main
     1372VIEW struct.bd
     1373GRAPHIC 4687,0 669 0
     1374DESIGN @f@a@d_main
     1375VIEW struct.bd
     1376GRAPHIC 4695,0 670 0
     1377DESIGN @f@a@d_main
     1378VIEW struct.bd
     1379GRAPHIC 4407,0 671 0
     1380DESIGN @f@a@d_main
     1381VIEW struct.bd
     1382GRAPHIC 4743,0 672 0
     1383DESIGN @f@a@d_main
     1384VIEW struct.bd
     1385GRAPHIC 10298,0 673 0
     1386DESIGN @f@a@d_main
     1387VIEW struct.bd
     1388GRAPHIC 10310,0 674 0
     1389DESIGN @f@a@d_main
     1390VIEW struct.bd
     1391GRAPHIC 10304,0 675 0
     1392DESIGN @f@a@d_main
     1393VIEW struct.bd
     1394GRAPHIC 10316,0 676 0
     1395DESIGN @f@a@d_main
     1396VIEW struct.bd
     1397GRAPHIC 10322,0 677 0
     1398DESIGN @f@a@d_main
     1399VIEW struct.bd
     1400GRAPHIC 4948,0 678 0
     1401DESIGN @f@a@d_main
     1402VIEW struct.bd
     1403GRAPHIC 10010,0 679 0
     1404DESIGN @f@a@d_main
     1405VIEW struct.bd
     1406GRAPHIC 11209,0 681 0
     1407DESIGN @f@a@d_main
     1408VIEW struct.bd
     1409GRAPHIC 11216,0 682 1
     1410DESIGN @f@a@d_main
     1411VIEW struct.bd
     1412GRAPHIC 10699,0 688 0
     1413DESIGN @f@a@d_main
     1414VIEW struct.bd
     1415GRAPHIC 10723,0 689 0
     1416DESIGN @f@a@d_main
     1417VIEW struct.bd
     1418GRAPHIC 10737,0 690 0
     1419DESIGN @f@a@d_main
     1420VIEW struct.bd
     1421GRAPHIC 10751,0 691 0
     1422DESIGN @f@a@d_main
     1423VIEW struct.bd
     1424GRAPHIC 12707,0 692 0
     1425DESIGN @f@a@d_main
     1426VIEW struct.bd
     1427GRAPHIC 10707,0 693 0
     1428DESIGN @f@a@d_main
     1429VIEW struct.bd
     1430GRAPHIC 10685,0 694 0
     1431DESIGN @f@a@d_main
     1432VIEW struct.bd
     1433GRAPHIC 10691,0 695 0
     1434DESIGN @f@a@d_main
     1435VIEW struct.bd
     1436GRAPHIC 2311,0 697 0
     1437DESIGN @f@a@d_main
     1438VIEW struct.bd
     1439GRAPHIC 2318,0 698 1
     1440DESIGN @f@a@d_main
     1441VIEW struct.bd
     1442GRAPHIC 6082,0 703 0
     1443DESIGN @f@a@d_main
     1444VIEW struct.bd
     1445GRAPHIC 2588,0 704 0
     1446DESIGN @f@a@d_main
     1447VIEW struct.bd
     1448GRAPHIC 2582,0 705 0
     1449DESIGN @f@a@d_main
     1450VIEW struct.bd
     1451GRAPHIC 10467,0 706 0
     1452DESIGN @f@a@d_main
     1453VIEW struct.bd
     1454GRAPHIC 5168,0 707 0
     1455DESIGN @f@a@d_main
     1456VIEW struct.bd
     1457GRAPHIC 2576,0 708 0
     1458DESIGN @f@a@d_main
     1459VIEW struct.bd
     1460GRAPHIC 2594,0 709 0
     1461DESIGN @f@a@d_main
     1462VIEW struct.bd
     1463GRAPHIC 6018,0 710 0
     1464DESIGN @f@a@d_main
     1465VIEW struct.bd
     1466GRAPHIC 2600,0 711 0
     1467DESIGN @f@a@d_main
     1468VIEW struct.bd
     1469GRAPHIC 2642,0 712 0
     1470DESIGN @f@a@d_main
     1471VIEW struct.bd
     1472GRAPHIC 2488,0 713 0
     1473DESIGN @f@a@d_main
     1474VIEW struct.bd
     1475GRAPHIC 2482,0 714 0
     1476DESIGN @f@a@d_main
     1477VIEW struct.bd
     1478GRAPHIC 2494,0 715 0
     1479DESIGN @f@a@d_main
     1480VIEW struct.bd
     1481GRAPHIC 2476,0 716 0
     1482DESIGN @f@a@d_main
     1483VIEW struct.bd
     1484GRAPHIC 2506,0 717 0
     1485DESIGN @f@a@d_main
     1486VIEW struct.bd
     1487GRAPHIC 2500,0 718 0
     1488DESIGN @f@a@d_main
     1489VIEW struct.bd
     1490GRAPHIC 2470,0 719 0
     1491DESIGN @f@a@d_main
     1492VIEW struct.bd
     1493GRAPHIC 8416,0 720 0
     1494DESIGN @f@a@d_main
     1495VIEW struct.bd
     1496GRAPHIC 2299,0 721 0
     1497DESIGN @f@a@d_main
     1498VIEW struct.bd
     1499GRAPHIC 5793,0 723 0
     1500DESIGN @f@a@d_main
     1501VIEW struct.bd
     1502GRAPHIC 5805,0 725 0
     1503DESIGN @f@a@d_main
     1504VIEW struct.bd
     1505GRAPHIC 5745,0 726 0
     1506DESIGN @f@a@d_main
     1507VIEW struct.bd
     1508GRAPHIC 5146,0 727 0
     1509DESIGN @f@a@d_main
     1510VIEW struct.bd
     1511GRAPHIC 5404,0 728 0
     1512DESIGN @f@a@d_main
     1513VIEW struct.bd
     1514GRAPHIC 6008,0 729 0
     1515DESIGN @f@a@d_main
     1516VIEW struct.bd
     1517GRAPHIC 5829,0 730 0
     1518DESIGN @f@a@d_main
     1519VIEW struct.bd
     1520GRAPHIC 6160,0 731 0
     1521DESIGN @f@a@d_main
     1522VIEW struct.bd
     1523GRAPHIC 8732,0 732 0
     1524DESIGN @f@a@d_main
     1525VIEW struct.bd
     1526GRAPHIC 5480,0 733 0
     1527DESIGN @f@a@d_main
     1528VIEW struct.bd
     1529GRAPHIC 5837,0 734 0
     1530DESIGN @f@a@d_main
     1531VIEW struct.bd
     1532GRAPHIC 5474,0 735 0
     1533DESIGN @f@a@d_main
     1534VIEW struct.bd
     1535GRAPHIC 5821,0 736 0
     1536DESIGN @f@a@d_main
     1537VIEW struct.bd
     1538GRAPHIC 1768,0 738 0
     1539DESIGN @f@a@d_main
     1540VIEW struct.bd
     1541GRAPHIC 1983,0 740 0
     1542DESIGN @f@a@d_main
     1543VIEW struct.bd
     1544GRAPHIC 10439,0 741 0
     1545DESIGN @f@a@d_main
     1546VIEW struct.bd
     1547GRAPHIC 6276,0 742 0
     1548DESIGN @f@a@d_main
     1549VIEW struct.bd
     1550GRAPHIC 12625,0 744 0
     1551DESIGN @f@a@d_main
     1552VIEW struct.bd
     1553GRAPHIC 12687,0 746 0
     1554DESIGN @f@a@d_main
     1555VIEW struct.bd
     1556GRAPHIC 12643,0 747 0
     1557DESIGN @f@a@d_main
     1558VIEW struct.bd
     1559GRAPHIC 12635,0 748 0
     1560DESIGN @f@a@d_main
     1561VIEW struct.bd
     1562GRAPHIC 12667,0 749 0
     1563DESIGN @f@a@d_main
     1564VIEW struct.bd
     1565GRAPHIC 12649,0 750 0
     1566DESIGN @f@a@d_main
     1567VIEW struct.bd
     1568GRAPHIC 12655,0 751 0
     1569DESIGN @f@a@d_main
     1570VIEW struct.bd
     1571GRAPHIC 1606,0 753 0
     1572DESIGN @f@a@d_main
     1573VIEW struct.bd
     1574GRAPHIC 1613,0 754 1
     1575DESIGN @f@a@d_main
     1576VIEW struct.bd
     1577GRAPHIC 3888,0 758 0
     1578DESIGN @f@a@d_main
     1579VIEW struct.bd
     1580GRAPHIC 376,0 759 0
     1581DESIGN @f@a@d_main
     1582VIEW struct.bd
     1583GRAPHIC 384,0 760 0
     1584DESIGN @f@a@d_main
     1585VIEW struct.bd
     1586GRAPHIC 392,0 761 0
     1587DESIGN @f@a@d_main
     1588VIEW struct.bd
     1589GRAPHIC 400,0 762 0
     1590DESIGN @f@a@d_main
     1591VIEW struct.bd
     1592GRAPHIC 408,0 763 0
     1593DESIGN @f@a@d_main
     1594VIEW struct.bd
     1595GRAPHIC 5222,0 764 0
     1596DESIGN @f@a@d_main
     1597VIEW struct.bd
     1598GRAPHIC 424,0 765 0
     1599DESIGN @f@a@d_main
     1600VIEW struct.bd
     1601GRAPHIC 432,0 766 0
     1602DESIGN @f@a@d_main
     1603VIEW struct.bd
     1604GRAPHIC 2482,0 767 0
     1605DESIGN @f@a@d_main
     1606VIEW struct.bd
     1607GRAPHIC 2488,0 768 0
     1608DESIGN @f@a@d_main
     1609VIEW struct.bd
     1610GRAPHIC 370,0 769 0
     1611DESIGN @f@a@d_main
     1612VIEW struct.bd
     1613GRAPHIC 364,0 770 0
     1614DESIGN @f@a@d_main
     1615VIEW struct.bd
     1616GRAPHIC 2476,0 771 0
     1617DESIGN @f@a@d_main
     1618VIEW struct.bd
     1619GRAPHIC 8416,0 772 0
     1620DESIGN @f@a@d_main
     1621VIEW struct.bd
     1622GRAPHIC 2470,0 773 0
     1623DESIGN @f@a@d_main
     1624VIEW struct.bd
     1625GRAPHIC 2506,0 774 0
     1626DESIGN @f@a@d_main
     1627VIEW struct.bd
     1628GRAPHIC 2500,0 775 0
     1629DESIGN @f@a@d_main
     1630VIEW struct.bd
     1631GRAPHIC 2494,0 776 0
     1632DESIGN @f@a@d_main
     1633VIEW struct.bd
     1634GRAPHIC 10266,0 777 0
     1635DESIGN @f@a@d_main
     1636VIEW struct.bd
     1637GRAPHIC 13159,0 778 0
     1638DESIGN @f@a@d_main
     1639VIEW struct.bd
     1640GRAPHIC 13165,0 779 0
     1641DESIGN @f@a@d_main
     1642VIEW struct.bd
     1643GRAPHIC 5950,0 780 0
     1644DESIGN @f@a@d_main
     1645VIEW struct.bd
     1646GRAPHIC 5962,0 781 0
     1647DESIGN @f@a@d_main
     1648VIEW struct.bd
     1649GRAPHIC 5090,0 782 0
     1650DESIGN @f@a@d_main
     1651VIEW struct.bd
     1652GRAPHIC 5114,0 783 0
     1653DESIGN @f@a@d_main
     1654VIEW struct.bd
     1655GRAPHIC 5122,0 784 0
     1656DESIGN @f@a@d_main
     1657VIEW struct.bd
     1658GRAPHIC 5130,0 785 0
     1659DESIGN @f@a@d_main
     1660VIEW struct.bd
     1661GRAPHIC 10194,0 786 0
     1662DESIGN @f@a@d_main
     1663VIEW struct.bd
     1664GRAPHIC 10202,0 787 0
     1665DESIGN @f@a@d_main
     1666VIEW struct.bd
     1667GRAPHIC 5106,0 788 0
     1668DESIGN @f@a@d_main
     1669VIEW struct.bd
     1670GRAPHIC 13695,0 789 0
     1671DESIGN @f@a@d_main
     1672VIEW struct.bd
     1673GRAPHIC 13921,0 790 0
     1674DESIGN @f@a@d_main
     1675VIEW struct.bd
     1676GRAPHIC 13929,0 791 0
     1677DESIGN @f@a@d_main
     1678VIEW struct.bd
     1679GRAPHIC 6362,0 792 0
     1680DESIGN @f@a@d_main
     1681VIEW struct.bd
     1682GRAPHIC 6452,0 793 0
     1683DESIGN @f@a@d_main
     1684VIEW struct.bd
     1685GRAPHIC 8752,0 794 0
     1686DESIGN @f@a@d_main
     1687VIEW struct.bd
     1688GRAPHIC 9233,0 795 0
     1689DESIGN @f@a@d_main
     1690VIEW struct.bd
     1691GRAPHIC 9241,0 796 0
     1692DESIGN @f@a@d_main
     1693VIEW struct.bd
     1694GRAPHIC 9943,0 797 0
     1695DESIGN @f@a@d_main
     1696VIEW struct.bd
     1697GRAPHIC 9951,0 798 0
     1698DESIGN @f@a@d_main
     1699VIEW struct.bd
     1700GRAPHIC 11858,0 799 0
     1701DESIGN @f@a@d_main
     1702VIEW struct.bd
     1703GRAPHIC 10637,0 800 0
     1704DESIGN @f@a@d_main
     1705VIEW struct.bd
     1706GRAPHIC 10629,0 801 0
     1707DESIGN @f@a@d_main
     1708VIEW struct.bd
     1709GRAPHIC 6276,0 805 0
     1710DESIGN @f@a@d_main
     1711VIEW struct.bd
     1712GRAPHIC 3888,0 806 0
     1713DESIGN @f@a@d_main
     1714VIEW struct.bd
     1715NO_GRAPHIC 808
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd

    r10121 r10123  
    9191number "9"
    9292)
     93(EmbeddedInstance
     94name "eb1"
     95number "7"
     96)
    9397]
    9498libraryRefs [
     
    105109(vvPair
    106110variable "HDLDir"
    107 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     111value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    108112)
    109113(vvPair
    110114variable "HDSDir"
    111 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     115value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    112116)
    113117(vvPair
    114118variable "SideDataDesignDir"
    115 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
     119value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
    116120)
    117121(vvPair
    118122variable "SideDataUserDir"
    119 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
     123value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
    120124)
    121125(vvPair
    122126variable "SourceDir"
    123 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     127value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    124128)
    125129(vvPair
     
    137141(vvPair
    138142variable "d"
    139 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     143value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    140144)
    141145(vvPair
    142146variable "d_logical"
    143 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
     147value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
    144148)
    145149(vvPair
    146150variable "date"
    147 value "26.01.2011"
     151value "27.01.2011"
    148152)
    149153(vvPair
    150154variable "day"
    151 value "Mi"
     155value "Do"
    152156)
    153157(vvPair
    154158variable "day_long"
    155 value "Mittwoch"
     159value "Donnerstag"
    156160)
    157161(vvPair
    158162variable "dd"
    159 value "26"
     163value "27"
    160164)
    161165(vvPair
     
    233237(vvPair
    234238variable "p"
    235 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
     239value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
    236240)
    237241(vvPair
    238242variable "p_logical"
    239 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
     243value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
    240244)
    241245(vvPair
     
    293297(vvPair
    294298variable "time"
    295 value "11:50:58"
     299value "17:58:52"
    296300)
    297301(vvPair
     
    684688n "wiz_reset"
    685689t "std_logic"
    686 o 46
     690o 47
    687691suid 2,0
    688692i "'1'"
     
    723727b "(7 DOWNTO 0)"
    724728posAdd 0
    725 o 35
     729o 36
    726730suid 7,0
    727731i "(OTHERS => '0')"
     
    760764preAdd 0
    761765posAdd 0
    762 o 10
     766o 11
    763767suid 18,0
    764768)
     
    795799n "adc_oeb"
    796800t "std_logic"
    797 o 27
     801o 28
    798802suid 21,0
    799803i "'1'"
     
    833837preAdd 0
    834838posAdd 0
    835 o 8
     839o 9
    836840suid 24,0
    837841)
     
    868872t "std_logic_vector"
    869873b "(1 downto 0)"
    870 o 9
     874o 10
    871875suid 25,0
    872876)
     
    905909t "std_logic_vector"
    906910b "(9 DOWNTO 0)"
    907 o 43
     911o 44
    908912suid 26,0
    909913)
     
    941945n "wiz_cs"
    942946t "std_logic"
    943 o 44
     947o 45
    944948suid 28,0
    945949i "'1'"
     
    979983t "std_logic_vector"
    980984b "(15 DOWNTO 0)"
    981 o 49
     985o 50
    982986suid 27,0
    983987)
     
    10141018n "wiz_int"
    10151019t "std_logic"
    1016 o 11
     1020o 12
    10171021suid 31,0
    10181022)
     
    10501054n "wiz_rd"
    10511055t "std_logic"
    1052 o 45
     1056o 46
    10531057suid 30,0
    10541058i "'1'"
     
    10871091n "wiz_wr"
    10881092t "std_logic"
    1089 o 47
     1093o 48
    10901094suid 29,0
    10911095i "'1'"
     
    11231127n "CLK_25_PS"
    11241128t "std_logic"
    1125 o 15
     1129o 16
    11261130suid 35,0
    11271131)
     
    11581162n "CLK_50"
    11591163t "std_logic"
    1160 o 16
     1164o 17
    11611165suid 37,0
    11621166)
     
    12261230n "adc_data_array"
    12271231t "adc_data_array_type"
    1228 o 6
     1232o 7
    12291233suid 39,0
    12301234)
     
    12611265t "std_logic_vector"
    12621266b "(3 DOWNTO 0)"
    1263 o 7
     1267o 8
    12641268suid 40,0
    12651269)
     
    12971301t "std_logic_vector"
    12981302b "(3 downto 0)"
    1299 o 32
     1303o 33
    13001304suid 48,0
    13011305i "(others => '0')"
     
    13331337n "drs_dwrite"
    13341338t "std_logic"
    1335 o 33
     1339o 34
    13361340suid 49,0
    13371341i "'1'"
     
    13681372n "SROUT_in_0"
    13691373t "std_logic"
    1370 o 2
     1374o 3
    13711375suid 42,0
    13721376)
     
    14021406n "SROUT_in_1"
    14031407t "std_logic"
    1404 o 3
     1408o 4
    14051409suid 43,0
    14061410)
     
    14361440n "SROUT_in_2"
    14371441t "std_logic"
    1438 o 4
     1442o 5
    14391443suid 44,0
    14401444)
     
    14701474n "SROUT_in_3"
    14711475t "std_logic"
    1472 o 5
     1476o 6
    14731477suid 45,0
    14741478)
     
    15051509n "RSRLOAD"
    15061510t "std_logic"
    1507 o 23
     1511o 24
    15081512suid 56,0
    15091513i "'0'"
     
    15411545n "SRCLK"
    15421546t "std_logic"
    1543 o 24
     1547o 25
    15441548suid 57,0
    15451549i "'0'"
     
    15781582n "dac_cs"
    15791583t "std_logic"
    1580 o 30
     1584o 31
    15811585suid 64,0
    15821586)
     
    16141618n "sclk"
    16151619t "std_logic"
    1616 o 40
     1620o 41
    16171621suid 62,0
    16181622)
     
    16511655t "std_logic_vector"
    16521656b "(3 DOWNTO 0)"
    1653 o 41
     1657o 42
    16541658suid 65,0
    16551659)
     
    16891693preAdd 0
    16901694posAdd 0
    1691 o 48
     1695o 49
    16921696suid 63,0
    16931697)
     
    17251729n "mosi"
    17261730t "std_logic"
    1727 o 36
     1731o 37
    17281732suid 66,0
    17291733i "'0'"
     
    17641768eolc "-- default domino wave off"
    17651769posAdd 0
    1766 o 31
     1770o 32
    17671771suid 67,0
    17681772i "'0'"
     
    18001804n "adc_clk_en"
    18011805t "std_logic"
    1802 o 26
     1806o 27
    18031807suid 69,0
    18041808i "'0'"
     
    18391843preAdd 0
    18401844posAdd 0
    1841 o 17
     1845o 18
    18421846suid 76,0
    18431847)
     
    18751879n "LOCKED_extraOUT"
    18761880t "std_logic"
    1877 o 18
     1881o 19
    18781882suid 70,0
    18791883)
     
    19141918preAdd 0
    19151919posAdd 0
    1916 o 37
     1920o 38
    19171921suid 77,0
    19181922i "(OTHERS => '0')"
     
    19511955n "PS_DIR_IN"
    19521956t "std_logic"
    1953 o 22
     1957o 23
    19541958suid 80,0
    19551959)
     
    19871991n "PSCLK_OUT"
    19881992t "std_logic"
    1989 o 19
     1993o 20
    19901994suid 74,0
    19911995)
     
    20232027n "PSDONE_extraOUT"
    20242028t "std_logic"
    2025 o 20
     2029o 21
    20262030suid 71,0
    20272031)
     
    20592063n "PSINCDEC_OUT"
    20602064t "std_logic"
    2061 o 21
     2065o 22
    20622066suid 72,0
    20632067)
     
    20972101preAdd 0
    20982102posAdd 0
    2099 o 38
     2103o 39
    21002104suid 79,0
    21012105i "'0'"
     
    21372141preAdd 0
    21382142posAdd 0
    2139 o 42
     2143o 43
    21402144suid 78,0
    21412145i "'0'"
     
    21742178n "CLK25_OUT"
    21752179t "std_logic"
    2176 o 12
     2180o 13
    21772181suid 83,0
    21782182)
     
    22102214n "CLK25_PSOUT"
    22112215t "std_logic"
    2212 o 13
     2216o 14
    22132217suid 84,0
    22142218)
     
    22462250n "CLK50_OUT"
    22472251t "std_logic"
    2248 o 14
     2252o 15
    22492253suid 82,0
    22502254)
     
    22822286n "SRIN_out"
    22832287t "std_logic"
    2284 o 25
     2288o 26
    22852289suid 85,0
    22862290i "'0'"
     
    23192323n "amber"
    23202324t "std_logic"
    2321 o 29
     2325o 30
    23222326suid 87,0
    23232327)
     
    23552359n "green"
    23562360t "std_logic"
    2357 o 34
     2361o 35
    23582362suid 86,0
    23592363)
     
    23912395n "red"
    23922396t "std_logic"
    2393 o 39
     2397o 40
    23942398suid 88,0
    23952399)
     
    24272431n "additional_flasher_out"
    24282432t "std_logic"
    2429 o 28
     2433o 29
    24302434suid 90,0
     2435)
     2436)
     2437)
     2438*62 (CptPort
     2439uid 14682,0
     2440ps "OnEdgeStrategy"
     2441shape (Triangle
     2442uid 14683,0
     2443ro 90
     2444va (VaSet
     2445vasetType 1
     2446fg "0,65535,0"
     2447)
     2448xt "51250,138625,52000,139375"
     2449)
     2450tg (CPTG
     2451uid 14684,0
     2452ps "CptPortTextPlaceStrategy"
     2453stg "VerticalLayoutStrategy"
     2454f (Text
     2455uid 14685,0
     2456va (VaSet
     2457)
     2458xt "53000,138500,58500,139500"
     2459st "D_T_in : (1:0)"
     2460blo "53000,139300"
     2461)
     2462)
     2463thePort (LogicalPort
     2464decl (Decl
     2465n "D_T_in"
     2466t "std_logic_vector"
     2467b "(1 DOWNTO 0)"
     2468o 2
     2469suid 91,0
    24312470)
    24322471)
     
    24492488stg "VerticalLayoutStrategy"
    24502489textVec [
    2451 *62 (Text
     2490*63 (Text
    24522491uid 172,0
    24532492va (VaSet
     
    24592498tm "BdLibraryNameMgr"
    24602499)
    2461 *63 (Text
     2500*64 (Text
    24622501uid 173,0
    24632502va (VaSet
     
    24692508tm "CptNameMgr"
    24702509)
    2471 *64 (Text
     2510*65 (Text
    24722511uid 174,0
    24732512va (VaSet
     
    25212560archFileType "UNKNOWN"
    25222561)
    2523 *65 (PortIoIn
     2562*66 (PortIoIn
    25242563uid 231,0
    25252564shape (CompositeShape
     
    25662605)
    25672606)
    2568 *66 (PortIoIn
     2607*67 (PortIoIn
    25692608uid 251,0
    25702609shape (CompositeShape
     
    26112650)
    26122651)
    2613 *67 (HdlText
     2652*68 (HdlText
    26142653uid 265,0
    26152654optionalChildren [
    2616 *68 (EmbeddedText
     2655*69 (EmbeddedText
    26172656uid 271,0
    26182657commentText (CommentText
     
    26342673va (VaSet
    26352674)
    2636 xt "32200,83200,39700,86200"
     2675xt "32200,83200,43700,86200"
    26372676st "
    26382677-- hard-wired IDs
    2639 board_id <= \"0101\";
    2640 crate_id <= \"01\";
     2678board_id <= LINE(5 downto 2);
     2679crate_id <= LINE(1 downto 0);
    26412680"
    26422681tm "HdlTextMgr"
     
    26642703stg "VerticalLayoutStrategy"
    26652704textVec [
    2666 *69 (Text
     2705*70 (Text
    26672706uid 268,0
    26682707va (VaSet
     
    26742713tm "HdlTextNameMgr"
    26752714)
    2676 *70 (Text
     2715*71 (Text
    26772716uid 269,0
    26782717va (VaSet
     
    27002739viewiconposition 0
    27012740)
    2702 *71 (Net
     2741*72 (Net
    27032742uid 275,0
    27042743decl (Decl
     
    27162755font "Courier New,8,0"
    27172756)
    2718 xt "39000,62400,67500,63200"
    2719 st "SIGNAL board_id        : std_logic_vector(3 downto 0)
    2720 "
    2721 )
    2722 )
    2723 *72 (Net
     2757xt "39000,63200,67500,64000"
     2758st "SIGNAL board_id        : std_logic_vector(3 downto 0)"
     2759)
     2760)
     2761*73 (Net
    27242762uid 283,0
    27252763decl (Decl
     
    27352773font "Courier New,8,0"
    27362774)
    2737 xt "39000,63200,67500,64000"
    2738 st "SIGNAL crate_id        : std_logic_vector(1 downto 0)
    2739 "
    2740 )
    2741 )
    2742 *73 (PortIoOut
     2775xt "39000,64000,67500,64800"
     2776st "SIGNAL crate_id        : std_logic_vector(1 downto 0)"
     2777)
     2778)
     2779*74 (PortIoOut
    27432780uid 472,0
    27442781shape (CompositeShape
     
    27842821)
    27852822)
    2786 *74 (PortIoOut
     2823*75 (PortIoOut
    27872824uid 478,0
    27882825shape (CompositeShape
     
    28282865)
    28292866)
    2830 *75 (PortIoOut
     2867*76 (PortIoOut
    28312868uid 484,0
    28322869shape (CompositeShape
     
    28722909)
    28732910)
    2874 *76 (PortIoInOut
     2911*77 (PortIoInOut
    28752912uid 490,0
    28762913shape (CompositeShape
     
    29142951)
    29152952)
    2916 *77 (PortIoIn
     2953*78 (PortIoIn
    29172954uid 496,0
    29182955shape (CompositeShape
     
    29582995)
    29592996)
    2960 *78 (PortIoOut
     2997*79 (PortIoOut
    29612998uid 502,0
    29622999shape (CompositeShape
     
    30023039)
    30033040)
    3004 *79 (PortIoOut
     3041*80 (PortIoOut
    30053042uid 508,0
    30063043shape (CompositeShape
     
    30463083)
    30473084)
    3048 *80 (Net
     3085*81 (Net
    30493086uid 1465,0
    30503087decl (Decl
     
    30593096font "Courier New,8,0"
    30603097)
    3061 xt "39000,61600,63000,62400"
    3062 st "SIGNAL adc_data_array  : adc_data_array_type
    3063 "
    3064 )
    3065 )
    3066 *81 (Net
     3098xt "39000,62400,63000,63200"
     3099st "SIGNAL adc_data_array  : adc_data_array_type"
     3100)
     3101)
     3102*82 (Net
    30673103uid 2407,0
    30683104decl (Decl
     
    30783114font "Courier New,8,0"
    30793115)
    3080 xt "39000,37400,67500,38200"
    3081 st "RSRLOAD         : std_logic                    := '0'
    3082 "
    3083 )
    3084 )
    3085 *82 (PortIoOut
     3116xt "39000,38200,67500,39000"
     3117st "RSRLOAD         : std_logic                    := '0'"
     3118)
     3119)
     3120*83 (PortIoOut
    30863121uid 2415,0
    30873122shape (CompositeShape
     
    31283163)
    31293164)
    3130 *83 (Net
     3165*84 (Net
    31313166uid 2421,0
    31323167decl (Decl
     
    31423177font "Courier New,8,0"
    31433178)
    3144 xt "39000,60000,71000,60800"
    3145 st "SIGNAL SRCLK           : std_logic                    := '0'
    3146 "
    3147 )
    3148 )
    3149 *84 (Net
     3179xt "39000,60800,71000,61600"
     3180st "SIGNAL SRCLK           : std_logic                    := '0'"
     3181)
     3182)
     3183*85 (Net
    31503184uid 3019,0
    31513185decl (Decl
     
    31613195font "Courier New,8,0"
    31623196)
    3163 xt "39000,66400,67500,67200"
    3164 st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)
    3165 "
    3166 )
    3167 )
    3168 *85 (Net
     3197xt "39000,68000,67500,68800"
     3198st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)"
     3199)
     3200)
     3201*86 (Net
    31693202uid 3025,0
    31703203decl (Decl
     
    31793212font "Courier New,8,0"
    31803213)
    3181 xt "39000,24600,54000,25400"
    3182 st "DAC_CS          : std_logic
    3183 "
    3184 )
    3185 )
    3186 *86 (PortIoOut
     3214xt "39000,25400,54000,26200"
     3215st "DAC_CS          : std_logic"
     3216)
     3217)
     3218*87 (PortIoOut
    31873219uid 3153,0
    31883220shape (CompositeShape
     
    32293261)
    32303262)
    3231 *87 (Net
     3263*88 (Net
    32323264uid 3216,0
    32333265decl (Decl
     
    32443276font "Courier New,8,0"
    32453277)
    3246 xt "39000,17400,54000,18200"
    3247 st "X_50M           : STD_LOGIC
    3248 "
    3249 )
    3250 )
    3251 *88 (Net
     3278xt "39000,18200,54000,19000"
     3279st "X_50M           : STD_LOGIC"
     3280)
     3281)
     3282*89 (Net
    32523283uid 3226,0
    32533284decl (Decl
     
    32623293font "Courier New,8,0"
    32633294)
    3264 xt "39000,15800,54000,16600"
    3265 st "TRG             : STD_LOGIC
    3266 "
    3267 )
    3268 )
    3269 *89 (HdlText
     3295xt "39000,16600,54000,17400"
     3296st "TRG             : STD_LOGIC"
     3297)
     3298)
     3299*90 (HdlText
    32703300uid 3248,0
    32713301optionalChildren [
    3272 *90 (EmbeddedText
     3302*91 (EmbeddedText
    32733303uid 3254,0
    32743304commentText (CommentText
     
    33223352stg "VerticalLayoutStrategy"
    33233353textVec [
    3324 *91 (Text
     3354*92 (Text
    33253355uid 3251,0
    33263356va (VaSet
     
    33323362tm "HdlTextNameMgr"
    33333363)
    3334 *92 (Text
     3364*93 (Text
    33353365uid 3252,0
    33363366va (VaSet
     
    33583388viewiconposition 0
    33593389)
    3360 *93 (Net
     3390*94 (Net
    33613391uid 3266,0
    33623392decl (Decl
     
    33723402font "Courier New,8,0"
    33733403)
    3374 xt "39000,20600,64000,21400"
    3375 st "A_CLK           : std_logic_vector(3 downto 0)
    3376 "
    3377 )
    3378 )
    3379 *94 (Net
     3404xt "39000,21400,64000,22200"
     3405st "A_CLK           : std_logic_vector(3 downto 0)"
     3406)
     3407)
     3408*95 (Net
    33803409uid 3268,0
    33813410decl (Decl
     
    33903419font "Courier New,8,0"
    33913420)
    3392 xt "39000,52800,57500,53600"
    3393 st "SIGNAL CLK_25_PS       : std_logic
    3394 "
    3395 )
    3396 )
    3397 *95 (PortIoOut
     3421xt "39000,53600,57500,54400"
     3422st "SIGNAL CLK_25_PS       : std_logic"
     3423)
     3424)
     3425*96 (PortIoOut
    33983426uid 3284,0
    33993427shape (CompositeShape
     
    34403468)
    34413469)
    3442 *96 (Net
     3470*97 (Net
    34433471uid 3290,0
    34443472decl (Decl
     
    34553483font "Courier New,8,0"
    34563484)
    3457 xt "39000,31800,54000,32600"
    3458 st "OE_ADC          : STD_LOGIC
    3459 "
    3460 )
    3461 )
    3462 *97 (PortIoIn
     3485xt "39000,32600,54000,33400"
     3486st "OE_ADC          : STD_LOGIC"
     3487)
     3488)
     3489*98 (PortIoIn
    34633490uid 3292,0
    34643491shape (CompositeShape
     
    35053532)
    35063533)
    3507 *98 (Net
     3534*99 (Net
    35083535uid 3298,0
    35093536decl (Decl
     
    35203547)
    35213548xt "39000,7000,64000,7800"
    3522 st "A_OTR           : std_logic_vector(3 DOWNTO 0)
    3523 "
    3524 )
    3525 )
    3526 *99 (HdlText
     3549st "A_OTR           : std_logic_vector(3 DOWNTO 0)"
     3550)
     3551)
     3552*100 (HdlText
    35273553uid 3300,0
    35283554optionalChildren [
    3529 *100 (EmbeddedText
     3555*101 (EmbeddedText
    35303556uid 3306,0
    35313557commentText (CommentText
     
    35793605stg "VerticalLayoutStrategy"
    35803606textVec [
    3581 *101 (Text
     3607*102 (Text
    35823608uid 3303,0
    35833609va (VaSet
     
    35893615tm "HdlTextNameMgr"
    35903616)
    3591 *102 (Text
     3617*103 (Text
    35923618uid 3304,0
    35933619va (VaSet
     
    36153641viewiconposition 0
    36163642)
    3617 *103 (PortIoIn
     3643*104 (PortIoIn
    36183644uid 3310,0
    36193645shape (CompositeShape
     
    36603686)
    36613687)
    3662 *104 (PortIoIn
     3688*105 (PortIoIn
    36633689uid 3332,0
    36643690shape (CompositeShape
     
    37053731)
    37063732)
    3707 *105 (PortIoIn
     3733*106 (PortIoIn
    37083734uid 3338,0
    37093735shape (CompositeShape
     
    37503776)
    37513777)
    3752 *106 (PortIoIn
     3778*107 (PortIoIn
    37533779uid 3344,0
    37543780shape (CompositeShape
     
    37953821)
    37963822)
    3797 *107 (Net
     3823*108 (Net
    37983824uid 3374,0
    37993825decl (Decl
     
    38103836)
    38113837xt "39000,3800,64500,4600"
    3812 st "A0_D            : std_logic_vector(11 DOWNTO 0)
    3813 "
    3814 )
    3815 )
    3816 *108 (Net
     3838st "A0_D            : std_logic_vector(11 DOWNTO 0)"
     3839)
     3840)
     3841*109 (Net
    38173842uid 3376,0
    38183843decl (Decl
     
    38293854)
    38303855xt "39000,4600,64500,5400"
    3831 st "A1_D            : std_logic_vector(11 DOWNTO 0)
    3832 "
    3833 )
    3834 )
    3835 *109 (Net
     3856st "A1_D            : std_logic_vector(11 DOWNTO 0)"
     3857)
     3858)
     3859*110 (Net
    38363860uid 3378,0
    38373861decl (Decl
     
    38483872)
    38493873xt "39000,5400,64500,6200"
    3850 st "A2_D            : std_logic_vector(11 DOWNTO 0)
    3851 "
    3852 )
    3853 )
    3854 *110 (Net
     3874st "A2_D            : std_logic_vector(11 DOWNTO 0)"
     3875)
     3876)
     3877*111 (Net
    38553878uid 3380,0
    38563879decl (Decl
     
    38673890)
    38683891xt "39000,6200,64500,7000"
    3869 st "A3_D            : std_logic_vector(11 DOWNTO 0)
    3870 "
    3871 )
    3872 )
    3873 *111 (HdlText
     3892st "A3_D            : std_logic_vector(11 DOWNTO 0)"
     3893)
     3894)
     3895*112 (HdlText
    38743896uid 3394,0
    38753897optionalChildren [
    3876 *112 (EmbeddedText
     3898*113 (EmbeddedText
    38773899uid 3400,0
    38783900commentText (CommentText
     
    39263948stg "VerticalLayoutStrategy"
    39273949textVec [
    3928 *113 (Text
     3950*114 (Text
    39293951uid 3397,0
    39303952va (VaSet
     
    39363958tm "HdlTextNameMgr"
    39373959)
    3938 *114 (Text
     3960*115 (Text
    39393961uid 3398,0
    39403962va (VaSet
     
    39623984viewiconposition 0
    39633985)
    3964 *115 (Net
     3986*116 (Net
    39653987uid 3460,0
    39663988decl (Decl
     
    39753997font "Courier New,8,0"
    39763998)
    3977 xt "39000,21400,54000,22200"
    3978 st "D0_SRCLK        : STD_LOGIC
    3979 "
    3980 )
    3981 )
    3982 *116 (Net
     3999xt "39000,22200,54000,23000"
     4000st "D0_SRCLK        : STD_LOGIC"
     4001)
     4002)
     4003*117 (Net
    39834004uid 3462,0
    39844005decl (Decl
     
    39934014font "Courier New,8,0"
    39944015)
    3995 xt "39000,22200,54000,23000"
    3996 st "D1_SRCLK        : STD_LOGIC
    3997 "
    3998 )
    3999 )
    4000 *117 (Net
     4016xt "39000,23000,54000,23800"
     4017st "D1_SRCLK        : STD_LOGIC"
     4018)
     4019)
     4020*118 (Net
    40014021uid 3464,0
    40024022decl (Decl
     
    40114031font "Courier New,8,0"
    40124032)
    4013 xt "39000,23000,54000,23800"
    4014 st "D2_SRCLK        : STD_LOGIC
    4015 "
    4016 )
    4017 )
    4018 *118 (Net
     4033xt "39000,23800,54000,24600"
     4034st "D2_SRCLK        : STD_LOGIC"
     4035)
     4036)
     4037*119 (Net
    40194038uid 3466,0
    40204039decl (Decl
     
    40294048font "Courier New,8,0"
    40304049)
    4031 xt "39000,23800,54000,24600"
    4032 st "D3_SRCLK        : STD_LOGIC
    4033 "
    4034 )
    4035 )
    4036 *119 (PortIoIn
     4050xt "39000,24600,54000,25400"
     4051st "D3_SRCLK        : STD_LOGIC"
     4052)
     4053)
     4054*120 (PortIoIn
    40374055uid 3476,0
    40384056shape (CompositeShape
     
    40794097)
    40804098)
    4081 *120 (PortIoIn
     4099*121 (PortIoIn
    40824100uid 3482,0
    40834101shape (CompositeShape
     
    41244142)
    41254143)
    4126 *121 (PortIoIn
     4144*122 (PortIoIn
    41274145uid 3488,0
    41284146shape (CompositeShape
     
    41694187)
    41704188)
    4171 *122 (PortIoIn
     4189*123 (PortIoIn
    41724190uid 3494,0
    41734191shape (CompositeShape
     
    42144232)
    42154233)
    4216 *123 (Net
     4234*124 (Net
    42174235uid 3500,0
    42184236decl (Decl
     
    42284246)
    42294247xt "39000,7800,54000,8600"
    4230 st "D0_SROUT        : std_logic
    4231 "
    4232 )
    4233 )
    4234 *124 (Net
     4248st "D0_SROUT        : std_logic"
     4249)
     4250)
     4251*125 (Net
    42354252uid 3502,0
    42364253decl (Decl
     
    42464263)
    42474264xt "39000,8600,54000,9400"
    4248 st "D1_SROUT        : std_logic
    4249 "
    4250 )
    4251 )
    4252 *125 (Net
     4265st "D1_SROUT        : std_logic"
     4266)
     4267)
     4268*126 (Net
    42534269uid 3504,0
    42544270decl (Decl
     
    42644280)
    42654281xt "39000,9400,54000,10200"
    4266 st "D2_SROUT        : std_logic
    4267 "
    4268 )
    4269 )
    4270 *126 (Net
     4282st "D2_SROUT        : std_logic"
     4283)
     4284)
     4285*127 (Net
    42714286uid 3506,0
    42724287decl (Decl
     
    42824297)
    42834298xt "39000,10200,54000,11000"
    4284 st "D3_SROUT        : std_logic
    4285 "
    4286 )
    4287 )
    4288 *127 (PortIoOut
     4299st "D3_SROUT        : std_logic"
     4300)
     4301)
     4302*128 (PortIoOut
    42894303uid 3508,0
    42904304shape (CompositeShape
     
    43314345)
    43324346)
    4333 *128 (Net
     4347*129 (Net
    43344348uid 3514,0
    43354349decl (Decl
     
    43464360font "Courier New,8,0"
    43474361)
    4348 xt "39000,27000,73500,27800"
    4349 st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')
    4350 "
    4351 )
    4352 )
    4353 *129 (PortIoOut
     4362xt "39000,27800,73500,28600"
     4363st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')"
     4364)
     4365)
     4366*130 (PortIoOut
    43544367uid 3516,0
    43554368shape (CompositeShape
     
    43964409)
    43974410)
    4398 *130 (Net
     4411*131 (Net
    43994412uid 3522,0
    44004413decl (Decl
     
    44104423font "Courier New,8,0"
    44114424)
    4412 xt "39000,26200,67500,27000"
    4413 st "DWRITE          : std_logic                    := '0'
    4414 "
    4415 )
    4416 )
    4417 *131 (PortIoOut
     4425xt "39000,27000,67500,27800"
     4426st "DWRITE          : std_logic                    := '0'"
     4427)
     4428)
     4429*132 (PortIoOut
    44184430uid 3536,0
    44194431shape (CompositeShape
     
    44594471)
    44604472)
    4461 *132 (HdlText
     4473*133 (HdlText
    44624474uid 3542,0
    44634475optionalChildren [
    4464 *133 (EmbeddedText
     4476*134 (EmbeddedText
    44654477uid 3612,0
    44664478commentText (CommentText
     
    45144526stg "VerticalLayoutStrategy"
    45154527textVec [
    4516 *134 (Text
     4528*135 (Text
    45174529uid 3545,0
    45184530va (VaSet
     
    45244536tm "HdlTextNameMgr"
    45254537)
    4526 *135 (Text
     4538*136 (Text
    45274539uid 3546,0
    45284540va (VaSet
     
    45504562viewiconposition 0
    45514563)
    4552 *136 (PortIoOut
     4564*137 (PortIoOut
    45534565uid 3548,0
    45544566shape (CompositeShape
     
    45944606)
    45954607)
    4596 *137 (PortIoOut
     4608*138 (PortIoOut
    45974609uid 3554,0
    45984610shape (CompositeShape
     
    46384650)
    46394651)
    4640 *138 (PortIoOut
     4652*139 (PortIoOut
    46414653uid 3560,0
    46424654shape (CompositeShape
     
    46824694)
    46834695)
    4684 *139 (PortIoOut
     4696*140 (PortIoOut
    46854697uid 3566,0
    46864698shape (CompositeShape
     
    47264738)
    47274739)
    4728 *140 (Net
     4740*141 (Net
    47294741uid 3604,0
    47304742decl (Decl
     
    47394751font "Courier New,8,0"
    47404752)
    4741 xt "39000,39800,54000,40600"
    4742 st "T0_CS           : std_logic
    4743 "
    4744 )
    4745 )
    4746 *141 (Net
     4753xt "39000,40600,54000,41400"
     4754st "T0_CS           : std_logic"
     4755)
     4756)
     4757*142 (Net
    47474758uid 3606,0
    47484759decl (Decl
     
    47574768font "Courier New,8,0"
    47584769)
    4759 xt "39000,40600,54000,41400"
    4760 st "T1_CS           : std_logic
    4761 "
    4762 )
    4763 )
    4764 *142 (Net
     4770xt "39000,41400,54000,42200"
     4771st "T1_CS           : std_logic"
     4772)
     4773)
     4774*143 (Net
    47654775uid 3608,0
    47664776decl (Decl
     
    47754785font "Courier New,8,0"
    47764786)
    4777 xt "39000,41400,54000,42200"
    4778 st "T2_CS           : std_logic
    4779 "
    4780 )
    4781 )
    4782 *143 (Net
     4787xt "39000,42200,54000,43000"
     4788st "T2_CS           : std_logic"
     4789)
     4790)
     4791*144 (Net
    47834792uid 3610,0
    47844793decl (Decl
     
    47934802font "Courier New,8,0"
    47944803)
    4795 xt "39000,42200,54000,43000"
    4796 st "T3_CS           : std_logic
    4797 "
    4798 )
    4799 )
    4800 *144 (PortIoOut
     4804xt "39000,43000,54000,43800"
     4805st "T3_CS           : std_logic"
     4806)
     4807)
     4808*145 (PortIoOut
    48014809uid 3624,0
    48024810shape (CompositeShape
     
    48424850)
    48434851)
    4844 *145 (Net
     4852*146 (Net
    48454853uid 3630,0
    48464854decl (Decl
     
    48554863font "Courier New,8,0"
    48564864)
    4857 xt "39000,39000,54000,39800"
    4858 st "S_CLK           : std_logic
    4859 "
    4860 )
    4861 )
    4862 *146 (Net
     4865xt "39000,39800,54000,40600"
     4866st "S_CLK           : std_logic"
     4867)
     4868)
     4869*147 (Net
    48634870uid 3632,0
    48644871decl (Decl
     
    48744881font "Courier New,8,0"
    48754882)
    4876 xt "39000,43800,64000,44600"
    4877 st "W_A             : std_logic_vector(9 DOWNTO 0)
    4878 "
    4879 )
    4880 )
    4881 *147 (Net
     4883xt "39000,44600,64000,45400"
     4884st "W_A             : std_logic_vector(9 DOWNTO 0)"
     4885)
     4886)
     4887*148 (Net
    48824888uid 3634,0
    48834889decl (Decl
     
    48934899font "Courier New,8,0"
    48944900)
    4895 xt "39000,48600,64500,49400"
    4896 st "W_D             : std_logic_vector(15 DOWNTO 0)
    4897 "
    4898 )
    4899 )
    4900 *148 (Net
     4901xt "39000,49400,64500,50200"
     4902st "W_D             : std_logic_vector(15 DOWNTO 0)"
     4903)
     4904)
     4905*149 (Net
    49014906uid 3636,0
    49024907decl (Decl
     
    49124917font "Courier New,8,0"
    49134918)
    4914 xt "39000,46200,67500,47000"
    4915 st "W_RES           : std_logic                    := '1'
    4916 "
    4917 )
    4918 )
    4919 *149 (Net
     4919xt "39000,47000,67500,47800"
     4920st "W_RES           : std_logic                    := '1'"
     4921)
     4922)
     4923*150 (Net
    49204924uid 3638,0
    49214925decl (Decl
     
    49314935font "Courier New,8,0"
    49324936)
    4933 xt "39000,45400,67500,46200"
    4934 st "W_RD            : std_logic                    := '1'
    4935 "
    4936 )
    4937 )
    4938 *150 (Net
     4937xt "39000,46200,67500,47000"
     4938st "W_RD            : std_logic                    := '1'"
     4939)
     4940)
     4941*151 (Net
    49394942uid 3640,0
    49404943decl (Decl
     
    49504953font "Courier New,8,0"
    49514954)
    4952 xt "39000,47000,67500,47800"
    4953 st "W_WR            : std_logic                    := '1'
    4954 "
    4955 )
    4956 )
    4957 *151 (Net
     4955xt "39000,47800,67500,48600"
     4956st "W_WR            : std_logic                    := '1'"
     4957)
     4958)
     4959*152 (Net
    49584960uid 3642,0
    49594961decl (Decl
     
    49684970font "Courier New,8,0"
    49694971)
    4970 xt "39000,16600,54000,17400"
    4971 st "W_INT           : std_logic
    4972 "
    4973 )
    4974 )
    4975 *152 (Net
     4972xt "39000,17400,54000,18200"
     4973st "W_INT           : std_logic"
     4974)
     4975)
     4976*153 (Net
    49764977uid 3644,0
    49774978decl (Decl
     
    49874988font "Courier New,8,0"
    49884989)
    4989 xt "39000,44600,67500,45400"
    4990 st "W_CS            : std_logic                    := '1'
    4991 "
    4992 )
    4993 )
    4994 *153 (PortIoInOut
     4990xt "39000,45400,67500,46200"
     4991st "W_CS            : std_logic                    := '1'"
     4992)
     4993)
     4994*154 (PortIoInOut
    49954995uid 3674,0
    49964996shape (CompositeShape
     
    50345034)
    50355035)
    5036 *154 (Net
     5036*155 (Net
    50375037uid 3680,0
    50385038decl (Decl
     
    50485048font "Courier New,8,0"
    50495049)
    5050 xt "39000,31000,67500,31800"
    5051 st "MOSI            : std_logic                    := '0'
    5052 "
    5053 )
    5054 )
    5055 *155 (PortIoOut
     5050xt "39000,31800,67500,32600"
     5051st "MOSI            : std_logic                    := '0'"
     5052)
     5053)
     5054*156 (PortIoOut
    50565055uid 3688,0
    50575056shape (CompositeShape
     
    50975096)
    50985097)
    5099 *156 (Net
     5098*157 (Net
    51005099uid 3694,0
    51015100decl (Decl
     
    51125111font "Courier New,8,0"
    51135112)
    5114 xt "39000,47800,54000,48600"
    5115 st "MISO            : std_logic
    5116 "
    5117 )
    5118 )
    5119 *157 (HdlText
     5113xt "39000,48600,54000,49400"
     5114st "MISO            : std_logic"
     5115)
     5116)
     5117*158 (HdlText
    51205118uid 3700,0
    51215119optionalChildren [
    5122 *158 (EmbeddedText
     5120*159 (EmbeddedText
    51235121uid 3706,0
    51245122commentText (CommentText
     
    51805178stg "VerticalLayoutStrategy"
    51815179textVec [
    5182 *159 (Text
     5180*160 (Text
    51835181uid 3703,0
    51845182va (VaSet
     
    51905188tm "HdlTextNameMgr"
    51915189)
    5192 *160 (Text
     5190*161 (Text
    51935191uid 3704,0
    51945192va (VaSet
     
    52165214viewiconposition 0
    52175215)
    5218 *161 (PortIoOut
     5216*162 (PortIoOut
    52195217uid 3710,0
    52205218shape (CompositeShape
     
    52605258)
    52615259)
    5262 *162 (PortIoOut
     5260*163 (PortIoOut
    52635261uid 3716,0
    52645262shape (CompositeShape
     
    53045302)
    53055303)
    5306 *163 (PortIoOut
     5304*164 (PortIoOut
    53075305uid 3722,0
    53085306shape (CompositeShape
     
    53485346)
    53495347)
    5350 *164 (PortIoOut
     5348*165 (PortIoOut
    53515349uid 3728,0
    53525350shape (CompositeShape
     
    53925390)
    53935391)
    5394 *165 (PortIoOut
     5392*166 (PortIoOut
    53955393uid 3734,0
    53965394shape (CompositeShape
     
    54365434)
    54375435)
    5438 *166 (PortIoOut
     5436*167 (PortIoOut
    54395437uid 3740,0
    54405438shape (CompositeShape
     
    54805478)
    54815479)
    5482 *167 (PortIoOut
     5480*168 (PortIoOut
    54835481uid 3752,0
    54845482shape (CompositeShape
     
    55245522)
    55255523)
    5526 *168 (Net
     5524*169 (Net
    55275525uid 3864,0
    55285526decl (Decl
     
    55375535font "Courier New,8,0"
    55385536)
    5539 xt "39000,43000,54000,43800"
    5540 st "TRG_V           : std_logic
    5541 "
    5542 )
    5543 )
    5544 *169 (Net
     5537xt "39000,43800,54000,44600"
     5538st "TRG_V           : std_logic"
     5539)
     5540)
     5541*170 (Net
    55455542uid 3866,0
    55465543decl (Decl
     
    55555552font "Courier New,8,0"
    55565553)
    5557 xt "39000,35000,54000,35800"
    5558 st "RS485_C_RE      : std_logic
    5559 "
    5560 )
    5561 )
    5562 *170 (Net
     5554xt "39000,35800,54000,36600"
     5555st "RS485_C_RE      : std_logic"
     5556)
     5557)
     5558*171 (Net
    55635559uid 3868,0
    55645560decl (Decl
     
    55735569font "Courier New,8,0"
    55745570)
    5575 xt "39000,33400,54000,34200"
    5576 st "RS485_C_DE      : std_logic
    5577 "
    5578 )
    5579 )
    5580 *171 (Net
     5571xt "39000,34200,54000,35000"
     5572st "RS485_C_DE      : std_logic"
     5573)
     5574)
     5575*172 (Net
    55815576uid 3870,0
    55825577decl (Decl
     
    55915586font "Courier New,8,0"
    55925587)
    5593 xt "39000,36600,54000,37400"
    5594 st "RS485_E_RE      : std_logic
    5595 "
    5596 )
    5597 )
    5598 *172 (Net
     5588xt "39000,37400,54000,38200"
     5589st "RS485_E_RE      : std_logic"
     5590)
     5591)
     5592*173 (Net
    55995593uid 3872,0
    56005594decl (Decl
     
    56095603font "Courier New,8,0"
    56105604)
    5611 xt "39000,35800,54000,36600"
    5612 st "RS485_E_DE      : std_logic
    5613 "
    5614 )
    5615 )
    5616 *173 (Net
     5605xt "39000,36600,54000,37400"
     5606st "RS485_E_DE      : std_logic"
     5607)
     5608)
     5609*174 (Net
    56175610uid 3874,0
    56185611decl (Decl
     
    56285621font "Courier New,8,0"
    56295622)
    5630 xt "39000,25400,67500,26200"
    5631 st "DENABLE         : std_logic                    := '0'
    5632 "
    5633 )
    5634 )
    5635 *174 (Net
     5623xt "39000,26200,67500,27000"
     5624st "DENABLE         : std_logic                    := '0'"
     5625)
     5626)
     5627*175 (Net
    56365628uid 3878,0
    56375629decl (Decl
     
    56465638font "Courier New,8,0"
    56475639)
    5648 xt "39000,29400,54000,30200"
    5649 st "EE_CS           : std_logic
    5650 "
    5651 )
    5652 )
    5653 *175 (PortIoOut
     5640xt "39000,30200,54000,31000"
     5641st "EE_CS           : std_logic"
     5642)
     5643)
     5644*176 (PortIoOut
    56545645uid 3995,0
    56555646shape (CompositeShape
     
    56965687)
    56975688)
    5698 *176 (PortIoOut
     5689*177 (PortIoOut
    56995690uid 4001,0
    57005691shape (CompositeShape
     
    57415732)
    57425733)
    5743 *177 (PortIoOut
     5734*178 (PortIoOut
    57445735uid 4007,0
    57455736shape (CompositeShape
     
    57865777)
    57875778)
    5788 *178 (PortIoOut
     5779*179 (PortIoOut
    57895780uid 4013,0
    57905781shape (CompositeShape
     
    58315822)
    58325823)
    5833 *179 (PortIoOut
     5824*180 (PortIoOut
    58345825uid 4916,0
    58355826shape (CompositeShape
     
    58445835sl 0
    58455836ro 270
    5846 xt "111500,119625,113000,120375"
     5837xt "72500,153625,74000,154375"
    58475838)
    58485839(Line
     
    58505841sl 0
    58515842ro 270
    5852 xt "111000,120000,111500,120000"
    5853 pts [
    5854 "111000,120000"
    5855 "111500,120000"
     5843xt "72000,154000,72500,154000"
     5844pts [
     5845"72000,154000"
     5846"72500,154000"
    58565847]
    58575848)
     
    58685859va (VaSet
    58695860)
    5870 xt "114000,119500,115900,120500"
     5861xt "75000,153500,76900,154500"
    58715862st "D_T"
    5872 blo "114000,120300"
    5873 tm "WireNameMgr"
    5874 )
    5875 )
    5876 )
    5877 *180 (Net
     5863blo "75000,154300"
     5864tm "WireNameMgr"
     5865)
     5866)
     5867)
     5868*181 (Net
    58785869uid 5320,0
    58795870decl (Decl
    58805871n "D_T"
    58815872t "std_logic_vector"
    5882 b "(7 DOWNTO 0)"
     5873b "(5 DOWNTO 0)"
    58835874o 31
    58845875suid 141,0
     
    58905881font "Courier New,8,0"
    58915882)
    5892 xt "39000,27800,73500,28600"
    5893 st "D_T             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
    5894 "
    5895 )
    5896 )
    5897 *181 (PortIoIn
     5883xt "39000,28600,73500,29400"
     5884st "D_T             : std_logic_vector(5 DOWNTO 0) := (OTHERS => '0')"
     5885)
     5886)
     5887*182 (PortIoIn
    58985888uid 6781,0
    58995889shape (CompositeShape
     
    59405930)
    59415931)
    5942 *182 (Net
     5932*183 (Net
    59435933uid 6793,0
    59445934decl (Decl
     
    59555945)
    59565946xt "39000,11000,64000,11800"
    5957 st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)
    5958 "
    5959 )
    5960 )
    5961 *183 (PortIoOut
     5947st "D_PLLLCK        : std_logic_vector(3 DOWNTO 0)"
     5948)
     5949)
     5950*184 (PortIoOut
    59625951uid 6874,0
    59635952shape (CompositeShape
     
    60035992)
    60045993)
    6005 *184 (Net
     5994*185 (Net
    60065995uid 6886,0
    60075996decl (Decl
     
    60186007font "Courier New,8,0"
    60196008)
    6020 xt "39000,28600,73500,29400"
    6021 st "D_T2            : std_logic_vector(3 DOWNTO 0) := (others => '0')
    6022 "
    6023 )
    6024 )
    6025 *185 (HdlText
     6009xt "39000,29400,73500,30200"
     6010st "D_T2            : std_logic_vector(3 DOWNTO 0) := (others => '0')"
     6011)
     6012)
     6013*186 (HdlText
    60266014uid 7092,0
    60276015optionalChildren [
    6028 *186 (EmbeddedText
     6016*187 (EmbeddedText
    60296017uid 7098,0
    60306018commentText (CommentText
     
    60766064stg "VerticalLayoutStrategy"
    60776065textVec [
    6078 *187 (Text
     6066*188 (Text
    60796067uid 7095,0
    60806068va (VaSet
     
    60866074tm "HdlTextNameMgr"
    60876075)
    6088 *188 (Text
     6076*189 (Text
    60896077uid 7096,0
    60906078va (VaSet
     
    61126100viewiconposition 0
    61136101)
    6114 *189 (PortIoOut
     6102*190 (PortIoOut
    61156103uid 7138,0
    61166104shape (CompositeShape
     
    61566144)
    61576145)
    6158 *190 (Net
     6146*191 (Net
    61596147uid 7150,0
    61606148decl (Decl
     
    61716159font "Courier New,8,0"
    61726160)
    6173 xt "39000,19000,73500,19800"
    6174 st "A1_T            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
    6175 "
    6176 )
    6177 )
    6178 *191 (Net
     6161xt "39000,19800,73500,20600"
     6162st "A1_T            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     6163)
     6164)
     6165*192 (Net
    61796166uid 7485,0
    61806167decl (Decl
     
    61896176font "Courier New,8,0"
    61906177)
    6191 xt "39000,64800,57500,65600"
    6192 st "SIGNAL dummy           : std_logic
    6193 "
    6194 )
    6195 )
    6196 *192 (MWC
     6178xt "39000,65600,57500,66400"
     6179st "SIGNAL dummy           : std_logic"
     6180)
     6181)
     6182*193 (MWC
    61976183uid 7652,0
    61986184optionalChildren [
    6199 *193 (CptPort
     6185*194 (CptPort
    62006186uid 7632,0
    62016187optionalChildren [
    6202 *194 (Line
     6188*195 (Line
    62036189uid 7636,0
    62046190layer 5
     
    62596245)
    62606246)
    6261 *195 (CptPort
     6247*196 (CptPort
    62626248uid 7637,0
    62636249optionalChildren [
    6264 *196 (Line
     6250*197 (Line
    62656251uid 7641,0
    62666252layer 5
     
    63246310)
    63256311)
    6326 *197 (CommentGraphic
     6312*198 (CommentGraphic
    63276313uid 7642,0
    63286314shape (PolyLine2D
     
    63456331oxt "6000,6000,7000,7000"
    63466332)
    6347 *198 (CommentGraphic
     6333*199 (CommentGraphic
    63486334uid 7644,0
    63496335shape (PolyLine2D
     
    63666352oxt "6000,7000,7000,8000"
    63676353)
    6368 *199 (CommentGraphic
     6354*200 (CommentGraphic
    63696355uid 7646,0
    63706356shape (PolyLine2D
     
    63876373oxt "6988,7329,7988,7329"
    63886374)
    6389 *200 (CommentGraphic
     6375*201 (CommentGraphic
    63906376uid 7648,0
    63916377shape (PolyLine2D
     
    64066392oxt "8000,7000,9000,7000"
    64076393)
    6408 *201 (CommentGraphic
     6394*202 (CommentGraphic
    64096395uid 7650,0
    64106396shape (PolyLine2D
     
    64476433stg "VerticalLayoutStrategy"
    64486434textVec [
    6449 *202 (Text
     6435*203 (Text
    64506436uid 7655,0
    64516437va (VaSet
     
    64576443blo "90350,83900"
    64586444)
    6459 *203 (Text
     6445*204 (Text
    64606446uid 7656,0
    64616447va (VaSet
     
    64666452blo "90350,84900"
    64676453)
    6468 *204 (Text
     6454*205 (Text
    64696455uid 7657,0
    64706456va (VaSet
     
    65116497)
    65126498)
    6513 *205 (Net
     6499*206 (Net
    65146500uid 8851,0
    65156501decl (Decl
     
    65266512font "Courier New,8,0"
    65276513)
    6528 xt "39000,64000,77000,64800"
    6529 st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0) := (others => '0')
    6530 "
    6531 )
    6532 )
    6533 *206 (Net
     6514xt "39000,64800,77000,65600"
     6515st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0) := (others => '0')"
     6516)
     6517)
     6518*207 (Net
    65346519uid 9500,0
    65356520decl (Decl
     
    65446529font "Courier New,8,0"
    65456530)
    6546 xt "39000,54400,57500,55200"
    6547 st "SIGNAL CLK_50          : std_logic
    6548 "
    6549 )
    6550 )
    6551 *207 (MWC
     6531xt "39000,55200,57500,56000"
     6532st "SIGNAL CLK_50          : std_logic"
     6533)
     6534)
     6535*208 (MWC
    65526536uid 10023,0
    65536537optionalChildren [
    6554 *208 (CptPort
     6538*209 (CptPort
    65556539uid 9995,0
    65566540optionalChildren [
    6557 *209 (Line
     6541*210 (Line
    65586542uid 9999,0
    65596543layer 5
     
    65686552]
    65696553)
    6570 *210 (Property
     6554*211 (Property
    65716555uid 10000,0
    65726556pclass "_MW_GEOM_"
     
    66126596)
    66136597)
    6614 *211 (CptPort
     6598*212 (CptPort
    66156599uid 10001,0
    66166600optionalChildren [
    6617 *212 (Line
     6601*213 (Line
    66186602uid 10005,0
    66196603layer 5
     
    66676651)
    66686652)
    6669 *213 (CptPort
     6653*214 (CptPort
    66706654uid 10006,0
    66716655optionalChildren [
    6672 *214 (Line
     6656*215 (Line
    66736657uid 10010,0
    66746658layer 5
     
    67216705)
    67226706)
    6723 *215 (CommentGraphic
     6707*216 (CommentGraphic
    67246708uid 10011,0
    67256709optionalChildren [
    6726 *216 (Property
     6710*217 (Property
    67276711uid 10013,0
    67286712pclass "_MW_GEOM_"
     
    67486732oxt "11000,6000,11000,6000"
    67496733)
    6750 *217 (CommentGraphic
     6734*218 (CommentGraphic
    67516735uid 10014,0
    67526736optionalChildren [
    6753 *218 (Property
     6737*219 (Property
    67546738uid 10016,0
    67556739pclass "_MW_GEOM_"
     
    67756759oxt "11000,10000,11000,10000"
    67766760)
    6777 *219 (Grouping
     6761*220 (Grouping
    67786762uid 10017,0
    67796763optionalChildren [
    6780 *220 (CommentGraphic
     6764*221 (CommentGraphic
    67816765uid 10019,0
    67826766shape (PolyLine2D
     
    67996783oxt "9000,6000,11000,10000"
    68006784)
    6801 *221 (CommentGraphic
     6785*222 (CommentGraphic
    68026786uid 10021,0
    68036787shape (Arc2D
     
    68526836stg "VerticalLayoutStrategy"
    68536837textVec [
    6854 *222 (Text
     6838*223 (Text
    68556839uid 10026,0
    68566840va (VaSet
     
    68626846blo "44500,73300"
    68636847)
    6864 *223 (Text
     6848*224 (Text
    68656849uid 10027,0
    68666850va (VaSet
     
    68716855blo "44500,74300"
    68726856)
    6873 *224 (Text
     6857*225 (Text
    68746858uid 10028,0
    68756859va (VaSet
     
    69166900)
    69176901)
    6918 *225 (Net
     6902*226 (Net
    69196903uid 10032,0
    69206904decl (Decl
     
    69296913font "Courier New,8,0"
    69306914)
    6931 xt "39000,53600,57500,54400"
    6932 st "SIGNAL CLK_25_PS1      : std_logic
    6933 "
    6934 )
    6935 )
    6936 *226 (Net
     6915xt "39000,54400,57500,55200"
     6916st "SIGNAL CLK_25_PS1      : std_logic"
     6917)
     6918)
     6919*227 (Net
    69376920uid 10050,0
    69386921decl (Decl
     
    69486931font "Courier New,8,0"
    69496932)
    6950 xt "39000,60800,71000,61600"
    6951 st "SIGNAL adc_clk_en      : std_logic                    := '0'
    6952 "
    6953 )
    6954 )
    6955 *227 (PortIoOut
     6933xt "39000,61600,71000,62400"
     6934st "SIGNAL adc_clk_en      : std_logic                    := '0'"
     6935)
     6936)
     6937*228 (PortIoOut
    69566938uid 10296,0
    69576939shape (CompositeShape
     
    69976979)
    69986980)
    6999 *228 (Net
     6981*229 (Net
    70006982uid 10308,0
    70016983decl (Decl
     
    70126994font "Courier New,8,0"
    70136995)
    7014 xt "39000,18200,73500,19000"
    7015 st "A0_T            : std_logic_vector(7 DOWNTO 0) := (others => '0')
    7016 "
    7017 )
    7018 )
    7019 *229 (HdlText
     6996xt "39000,19000,73500,19800"
     6997st "A0_T            : std_logic_vector(7 DOWNTO 0) := (others => '0')"
     6998)
     6999)
     7000*230 (HdlText
    70207001uid 10310,0
    70217002optionalChildren [
    7022 *230 (EmbeddedText
     7003*231 (EmbeddedText
    70237004uid 10316,0
    70247005commentText (CommentText
     
    70627043A1_T(7) <= drs_channel_id(3);
    70637044
    7064 A0_T(5 downto 0) <= POSITION_ID;
     7045A0_T(5 downto 0) <= (others => '0');
    70657046A0_T(6) <= REFCLK;
    70667047A0_T(7) <= RS485_E_DI;
     
    70947075stg "VerticalLayoutStrategy"
    70957076textVec [
    7096 *231 (Text
     7077*232 (Text
    70977078uid 10313,0
    70987079va (VaSet
     
    71047085tm "HdlTextNameMgr"
    71057086)
    7106 *232 (Text
     7087*233 (Text
    71077088uid 10314,0
    71087089va (VaSet
     
    71307111viewiconposition 0
    71317112)
    7132 *233 (Net
     7113*234 (Net
    71337114uid 10496,0
    71347115decl (Decl
     
    71437124font "Courier New,8,0"
    71447125)
    7145 xt "39000,52000,57500,52800"
    7146 st "SIGNAL CLK50_OUT       : std_logic
    7147 "
    7148 )
    7149 )
    7150 *234 (Net
     7126xt "39000,52800,57500,53600"
     7127st "SIGNAL CLK50_OUT       : std_logic"
     7128)
     7129)
     7130*235 (Net
    71517131uid 10504,0
    71527132decl (Decl
     
    71617141font "Courier New,8,0"
    71627142)
    7163 xt "39000,50400,57500,51200"
    7164 st "SIGNAL CLK25_OUT       : std_logic
    7165 "
    7166 )
    7167 )
    7168 *235 (Net
     7143xt "39000,51200,57500,52000"
     7144st "SIGNAL CLK25_OUT       : std_logic"
     7145)
     7146)
     7147*236 (Net
    71697148uid 10512,0
    71707149decl (Decl
     
    71797158font "Courier New,8,0"
    71807159)
    7181 xt "39000,51200,57500,52000"
    7182 st "SIGNAL CLK25_PSOUT     : std_logic
    7183 "
    7184 )
    7185 )
    7186 *236 (Net
     7160xt "39000,52000,57500,52800"
     7161st "SIGNAL CLK25_PSOUT     : std_logic"
     7162)
     7163)
     7164*237 (Net
    71877165uid 10520,0
    71887166decl (Decl
     
    71977175font "Courier New,8,0"
    71987176)
    7199 xt "39000,59200,57500,60000"
    7200 st "SIGNAL PS_DIR_IN       : std_logic
    7201 "
    7202 )
    7203 )
    7204 *237 (Net
     7177xt "39000,60000,57500,60800"
     7178st "SIGNAL PS_DIR_IN       : std_logic"
     7179)
     7180)
     7181*238 (Net
    72057182uid 10544,0
    72067183decl (Decl
     
    72157192font "Courier New,8,0"
    72167193)
    7217 xt "39000,58400,57500,59200"
    7218 st "SIGNAL PSINCDEC_OUT    : std_logic
    7219 "
    7220 )
    7221 )
    7222 *238 (Net
     7194xt "39000,59200,57500,60000"
     7195st "SIGNAL PSINCDEC_OUT    : std_logic"
     7196)
     7197)
     7198*239 (Net
    72237199uid 10552,0
    72247200decl (Decl
     
    72357211font "Courier New,8,0"
    72367212)
    7237 xt "39000,55200,57500,56000"
    7238 st "SIGNAL DCM_locked      : std_logic
    7239 "
    7240 )
    7241 )
    7242 *239 (Net
     7213xt "39000,56000,57500,56800"
     7214st "SIGNAL DCM_locked      : std_logic"
     7215)
     7216)
     7217*240 (Net
    72437218uid 10560,0
    72447219decl (Decl
     
    72567231font "Courier New,8,0"
    72577232)
    7258 xt "39000,65600,71000,66400"
    7259 st "SIGNAL ready           : std_logic                    := '0'
    7260 "
    7261 )
    7262 )
    7263 *240 (Net
     7233xt "39000,67200,71000,68000"
     7234st "SIGNAL ready           : std_logic                    := '0'"
     7235)
     7236)
     7237*241 (Net
    72647238uid 10568,0
    72657239decl (Decl
     
    72787252font "Courier New,8,0"
    72797253)
    7280 xt "39000,67200,71000,68800"
     7254xt "39000,68800,71000,70400"
    72817255st "-- status:
    7282 SIGNAL shifting        : std_logic                    := '0'
    7283 "
    7284 )
    7285 )
    7286 *241 (Net
     7256SIGNAL shifting        : std_logic                    := '0'"
     7257)
     7258)
     7259*242 (Net
    72877260uid 10576,0
    72887261decl (Decl
     
    72977270font "Courier New,8,0"
    72987271)
    7299 xt "39000,57600,57500,58400"
    7300 st "SIGNAL PSDONE_extraOUT : std_logic
    7301 "
    7302 )
    7303 )
    7304 *242 (Net
     7272xt "39000,58400,57500,59200"
     7273st "SIGNAL PSDONE_extraOUT : std_logic"
     7274)
     7275)
     7276*243 (Net
    73057277uid 10584,0
    73067278decl (Decl
     
    73157287font "Courier New,8,0"
    73167288)
    7317 xt "39000,56800,57500,57600"
    7318 st "SIGNAL PSCLK_OUT       : std_logic
    7319 "
    7320 )
    7321 )
    7322 *243 (Net
     7289xt "39000,57600,57500,58400"
     7290st "SIGNAL PSCLK_OUT       : std_logic"
     7291)
     7292)
     7293*244 (Net
    73237294uid 10592,0
    73247295decl (Decl
     
    73337304font "Courier New,8,0"
    73347305)
    7335 xt "39000,56000,57500,56800"
    7336 st "SIGNAL LOCKED_extraOUT : std_logic
    7337 "
    7338 )
    7339 )
    7340 *244 (PortIoIn
     7306xt "39000,56800,57500,57600"
     7307st "SIGNAL LOCKED_extraOUT : std_logic"
     7308)
     7309)
     7310*245 (PortIoIn
    73417311uid 11090,0
    73427312shape (CompositeShape
     
    73837353)
    73847354)
    7385 *245 (Net
     7355*246 (Net
    73867356uid 11102,0
    73877357decl (Decl
     
    73967366font "Courier New,8,0"
    73977367)
    7398 xt "39000,13400,54000,14200"
    7399 st "RS485_C_DI      : std_logic
    7400 "
    7401 )
    7402 )
    7403 *246 (PortIoOut
     7368xt "39000,14200,54000,15000"
     7369st "RS485_C_DI      : std_logic"
     7370)
     7371)
     7372*247 (PortIoOut
    74047373uid 11104,0
    74057374shape (CompositeShape
     
    74457414)
    74467415)
    7447 *247 (Net
     7416*248 (Net
    74487417uid 11116,0
    74497418decl (Decl
     
    74587427font "Courier New,8,0"
    74597428)
    7460 xt "39000,34200,54000,35000"
    7461 st "RS485_C_DO      : std_logic
    7462 "
    7463 )
    7464 )
    7465 *248 (PortIoIn
     7429xt "39000,35000,54000,35800"
     7430st "RS485_C_DO      : std_logic"
     7431)
     7432)
     7433*249 (PortIoIn
    74667434uid 11508,0
    74677435shape (CompositeShape
     
    75087476)
    75097477)
    7510 *249 (Net
     7478*250 (Net
    75117479uid 11520,0
    75127480decl (Decl
     
    75217489font "Courier New,8,0"
    75227490)
    7523 xt "39000,14200,54000,15000"
    7524 st "RS485_E_DI      : std_logic
    7525 "
    7526 )
    7527 )
    7528 *250 (Net
     7491xt "39000,15000,54000,15800"
     7492st "RS485_E_DI      : std_logic"
     7493)
     7494)
     7495*251 (Net
    75297496uid 11534,0
    75307497decl (Decl
     
    75397506font "Courier New,8,0"
    75407507)
    7541 xt "39000,15000,54000,15800"
    7542 st "RS485_E_DO      : std_logic
    7543 "
    7544 )
    7545 )
    7546 *251 (PortIoIn
     7508xt "39000,15800,54000,16600"
     7509st "RS485_E_DO      : std_logic"
     7510)
     7511)
     7512*252 (PortIoIn
    75477513uid 11922,0
    75487514shape (CompositeShape
     
    75897555)
    75907556)
    7591 *252 (PortIoOut
     7557*253 (PortIoOut
    75927558uid 12326,0
    75937559shape (CompositeShape
     
    76337599)
    76347600)
    7635 *253 (Net
     7601*254 (Net
    76367602uid 12334,0
    76377603decl (Decl
     
    76477613font "Courier New,8,0"
    76487614)
    7649 xt "39000,38200,67500,39000"
    7650 st "SRIN            : std_logic                    := '0'
    7651 "
    7652 )
    7653 )
    7654 *254 (PortIoOut
     7615xt "39000,39000,67500,39800"
     7616st "SRIN            : std_logic                    := '0'"
     7617)
     7618)
     7619*255 (PortIoOut
    76557620uid 12539,0
    76567621shape (CompositeShape
     
    76967661)
    76977662)
    7698 *255 (PortIoOut
     7663*256 (PortIoOut
    76997664uid 12553,0
    77007665shape (CompositeShape
     
    77407705)
    77417706)
    7742 *256 (PortIoOut
     7707*257 (PortIoOut
    77437708uid 12567,0
    77447709shape (CompositeShape
     
    77847749)
    77857750)
    7786 *257 (Net
     7751*258 (Net
    77877752uid 12762,0
    77887753decl (Decl
     
    77977762font "Courier New,8,0"
    77987763)
    7799 xt "39000,19800,54000,20600"
    7800 st "AMBER_LED       : std_logic
    7801 "
    7802 )
    7803 )
    7804 *258 (Net
     7764xt "39000,20600,54000,21400"
     7765st "AMBER_LED       : std_logic"
     7766)
     7767)
     7768*259 (Net
    78057769uid 12764,0
    78067770decl (Decl
     
    78157779font "Courier New,8,0"
    78167780)
    7817 xt "39000,30200,54000,31000"
    7818 st "GREEN_LED       : std_logic
    7819 "
    7820 )
    7821 )
    7822 *259 (Net
     7781xt "39000,31000,54000,31800"
     7782st "GREEN_LED       : std_logic"
     7783)
     7784)
     7785*260 (Net
    78237786uid 12766,0
    78247787decl (Decl
     
    78337796font "Courier New,8,0"
    78347797)
    7835 xt "39000,32600,54000,33400"
    7836 st "RED_LED         : std_logic
    7837 "
    7838 )
    7839 )
    7840 *260 (PortIoIn
     7798xt "39000,33400,54000,34200"
     7799st "RED_LED         : std_logic"
     7800)
     7801)
     7802*261 (PortIoIn
    78417803uid 13516,0
    78427804shape (CompositeShape
     
    78517813sl 0
    78527814ro 270
    7853 xt "110000,147625,111500,148375"
     7815xt "10000,80625,11500,81375"
    78547816)
    78557817(Line
     
    78577819sl 0
    78587820ro 270
    7859 xt "111500,148000,112000,148000"
    7860 pts [
    7861 "111500,148000"
    7862 "112000,148000"
     7821xt "11500,81000,12000,81000"
     7822pts [
     7823"11500,81000"
     7824"12000,81000"
    78637825]
    78647826)
     
    78757837va (VaSet
    78767838)
    7877 xt "103300,147500,109000,148500"
    7878 st "POSITION_ID"
     7839xt "6900,80500,9000,81500"
     7840st "LINE"
    78797841ju 2
    7880 blo "109000,148300"
    7881 tm "WireNameMgr"
    7882 )
    7883 )
    7884 )
    7885 *261 (Net
     7842blo "9000,81300"
     7843tm "WireNameMgr"
     7844)
     7845)
     7846)
     7847*262 (Net
    78867848uid 13528,0
    78877849decl (Decl
    7888 n "POSITION_ID"
     7850n "LINE"
    78897851t "std_logic_vector"
    78907852b "( 5 DOWNTO 0 )"
     
    78977859font "Courier New,8,0"
    78987860)
    7899 xt "39000,11800,65000,12600"
    7900 st "POSITION_ID     : std_logic_vector( 5 DOWNTO 0 )
    7901 "
    7902 )
    7903 )
    7904 *262 (PortIoIn
     7861xt "39000,12600,65000,13400"
     7862st "LINE            : std_logic_vector( 5 DOWNTO 0 )"
     7863)
     7864)
     7865*263 (PortIoIn
    79057866uid 13628,0
    79067867shape (CompositeShape
     
    79477908)
    79487909)
    7949 *263 (Net
     7910*264 (Net
    79507911uid 13640,0
    79517912decl (Decl
     
    79607921font "Courier New,8,0"
    79617922)
    7962 xt "39000,12600,54000,13400"
    7963 st "REFCLK          : std_logic
     7923xt "39000,13400,54000,14200"
     7924st "REFCLK          : std_logic"
     7925)
     7926)
     7927*265 (PortIoIn
     7928uid 14322,0
     7929shape (CompositeShape
     7930uid 14323,0
     7931va (VaSet
     7932vasetType 1
     7933fg "0,0,32768"
     7934)
     7935optionalChildren [
     7936(Pentagon
     7937uid 14324,0
     7938sl 0
     7939ro 270
     7940xt "37000,138625,38500,139375"
     7941)
     7942(Line
     7943uid 14325,0
     7944sl 0
     7945ro 270
     7946xt "38500,139000,39000,139000"
     7947pts [
     7948"38500,139000"
     7949"39000,139000"
     7950]
     7951)
     7952]
     7953)
     7954stc 0
     7955sf 1
     7956tg (WTG
     7957uid 14326,0
     7958ps "PortIoTextPlaceStrategy"
     7959stg "STSignalDisplayStrategy"
     7960f (Text
     7961uid 14327,0
     7962va (VaSet
     7963)
     7964xt "33100,138500,36000,139500"
     7965st "D_T_in"
     7966ju 2
     7967blo "36000,139300"
     7968tm "WireNameMgr"
     7969)
     7970)
     7971)
     7972*266 (Net
     7973uid 14334,0
     7974decl (Decl
     7975n "D_T_in"
     7976t "std_logic_vector"
     7977b "(1 DOWNTO 0)"
     7978o 80
     7979suid 213,0
     7980)
     7981declText (MLText
     7982uid 14335,0
     7983va (VaSet
     7984font "Courier New,8,0"
     7985)
     7986xt "39000,11800,64000,12600"
     7987st "D_T_in          : std_logic_vector(1 DOWNTO 0)"
     7988)
     7989)
     7990*267 (HdlText
     7991uid 14346,0
     7992optionalChildren [
     7993*268 (EmbeddedText
     7994uid 14352,0
     7995commentText (CommentText
     7996uid 14353,0
     7997ps "CenterOffsetStrategy"
     7998shape (Rectangle
     7999uid 14354,0
     8000va (VaSet
     8001vasetType 1
     8002fg "65535,65535,65535"
     8003lineColor "0,0,32768"
     8004lineWidth 2
     8005)
     8006xt "63000,156000,76000,169000"
     8007)
     8008oxt "0,0,18000,5000"
     8009text (MLText
     8010uid 14355,0
     8011va (VaSet
     8012)
     8013xt "63200,156200,76000,158200"
     8014st "
     8015D_T(5 downto 0) <= (others => '0');
    79648016"
    7965 )
    7966 )
    7967 *264 (Wire
     8017tm "HdlTextMgr"
     8018wrapOption 3
     8019visibleHeight 13000
     8020visibleWidth 13000
     8021)
     8022)
     8023)
     8024]
     8025shape (Rectangle
     8026uid 14347,0
     8027va (VaSet
     8028vasetType 1
     8029fg "65535,65535,37120"
     8030lineColor "0,0,32768"
     8031lineWidth 2
     8032)
     8033xt "66000,153000,70000,156000"
     8034)
     8035oxt "0,0,8000,10000"
     8036ttg (MlTextGroup
     8037uid 14348,0
     8038ps "CenterOffsetStrategy"
     8039stg "VerticalLayoutStrategy"
     8040textVec [
     8041*269 (Text
     8042uid 14349,0
     8043va (VaSet
     8044font "Arial,8,1"
     8045)
     8046xt "68150,153000,69850,154000"
     8047st "eb1"
     8048blo "68150,153800"
     8049tm "HdlTextNameMgr"
     8050)
     8051*270 (Text
     8052uid 14350,0
     8053va (VaSet
     8054font "Arial,8,1"
     8055)
     8056xt "68150,154000,68950,155000"
     8057st "7"
     8058blo "68150,154800"
     8059tm "HdlTextNumberMgr"
     8060)
     8061]
     8062)
     8063viewicon (ZoomableIcon
     8064uid 14351,0
     8065sl 0
     8066va (VaSet
     8067vasetType 1
     8068fg "49152,49152,49152"
     8069)
     8070xt "66250,154250,67750,155750"
     8071iconName "TextFile.png"
     8072iconMaskName "TextFile.msk"
     8073ftype 21
     8074)
     8075viewiconposition 0
     8076)
     8077*271 (Net
     8078uid 15173,0
     8079decl (Decl
     8080n "led"
     8081t "std_logic_vector"
     8082b "(7 DOWNTO 0)"
     8083posAdd 0
     8084o 81
     8085suid 215,0
     8086i "(OTHERS => '0')"
     8087)
     8088declText (MLText
     8089uid 15174,0
     8090va (VaSet
     8091font "Courier New,8,0"
     8092)
     8093xt "39000,66400,77000,67200"
     8094st "SIGNAL led             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
     8095)
     8096)
     8097*272 (Wire
    79688098uid 245,0
    79698099shape (OrthoPolyLine
     
    79798109)
    79808110start &27
    7981 end &66
     8111end &67
    79828112ss 0
    79838113sat 32
     
    80028132)
    80038133)
    8004 on &87
    8005 )
    8006 *265 (Wire
     8134on &88
     8135)
     8136*273 (Wire
    80078137uid 277,0
    80088138shape (OrthoPolyLine
     
    80198149)
    80208150start &17
    8021 end &67
     8151end &68
    80228152sat 32
    80238153eat 2
     
    80408170)
    80418171)
    8042 on &71
    8043 )
    8044 *266 (Wire
     8172on &72
     8173)
     8174*274 (Wire
    80458175uid 285,0
    80468176shape (OrthoPolyLine
     
    80578187)
    80588188start &18
    8059 end &67
     8189end &68
    80608190sat 32
    80618191eat 2
     
    80788208)
    80798209)
    8080 on &72
    8081 )
    8082 *267 (Wire
     8210on &73
     8211)
     8212*275 (Wire
    80838213uid 362,0
    80848214shape (OrthoPolyLine
     
    80938223]
    80948224)
    8095 start &95
     8225start &96
    80968226end &16
    80978227sat 32
     
    81168246)
    81178247)
    8118 on &96
    8119 )
    8120 *268 (Wire
     8248on &97
     8249)
     8250*276 (Wire
    81218251uid 418,0
    81228252shape (OrthoPolyLine
     
    81328262)
    81338263start &13
    8134 end &73
     8264end &74
    81358265sat 32
    81368266eat 32
     
    81548284)
    81558285)
    8156 on &148
    8157 )
    8158 *269 (Wire
     8286on &149
     8287)
     8288*277 (Wire
    81598289uid 426,0
    81608290shape (OrthoPolyLine
     
    81718301)
    81728302start &19
    8173 end &74
     8303end &75
    81748304sat 32
    81758305eat 32
     
    81948324)
    81958325)
    8196 on &146
    8197 )
    8198 *270 (Wire
     8326on &147
     8327)
     8328*278 (Wire
    81998329uid 434,0
    82008330shape (OrthoPolyLine
     
    82108340)
    82118341start &20
    8212 end &75
     8342end &76
    82138343sat 32
    82148344eat 32
     
    82328362)
    82338363)
    8234 on &152
    8235 )
    8236 *271 (Wire
     8364on &153
     8365)
     8366*279 (Wire
    82378367uid 442,0
    82388368shape (OrthoPolyLine
     
    82498379)
    82508380start &21
    8251 end &76
     8381end &77
    82528382sat 32
    82538383eat 32
     
    82728402)
    82738403)
    8274 on &147
    8275 )
    8276 *272 (Wire
     8404on &148
     8405)
     8406*280 (Wire
    82778407uid 450,0
    82788408shape (OrthoPolyLine
     
    82878417]
    82888418)
    8289 start &77
     8419start &78
    82908420end &22
    82918421sat 32
     
    83108440)
    83118441)
    8312 on &151
    8313 )
    8314 *273 (Wire
     8442on &152
     8443)
     8444*281 (Wire
    83158445uid 458,0
    83168446shape (OrthoPolyLine
     
    83268456)
    83278457start &23
    8328 end &78
    8329 sat 32
    8330 eat 32
    8331 stc 0
    8332 st 0
    8333 sf 1
    8334 si 0
    8335 tg (WTG
    8336 uid 462,0
    8337 ps "ConnStartEndStrategy"
    8338 stg "STSignalDisplayStrategy"
    8339 f (Text
    8340 uid 463,0
    8341 va (VaSet
    8342 isHidden 1
    8343 )
    8344 xt "82000,71000,84700,72000"
    8345 st "W_RD"
    8346 blo "82000,71800"
    8347 tm "WireNameMgr"
    8348 )
    8349 )
    8350 on &149
    8351 )
    8352 *274 (Wire
    8353 uid 466,0
    8354 shape (OrthoPolyLine
    8355 uid 467,0
    8356 va (VaSet
    8357 vasetType 3
    8358 )
    8359 xt "80750,73000,111000,73000"
    8360 pts [
    8361 "80750,73000"
    8362 "111000,73000"
    8363 ]
    8364 )
    8365 start &24
    83668458end &79
    83678459sat 32
     
    83728464si 0
    83738465tg (WTG
     8466uid 462,0
     8467ps "ConnStartEndStrategy"
     8468stg "STSignalDisplayStrategy"
     8469f (Text
     8470uid 463,0
     8471va (VaSet
     8472isHidden 1
     8473)
     8474xt "82000,71000,84700,72000"
     8475st "W_RD"
     8476blo "82000,71800"
     8477tm "WireNameMgr"
     8478)
     8479)
     8480on &150
     8481)
     8482*282 (Wire
     8483uid 466,0
     8484shape (OrthoPolyLine
     8485uid 467,0
     8486va (VaSet
     8487vasetType 3
     8488)
     8489xt "80750,73000,111000,73000"
     8490pts [
     8491"80750,73000"
     8492"111000,73000"
     8493]
     8494)
     8495start &24
     8496end &80
     8497sat 32
     8498eat 32
     8499stc 0
     8500st 0
     8501sf 1
     8502si 0
     8503tg (WTG
    83748504uid 470,0
    83758505ps "ConnStartEndStrategy"
     
    83868516)
    83878517)
    8388 on &150
    8389 )
    8390 *275 (Wire
     8518on &151
     8519)
     8520*283 (Wire
    83918521uid 1467,0
    83928522shape (OrthoPolyLine
     
    84018531]
    84028532)
    8403 start &99
     8533start &100
    84048534end &28
    84058535sat 2
     
    84228552)
    84238553)
    8424 on &80
    8425 )
    8426 *276 (Wire
     8554on &81
     8555)
     8556*284 (Wire
    84278557uid 1730,0
    84288558shape (OrthoPolyLine
     
    84388568]
    84398569)
    8440 start &97
     8570start &98
    84418571end &29
    84428572sat 32
     
    84628592)
    84638593)
    8464 on &98
    8465 )
    8466 *277 (Wire
     8594on &99
     8595)
     8596*285 (Wire
    84678597uid 1833,0
    84688598shape (OrthoPolyLine
     
    84788608]
    84798609)
    8480 start &185
    8481 end &127
     8610start &186
     8611end &128
    84828612sat 2
    84838613eat 32
     
    85028632)
    85038633)
    8504 on &128
    8505 )
    8506 *278 (Wire
     8634on &129
     8635)
     8636*286 (Wire
    85078637uid 1841,0
    85088638shape (OrthoPolyLine
     
    85188648)
    85198649start &31
    8520 end &129
     8650end &130
    85218651sat 32
    85228652eat 32
     
    85408670)
    85418671)
    8542 on &130
    8543 )
    8544 *279 (Wire
     8672on &131
     8673)
     8674*287 (Wire
    85458675uid 1865,0
    85468676shape (OrthoPolyLine
     
    85558685]
    85568686)
    8557 start &119
     8687start &120
    85588688end &32
    85598689sat 32
     
    85788708)
    85798709)
    8580 on &123
    8581 )
    8582 *280 (Wire
     8710on &124
     8711)
     8712*288 (Wire
    85838713uid 1873,0
    85848714shape (OrthoPolyLine
     
    85938723]
    85948724)
    8595 start &120
     8725start &121
    85968726end &33
    85978727sat 32
     
    86168746)
    86178747)
    8618 on &124
    8619 )
    8620 *281 (Wire
     8748on &125
     8749)
     8750*289 (Wire
    86218751uid 1881,0
    86228752shape (OrthoPolyLine
     
    86318761]
    86328762)
    8633 start &121
     8763start &122
    86348764end &34
    86358765sat 32
     
    86548784)
    86558785)
    8656 on &125
    8657 )
    8658 *282 (Wire
     8786on &126
     8787)
     8788*290 (Wire
    86598789uid 1889,0
    86608790shape (OrthoPolyLine
     
    86698799]
    86708800)
    8671 start &122
     8801start &123
    86728802end &35
    86738803sat 32
     
    86928822)
    86938823)
    8694 on &126
    8695 )
    8696 *283 (Wire
     8824on &127
     8825)
     8826*291 (Wire
    86978827uid 2409,0
    86988828shape (OrthoPolyLine
     
    87088838)
    87098839start &36
    8710 end &82
     8840end &83
    87118841sat 32
    87128842eat 32
     
    87308860)
    87318861)
    8732 on &81
    8733 )
    8734 *284 (Wire
     8862on &82
     8863)
     8864*292 (Wire
    87358865uid 2423,0
    87368866shape (OrthoPolyLine
     
    87468876)
    87478877start &37
    8748 end &111
     8878end &112
    87498879sat 32
    87508880eat 1
     
    87688898)
    87698899)
    8770 on &83
    8771 )
    8772 *285 (Wire
     8900on &84
     8901)
     8902*293 (Wire
    87738903uid 3009,0
    87748904shape (OrthoPolyLine
     
    87848914)
    87858915start &39
    8786 end &144
     8916end &145
    87878917sat 32
    87888918eat 32
     
    88068936)
    88078937)
    8808 on &145
    8809 )
    8810 *286 (Wire
     8938on &146
     8939)
     8940*294 (Wire
    88118941uid 3015,0
    88128942shape (OrthoPolyLine
     
    88228952)
    88238953start &41
    8824 end &153
     8954end &154
    88258955sat 32
    88268956eat 32
     
    88448974)
    88458975)
    8846 on &156
    8847 )
    8848 *287 (Wire
     8976on &157
     8977)
     8978*295 (Wire
    88498979uid 3021,0
    88508980shape (OrthoPolyLine
     
    88618991)
    88628992start &40
    8863 end &132
     8993end &133
    88648994sat 32
    88658995eat 1
     
    88829012)
    88839013)
    8884 on &84
    8885 )
    8886 *288 (Wire
     9014on &85
     9015)
     9016*296 (Wire
    88879017uid 3027,0
    88889018shape (OrthoPolyLine
     
    88979027]
    88989028)
    8899 start &195
    8900 end &131
     9029start &196
     9030end &132
    89019031ss 0
    89029032sat 32
     
    89219051)
    89229052)
    8923 on &85
    8924 )
    8925 *289 (Wire
     9053on &86
     9054)
     9055*297 (Wire
    89269056uid 3218,0
    89279057shape (OrthoPolyLine
     
    89369066]
    89379067)
    8938 start &65
     9068start &66
    89399069end &15
    89409070sat 32
     
    89599089)
    89609090)
    8961 on &88
    8962 )
    8963 *290 (Wire
     9091on &89
     9092)
     9093*298 (Wire
    89649094uid 3260,0
    89659095shape (OrthoPolyLine
     
    89759105]
    89769106)
    8977 start &86
    8978 end &89
     9107start &87
     9108end &90
    89799109sat 32
    89809110eat 2
     
    89999129)
    90009130)
    9001 on &93
    9002 )
    9003 *291 (Wire
     9131on &94
     9132)
     9133*299 (Wire
    90049134uid 3270,0
    90059135shape (OrthoPolyLine
     
    90169146]
    90179147)
    9018 start &208
    9019 end &89
     9148start &209
     9149end &90
    90209150sat 32
    90219151eat 1
     
    90379167)
    90389168)
    9039 on &94
    9040 )
    9041 *292 (Wire
     9169on &95
     9170)
     9171*300 (Wire
    90429172uid 3318,0
    90439173shape (OrthoPolyLine
     
    90539183]
    90549184)
    9055 start &103
    9056 end &99
     9185start &104
     9186end &100
    90579187sat 32
    90589188eat 1
     
    90779207)
    90789208)
    9079 on &107
    9080 )
    9081 *293 (Wire
     9209on &108
     9210)
     9211*301 (Wire
    90829212uid 3352,0
    90839213shape (OrthoPolyLine
     
    90939223]
    90949224)
    9095 start &104
    9096 end &99
     9225start &105
     9226end &100
    90979227sat 32
    90989228eat 1
     
    91179247)
    91189248)
    9119 on &108
    9120 )
    9121 *294 (Wire
     9249on &109
     9250)
     9251*302 (Wire
    91229252uid 3360,0
    91239253shape (OrthoPolyLine
     
    91339263]
    91349264)
    9135 start &105
    9136 end &99
     9265start &106
     9266end &100
    91379267sat 32
    91389268eat 1
     
    91579287)
    91589288)
    9159 on &109
    9160 )
    9161 *295 (Wire
     9289on &110
     9290)
     9291*303 (Wire
    91629292uid 3368,0
    91639293shape (OrthoPolyLine
     
    91739303]
    91749304)
    9175 start &106
    9176 end &99
     9305start &107
     9306end &100
    91779307sat 32
    91789308eat 1
     
    91979327)
    91989328)
    9199 on &110
    9200 )
    9201 *296 (Wire
     9329on &111
     9330)
     9331*304 (Wire
    92029332uid 3430,0
    92039333shape (OrthoPolyLine
     
    92129342]
    92139343)
    9214 start &175
    9215 end &111
     9344start &176
     9345end &112
    92169346sat 32
    92179347eat 2
     
    92359365)
    92369366)
    9237 on &115
    9238 )
    9239 *297 (Wire
     9367on &116
     9368)
     9369*305 (Wire
    92409370uid 3438,0
    92419371shape (OrthoPolyLine
     
    92509380]
    92519381)
    9252 start &176
    9253 end &111
     9382start &177
     9383end &112
    92549384sat 32
    92559385eat 2
     
    92739403)
    92749404)
    9275 on &116
    9276 )
    9277 *298 (Wire
     9405on &117
     9406)
     9407*306 (Wire
    92789408uid 3446,0
    92799409shape (OrthoPolyLine
     
    92889418]
    92899419)
    9290 start &177
    9291 end &111
     9420start &178
     9421end &112
    92929422sat 32
    92939423eat 2
     
    93119441)
    93129442)
    9313 on &117
    9314 )
    9315 *299 (Wire
     9443on &118
     9444)
     9445*307 (Wire
    93169446uid 3454,0
    93179447shape (OrthoPolyLine
     
    93269456]
    93279457)
    9328 start &178
    9329 end &111
     9458start &179
     9459end &112
    93309460sat 32
    93319461eat 2
     
    93499479)
    93509480)
    9351 on &118
    9352 )
    9353 *300 (Wire
     9481on &119
     9482)
     9483*308 (Wire
    93549484uid 3574,0
    93559485shape (OrthoPolyLine
     
    93649494]
    93659495)
    9366 start &136
    9367 end &132
     9496start &137
     9497end &133
    93689498sat 32
    93699499eat 2
     
    93879517)
    93889518)
    9389 on &140
    9390 )
    9391 *301 (Wire
     9519on &141
     9520)
     9521*309 (Wire
    93929522uid 3582,0
    93939523shape (OrthoPolyLine
     
    94029532]
    94039533)
    9404 start &137
    9405 end &132
     9534start &138
     9535end &133
    94069536sat 32
    94079537eat 2
     
    94259555)
    94269556)
    9427 on &141
    9428 )
    9429 *302 (Wire
     9557on &142
     9558)
     9559*310 (Wire
    94309560uid 3590,0
    94319561shape (OrthoPolyLine
     
    94409570]
    94419571)
    9442 start &138
    9443 end &132
     9572start &139
     9573end &133
    94449574sat 32
    94459575eat 2
     
    94639593)
    94649594)
    9465 on &142
    9466 )
    9467 *303 (Wire
     9595on &143
     9596)
     9597*311 (Wire
    94689598uid 3598,0
    94699599shape (OrthoPolyLine
     
    94789608]
    94799609)
    9480 start &139
    9481 end &132
     9610start &140
     9611end &133
    94829612sat 32
    94839613eat 2
     
    95019631)
    95029632)
    9503 on &143
    9504 )
    9505 *304 (Wire
     9633on &144
     9634)
     9635*312 (Wire
    95069636uid 3682,0
    95079637shape (OrthoPolyLine
     
    95179647)
    95189648start &42
    9519 end &155
     9649end &156
    95209650sat 32
    95219651eat 32
     
    95399669)
    95409670)
    9541 on &154
    9542 )
    9543 *305 (Wire
     9671on &155
     9672)
     9673*313 (Wire
    95449674uid 3778,0
    95459675shape (OrthoPolyLine
     
    95549684]
    95559685)
    9556 start &161
     9686start &162
    95579687end &61
    95589688es 0
     
    95789708)
    95799709)
    9580 on &168
    9581 )
    9582 *306 (Wire
     9710on &169
     9711)
     9712*314 (Wire
    95839713uid 3786,0
    95849714shape (OrthoPolyLine
     
    95939723]
    95949724)
    9595 start &162
    9596 end &157
     9725start &163
     9726end &158
    95979727sat 32
    95989728eat 2
     
    96169746)
    96179747)
    9618 on &169
    9619 )
    9620 *307 (Wire
     9748on &170
     9749)
     9750*315 (Wire
    96219751uid 3794,0
    96229752shape (OrthoPolyLine
     
    96319761]
    96329762)
    9633 start &163
    9634 end &157
     9763start &164
     9764end &158
    96359765sat 32
    96369766eat 2
     
    96549784)
    96559785)
    9656 on &170
    9657 )
    9658 *308 (Wire
     9786on &171
     9787)
     9788*316 (Wire
    96599789uid 3802,0
    96609790shape (OrthoPolyLine
     
    96679797"139000,150000"
    96689798"136000,150000"
    9669 ]
    9670 )
    9671 start &164
    9672 sat 32
    9673 eat 16
    9674 stc 0
    9675 st 0
    9676 sf 1
    9677 si 0
    9678 tg (WTG
    9679 uid 3806,0
    9680 ps "ConnStartEndStrategy"
    9681 stg "STSignalDisplayStrategy"
    9682 f (Text
    9683 uid 3807,0
    9684 va (VaSet
    9685 isHidden 1
    9686 )
    9687 xt "136000,149000,141500,150000"
    9688 st "RS485_E_RE"
    9689 blo "136000,149800"
    9690 tm "WireNameMgr"
    9691 )
    9692 )
    9693 on &171
    9694 )
    9695 *309 (Wire
    9696 uid 3810,0
    9697 shape (OrthoPolyLine
    9698 uid 3811,0
    9699 va (VaSet
    9700 vasetType 3
    9701 )
    9702 xt "134000,149000,137000,149000"
    9703 pts [
    9704 "137000,149000"
    9705 "134000,149000"
    97069799]
    97079800)
     
    97149807si 0
    97159808tg (WTG
     9809uid 3806,0
     9810ps "ConnStartEndStrategy"
     9811stg "STSignalDisplayStrategy"
     9812f (Text
     9813uid 3807,0
     9814va (VaSet
     9815isHidden 1
     9816)
     9817xt "136000,149000,141500,150000"
     9818st "RS485_E_RE"
     9819blo "136000,149800"
     9820tm "WireNameMgr"
     9821)
     9822)
     9823on &172
     9824)
     9825*317 (Wire
     9826uid 3810,0
     9827shape (OrthoPolyLine
     9828uid 3811,0
     9829va (VaSet
     9830vasetType 3
     9831)
     9832xt "134000,149000,137000,149000"
     9833pts [
     9834"137000,149000"
     9835"134000,149000"
     9836]
     9837)
     9838start &166
     9839sat 32
     9840eat 16
     9841stc 0
     9842st 0
     9843sf 1
     9844si 0
     9845tg (WTG
    97169846uid 3814,0
    97179847ps "ConnStartEndStrategy"
     
    97289858)
    97299859)
    9730 on &172
    9731 )
    9732 *310 (Wire
     9860on &173
     9861)
     9862*318 (Wire
    97339863uid 3834,0
    97349864shape (OrthoPolyLine
     
    97439873]
    97449874)
    9745 start &167
    9746 end &157
     9875start &168
     9876end &158
    97479877sat 32
    97489878eat 2
     
    97669896)
    97679897)
    9768 on &174
    9769 )
    9770 *311 (Wire
     9898on &175
     9899)
     9900*319 (Wire
    97719901uid 4942,0
    97729902shape (OrthoPolyLine
     
    97769906lineWidth 2
    97779907)
    9778 xt "80750,120000,111000,120000"
    9779 pts [
    9780 "80750,120000"
    9781 "111000,120000"
    9782 ]
    9783 )
    9784 start &14
    9785 end &179
    9786 sat 32
     9908xt "70000,154000,72000,154000"
     9909pts [
     9910"70000,154000"
     9911"72000,154000"
     9912]
     9913)
     9914start &267
     9915end &180
     9916sat 2
    97879917eat 32
    97889918sty 1
     
    98009930isHidden 1
    98019931)
    9802 xt "82750,117000,84650,118000"
     9932xt "71750,151000,73650,152000"
    98039933st "D_T"
    9804 blo "82750,117800"
    9805 tm "WireNameMgr"
    9806 )
    9807 )
    9808 on &180
    9809 )
    9810 *312 (Wire
     9934blo "71750,151800"
     9935tm "WireNameMgr"
     9936)
     9937)
     9938on &181
     9939)
     9940*320 (Wire
    98119941uid 6431,0
    98129942shape (OrthoPolyLine
     
    98229952)
    98239953start &43
    9824 end &166
     9954end &167
    98259955sat 32
    98269956eat 32
     
    98449974)
    98459975)
    9846 on &173
    9847 )
    9848 *313 (Wire
     9976on &174
     9977)
     9978*321 (Wire
    98499979uid 7144,0
    98509980shape (OrthoPolyLine
     
    98609990]
    98619991)
    9862 start &229
    9863 end &189
     9992start &230
     9993end &190
    98649994sat 2
    98659995eat 32
     
    988310013)
    988410014)
    9885 on &190
    9886 )
    9887 *314 (Wire
     10015on &191
     10016)
     10017*322 (Wire
    988810018uid 7477,0
    988910019shape (OrthoPolyLine
     
    989910029)
    990010030start &38
    9901 end &193
     10031end &194
    990210032es 0
    990310033sat 32
     
    992010050)
    992110051)
    9922 on &191
    9923 )
    9924 *315 (Wire
     10052on &192
     10053)
     10054*323 (Wire
    992510055uid 8853,0
    992610056shape (OrthoPolyLine
     
    993910069)
    994010070start &30
    9941 end &185
     10071end &186
    994210072sat 32
    994310073eat 1
     
    996010090)
    996110091)
    9962 on &205
    9963 )
    9964 *316 (Wire
     10092on &206
     10093)
     10094*324 (Wire
    996510095uid 9502,0
    996610096shape (OrthoPolyLine
     
    999510125)
    999610126)
    9997 on &206
    9998 )
    9999 *317 (Wire
     10127on &207
     10128)
     10129*325 (Wire
    1000010130uid 10034,0
    1000110131shape (OrthoPolyLine
     
    1001210142)
    1001310143start &25
    10014 end &213
     10144end &214
    1001510145sat 32
    1001610146eat 32
     
    1003310163)
    1003410164)
    10035 on &225
    10036 )
    10037 *318 (Wire
     10165on &226
     10166)
     10167*326 (Wire
    1003810168uid 10052,0
    1003910169shape (OrthoPolyLine
     
    1004910179)
    1005010180start &44
    10051 end &211
     10181end &212
    1005210182sat 32
    1005310183eat 32
     
    1007010200)
    1007110201)
    10072 on &226
    10073 )
    10074 *319 (Wire
     10202on &227
     10203)
     10204*327 (Wire
    1007510205uid 10302,0
    1007610206shape (OrthoPolyLine
     
    1008610216]
    1008710217)
    10088 start &229
    10089 end &227
     10218start &230
     10219end &228
    1009010220sat 2
    1009110221eat 32
     
    1010910239)
    1011010240)
    10111 on &228
    10112 )
    10113 *320 (Wire
     10241on &229
     10242)
     10243*328 (Wire
    1011410244uid 10498,0
    1011510245shape (OrthoPolyLine
     
    1014410274)
    1014510275)
    10146 on &233
    10147 )
    10148 *321 (Wire
     10276on &234
     10277)
     10278*329 (Wire
    1014910279uid 10506,0
    1015010280shape (OrthoPolyLine
     
    1017910309)
    1018010310)
    10181 on &234
    10182 )
    10183 *322 (Wire
     10311on &235
     10312)
     10313*330 (Wire
    1018410314uid 10514,0
    1018510315shape (OrthoPolyLine
     
    1021410344)
    1021510345)
    10216 on &235
    10217 )
    10218 *323 (Wire
     10346on &236
     10347)
     10348*331 (Wire
    1021910349uid 10522,0
    1022010350shape (OrthoPolyLine
     
    1024910379)
    1025010380)
    10251 on &236
    10252 )
    10253 *324 (Wire
     10381on &237
     10382)
     10383*332 (Wire
    1025410384uid 10546,0
    1025510385shape (OrthoPolyLine
     
    1028410414)
    1028510415)
    10286 on &237
    10287 )
    10288 *325 (Wire
     10416on &238
     10417)
     10418*333 (Wire
    1028910419uid 10554,0
    1029010420shape (OrthoPolyLine
     
    1031910449)
    1032010450)
    10321 on &238
    10322 )
    10323 *326 (Wire
     10451on &239
     10452)
     10453*334 (Wire
    1032410454uid 10562,0
    1032510455shape (OrthoPolyLine
     
    1035410484)
    1035510485)
    10356 on &239
    10357 )
    10358 *327 (Wire
     10486on &240
     10487)
     10488*335 (Wire
    1035910489uid 10570,0
    1036010490shape (OrthoPolyLine
     
    1038910519)
    1039010520)
    10391 on &240
    10392 )
    10393 *328 (Wire
     10521on &241
     10522)
     10523*336 (Wire
    1039410524uid 10578,0
    1039510525shape (OrthoPolyLine
     
    1042410554)
    1042510555)
    10426 on &241
    10427 )
    10428 *329 (Wire
     10556on &242
     10557)
     10558*337 (Wire
    1042910559uid 10586,0
    1043010560shape (OrthoPolyLine
     
    1045910589)
    1046010590)
    10461 on &242
    10462 )
    10463 *330 (Wire
     10591on &243
     10592)
     10593*338 (Wire
    1046410594uid 10594,0
    1046510595shape (OrthoPolyLine
     
    1049410624)
    1049510625)
    10496 on &243
    10497 )
    10498 *331 (Wire
     10626on &244
     10627)
     10628*339 (Wire
    1049910629uid 11096,0
    1050010630shape (OrthoPolyLine
     
    1050910639]
    1051010640)
    10511 start &244
    10512 end &157
     10641start &245
     10642end &158
    1051310643sat 32
    1051410644eat 1
     
    1053110661)
    1053210662)
    10533 on &245
    10534 )
    10535 *332 (Wire
     10663on &246
     10664)
     10665*340 (Wire
    1053610666uid 11110,0
    1053710667shape (OrthoPolyLine
     
    1054610676]
    1054710677)
    10548 start &157
    10549 end &246
     10678start &158
     10679end &247
    1055010680sat 2
    1055110681eat 32
     
    1056810698)
    1056910699)
    10570 on &247
    10571 )
    10572 *333 (Wire
     10700on &248
     10701)
     10702*341 (Wire
    1057310703uid 11514,0
    1057410704shape (OrthoPolyLine
     
    1058310713]
    1058410714)
    10585 start &248
     10715start &249
    1058610716sat 32
    1058710717eat 16
     
    1060410734)
    1060510735)
    10606 on &249
    10607 )
    10608 *334 (Wire
     10736on &250
     10737)
     10738*342 (Wire
    1060910739uid 11528,0
    1061010740shape (OrthoPolyLine
     
    1061910749]
    1062010750)
    10621 end &251
     10751end &252
    1062210752sat 16
    1062310753eat 32
     
    1064010770)
    1064110771)
    10642 on &250
    10643 )
    10644 *335 (Wire
     10772on &251
     10773)
     10774*343 (Wire
    1064510775uid 12320,0
    1064610776shape (OrthoPolyLine
     
    1065610786)
    1065710787start &57
    10658 end &252
     10788end &253
    1065910789sat 32
    1066010790eat 32
     
    1067810808)
    1067910809)
    10680 on &253
    10681 )
    10682 *336 (Wire
     10810on &254
     10811)
     10812*344 (Wire
    1068310813uid 12545,0
    1068410814shape (OrthoPolyLine
     
    1069410824)
    1069510825start &58
    10696 end &254
     10826end &255
    1069710827ss 0
    1069810828sat 32
     
    1071610846)
    1071710847)
    10718 on &257
    10719 )
    10720 *337 (Wire
     10848on &258
     10849)
     10850*345 (Wire
    1072110851uid 12559,0
    1072210852shape (OrthoPolyLine
     
    1073210862)
    1073310863start &60
    10734 end &255
    10735 sat 32
    10736 eat 32
    10737 st 0
    10738 sf 1
    10739 si 0
    10740 tg (WTG
    10741 uid 12563,0
    10742 ps "ConnStartEndStrategy"
    10743 stg "STSignalDisplayStrategy"
    10744 f (Text
    10745 uid 12564,0
    10746 va (VaSet
    10747 isHidden 1
    10748 )
    10749 xt "83000,142000,88100,143000"
    10750 st "GREEN_LED"
    10751 blo "83000,142800"
    10752 tm "WireNameMgr"
    10753 )
    10754 )
    10755 on &258
    10756 )
    10757 *338 (Wire
    10758 uid 12573,0
    10759 shape (OrthoPolyLine
    10760 uid 12574,0
    10761 va (VaSet
    10762 vasetType 3
    10763 )
    10764 xt "80750,142000,87000,143000"
    10765 pts [
    10766 "80750,142000"
    10767 "87000,143000"
    10768 ]
    10769 )
    10770 start &59
    1077110864end &256
    1077210865sat 32
     
    1077610869si 0
    1077710870tg (WTG
     10871uid 12563,0
     10872ps "ConnStartEndStrategy"
     10873stg "STSignalDisplayStrategy"
     10874f (Text
     10875uid 12564,0
     10876va (VaSet
     10877isHidden 1
     10878)
     10879xt "83000,142000,88100,143000"
     10880st "GREEN_LED"
     10881blo "83000,142800"
     10882tm "WireNameMgr"
     10883)
     10884)
     10885on &259
     10886)
     10887*346 (Wire
     10888uid 12573,0
     10889shape (OrthoPolyLine
     10890uid 12574,0
     10891va (VaSet
     10892vasetType 3
     10893)
     10894xt "80750,142000,87000,143000"
     10895pts [
     10896"80750,142000"
     10897"87000,143000"
     10898]
     10899)
     10900start &59
     10901end &257
     10902sat 32
     10903eat 32
     10904st 0
     10905sf 1
     10906si 0
     10907tg (WTG
    1077810908uid 12577,0
    1077910909ps "ConnStartEndStrategy"
     
    1079010920)
    1079110921)
    10792 on &259
    10793 )
    10794 *339 (Wire
     10922on &260
     10923)
     10924*347 (Wire
    1079510925uid 13522,0
    1079610926shape (OrthoPolyLine
     
    1080010930lineWidth 2
    1080110931)
    10802 xt "112000,148000,120000,148000"
    10803 pts [
    10804 "112000,148000"
    10805 "120000,148000"
    10806 ]
    10807 )
    10808 start &260
    10809 end &229
     10932xt "12000,81000,24000,81000"
     10933pts [
     10934"12000,81000"
     10935"24000,81000"
     10936]
     10937)
     10938start &261
     10939end &68
    1081010940sat 32
    1081110941eat 1
     
    1082110951uid 13527,0
    1082210952va (VaSet
    10823 isHidden 1
    10824 )
    10825 xt "114000,147000,121900,148000"
    10826 st "POSITION_ID : (5:0)"
    10827 blo "114000,147800"
    10828 tm "WireNameMgr"
    10829 )
    10830 )
    10831 on &261
    10832 )
    10833 *340 (Wire
     10953)
     10954xt "14000,80000,18700,81000"
     10955st "LINE : (5:0)"
     10956blo "14000,80800"
     10957tm "WireNameMgr"
     10958)
     10959)
     10960on &262
     10961)
     10962*348 (Wire
    1083410963uid 13538,0
    1083510964shape (OrthoPolyLine
     
    1084410973]
    1084510974)
    10846 end &229
     10975end &230
    1084710976sat 16
    1084810977eat 1
     
    1086410993)
    1086510994)
    10866 on &243
    10867 )
    10868 *341 (Wire
     10995on &244
     10996)
     10997*349 (Wire
    1086910998uid 13546,0
    1087010999shape (OrthoPolyLine
     
    1087911008]
    1088011009)
    10881 end &229
     11010end &230
    1088211011sat 16
    1088311012eat 1
     
    1089911028)
    1090011029)
    10901 on &242
    10902 )
    10903 *342 (Wire
     11030on &243
     11031)
     11032*350 (Wire
    1090411033uid 13554,0
    1090511034shape (OrthoPolyLine
     
    1091411043]
    1091511044)
    10916 end &229
     11045end &230
    1091711046sat 16
    1091811047eat 1
     
    1093411063)
    1093511064)
    10936 on &241
    10937 )
    10938 *343 (Wire
     11065on &242
     11066)
     11067*351 (Wire
    1093911068uid 13570,0
    1094011069shape (OrthoPolyLine
     
    1094911078]
    1095011079)
    10951 end &229
     11080end &230
    1095211081sat 16
    1095311082eat 1
     
    1096911098)
    1097011099)
    10971 on &253
    10972 )
    10973 *344 (Wire
     11100on &254
     11101)
     11102*352 (Wire
    1097411103uid 13578,0
    1097511104shape (OrthoPolyLine
     
    1098511114]
    1098611115)
    10987 end &229
     11116end &230
    1098811117sat 16
    1098911118eat 1
     
    1100611135)
    1100711136)
    11008 on &205
    11009 )
    11010 *345 (Wire
     11137on &206
     11138)
     11139*353 (Wire
    1101111140uid 13610,0
    1101211141shape (OrthoPolyLine
     
    1102111150]
    1102211151)
    11023 start &181
    11024 end &229
     11152start &182
     11153end &230
    1102511154sat 32
    1102611155eat 1
     
    1104311172)
    1104411173)
    11045 on &182
    11046 )
    11047 *346 (Wire
     11174on &183
     11175)
     11176*354 (Wire
    1104811177uid 13618,0
    1104911178shape (OrthoPolyLine
     
    1105911188]
    1106011189)
    11061 start &229
    11062 end &183
     11190start &230
     11191end &184
    1106311192sat 2
    1106411193eat 32
     
    1108211211)
    1108311212)
    11084 on &184
    11085 )
    11086 *347 (Wire
     11213on &185
     11214)
     11215*355 (Wire
    1108711216uid 13634,0
    1108811217shape (OrthoPolyLine
     
    1109711226]
    1109811227)
    11099 start &262
    11100 end &229
     11228start &263
     11229end &230
    1110111230sat 32
    1110211231eat 1
     
    1111911248)
    1112011249)
    11121 on &263
    11122 )
    11123 *348 (Wire
     11250on &264
     11251)
     11252*356 (Wire
    1112411253uid 13650,0
    1112511254shape (OrthoPolyLine
     
    1113411263]
    1113511264)
    11136 end &229
     11265end &230
    1113711266sat 16
    1113811267eat 1
     
    1115411283)
    1115511284)
    11156 on &249
    11157 )
    11158 *349 (Wire
     11285on &250
     11286)
     11287*357 (Wire
    1115911288uid 13658,0
    1116011289shape (OrthoPolyLine
     
    1116911298]
    1117011299)
    11171 start &229
     11300start &230
    1117211301sat 2
    1117311302eat 16
     
    1118911318)
    1119011319)
    11191 on &172
    11192 )
    11193 *350 (Wire
     11320on &173
     11321)
     11322*358 (Wire
    1119411323uid 13666,0
    1119511324shape (OrthoPolyLine
     
    1120411333]
    1120511334)
    11206 start &229
     11335start &230
    1120711336sat 2
    1120811337eat 16
     
    1122411353)
    1122511354)
    11226 on &171
     11355on &172
     11356)
     11357*359 (Wire
     11358uid 14328,0
     11359shape (OrthoPolyLine
     11360uid 14329,0
     11361va (VaSet
     11362vasetType 3
     11363lineWidth 2
     11364)
     11365xt "39000,139000,51250,139000"
     11366pts [
     11367"39000,139000"
     11368"51250,139000"
     11369]
     11370)
     11371start &265
     11372end &62
     11373sat 32
     11374eat 32
     11375sty 1
     11376st 0
     11377sf 1
     11378si 0
     11379tg (WTG
     11380uid 14332,0
     11381ps "ConnStartEndStrategy"
     11382stg "STSignalDisplayStrategy"
     11383f (Text
     11384uid 14333,0
     11385va (VaSet
     11386isHidden 1
     11387)
     11388xt "41000,138000,46500,139000"
     11389st "D_T_in : (1:0)"
     11390blo "41000,138800"
     11391tm "WireNameMgr"
     11392)
     11393)
     11394on &266
     11395)
     11396*360 (Wire
     11397uid 15175,0
     11398shape (OrthoPolyLine
     11399uid 15176,0
     11400va (VaSet
     11401vasetType 3
     11402lineWidth 2
     11403)
     11404xt "80750,120000,87000,120000"
     11405pts [
     11406"80750,120000"
     11407"87000,120000"
     11408]
     11409)
     11410start &14
     11411sat 32
     11412eat 16
     11413sty 1
     11414st 0
     11415sf 1
     11416si 0
     11417tg (WTG
     11418uid 15179,0
     11419ps "ConnStartEndStrategy"
     11420stg "STSignalDisplayStrategy"
     11421f (Text
     11422uid 15180,0
     11423va (VaSet
     11424)
     11425xt "82000,119000,86000,120000"
     11426st "led : (7:0)"
     11427blo "82000,119800"
     11428tm "WireNameMgr"
     11429)
     11430)
     11431on &271
    1122711432)
    1122811433]
     
    1123811443color "26368,26368,26368"
    1123911444)
    11240 packageList *351 (PackageList
     11445packageList *361 (PackageList
    1124111446uid 41,0
    1124211447stg "VerticalLayoutStrategy"
    1124311448textVec [
    11244 *352 (Text
     11449*362 (Text
    1124511450uid 42,0
    1124611451va (VaSet
     
    1125111456blo "0,800"
    1125211457)
    11253 *353 (MLText
     11458*363 (MLText
    1125411459uid 43,0
    1125511460va (VaSet
     
    1127211477stg "VerticalLayoutStrategy"
    1127311478textVec [
    11274 *354 (Text
     11479*364 (Text
    1127511480uid 45,0
    1127611481va (VaSet
     
    1128211487blo "20000,800"
    1128311488)
    11284 *355 (Text
     11489*365 (Text
    1128511490uid 46,0
    1128611491va (VaSet
     
    1129211497blo "20000,1800"
    1129311498)
    11294 *356 (MLText
     11499*366 (MLText
    1129511500uid 47,0
    1129611501va (VaSet
     
    1130211507tm "BdCompilerDirectivesTextMgr"
    1130311508)
    11304 *357 (Text
     11509*367 (Text
    1130511510uid 48,0
    1130611511va (VaSet
     
    1131211517blo "20000,4800"
    1131311518)
    11314 *358 (MLText
     11519*368 (MLText
    1131511520uid 49,0
    1131611521va (VaSet
     
    1132011525tm "BdCompilerDirectivesTextMgr"
    1132111526)
    11322 *359 (Text
     11527*369 (Text
    1132311528uid 50,0
    1132411529va (VaSet
     
    1133011535blo "20000,5800"
    1133111536)
    11332 *360 (MLText
     11537*370 (MLText
    1133311538uid 51,0
    1133411539va (VaSet
     
    1134211547)
    1134311548windowSize "0,0,1281,1024"
    11344 viewArea "70599,117336,126603,163521"
     11549viewArea "47500,114900,130258,183150"
    1134511550cachedDiagramExtent "0,0,699000,450107"
    1134611551pageSetupInfo (PageSetupInfo
     
    1135511560hasePageBreakOrigin 1
    1135611561pageBreakOrigin "0,0"
    11357 lastUid 14001,0
     11562lastUid 15337,0
    1135811563defaultCommentText (CommentText
    1135911564shape (Rectangle
     
    1141711622stg "VerticalLayoutStrategy"
    1141811623textVec [
    11419 *361 (Text
     11624*371 (Text
    1142011625va (VaSet
    1142111626font "Arial,8,1"
     
    1142611631tm "BdLibraryNameMgr"
    1142711632)
    11428 *362 (Text
     11633*372 (Text
    1142911634va (VaSet
    1143011635font "Arial,8,1"
     
    1143511640tm "BlkNameMgr"
    1143611641)
    11437 *363 (Text
     11642*373 (Text
    1143811643va (VaSet
    1143911644font "Arial,8,1"
     
    1148611691stg "VerticalLayoutStrategy"
    1148711692textVec [
    11488 *364 (Text
     11693*374 (Text
    1148911694va (VaSet
    1149011695font "Arial,8,1"
     
    1149411699blo "550,4300"
    1149511700)
    11496 *365 (Text
     11701*375 (Text
    1149711702va (VaSet
    1149811703font "Arial,8,1"
     
    1150211707blo "550,5300"
    1150311708)
    11504 *366 (Text
     11709*376 (Text
    1150511710va (VaSet
    1150611711font "Arial,8,1"
     
    1155111756stg "VerticalLayoutStrategy"
    1155211757textVec [
    11553 *367 (Text
     11758*377 (Text
    1155411759va (VaSet
    1155511760font "Arial,8,1"
     
    1156011765tm "BdLibraryNameMgr"
    1156111766)
    11562 *368 (Text
     11767*378 (Text
    1156311768va (VaSet
    1156411769font "Arial,8,1"
     
    1156911774tm "CptNameMgr"
    1157011775)
    11571 *369 (Text
     11776*379 (Text
    1157211777va (VaSet
    1157311778font "Arial,8,1"
     
    1162311828stg "VerticalLayoutStrategy"
    1162411829textVec [
    11625 *370 (Text
     11830*380 (Text
    1162611831va (VaSet
    1162711832font "Arial,8,1"
     
    1163111836blo "500,4300"
    1163211837)
    11633 *371 (Text
     11838*381 (Text
    1163411839va (VaSet
    1163511840font "Arial,8,1"
     
    1163911844blo "500,5300"
    1164011845)
    11641 *372 (Text
     11846*382 (Text
    1164211847va (VaSet
    1164311848font "Arial,8,1"
     
    1168411889stg "VerticalLayoutStrategy"
    1168511890textVec [
    11686 *373 (Text
     11891*383 (Text
    1168711892va (VaSet
    1168811893font "Arial,8,1"
     
    1169211897blo "50,4300"
    1169311898)
    11694 *374 (Text
     11899*384 (Text
    1169511900va (VaSet
    1169611901font "Arial,8,1"
     
    1170011905blo "50,5300"
    1170111906)
    11702 *375 (Text
     11907*385 (Text
    1170311908va (VaSet
    1170411909font "Arial,8,1"
     
    1174111946stg "VerticalLayoutStrategy"
    1174211947textVec [
    11743 *376 (Text
     11948*386 (Text
    1174411949va (VaSet
    1174511950font "Arial,8,1"
     
    1175011955tm "HdlTextNameMgr"
    1175111956)
    11752 *377 (Text
     11957*387 (Text
    1175311958va (VaSet
    1175411959font "Arial,8,1"
     
    1215312358stg "VerticalLayoutStrategy"
    1215412359textVec [
    12155 *378 (Text
     12360*388 (Text
    1215612361va (VaSet
    1215712362font "Arial,8,1"
     
    1216112366blo "14100,20800"
    1216212367)
    12163 *379 (MLText
     12368*389 (MLText
    1216412369va (VaSet
    1216512370)
     
    1221312418stg "VerticalLayoutStrategy"
    1221412419textVec [
    12215 *380 (Text
     12420*390 (Text
    1221612421va (VaSet
    1221712422font "Arial,8,1"
     
    1222112426blo "14100,20800"
    1222212427)
    12223 *381 (MLText
     12428*391 (MLText
    1222412429va (VaSet
    1222512430)
     
    1233912544font "Arial,8,1"
    1234012545)
    12341 xt "37000,49400,44100,50400"
     12546xt "37000,50200,44100,51200"
    1234212547st "Diagram Signals:"
    12343 blo "37000,50200"
     12548blo "37000,51000"
    1234412549)
    1234512550postUserLabel (Text
     
    1236512570commonDM (CommonDM
    1236612571ldm (LogicalDM
    12367 suid 212,0
     12572suid 215,0
    1236812573usingSuid 1
    12369 emptyRow *382 (LEmptyRow
     12574emptyRow *392 (LEmptyRow
    1237012575)
    1237112576uid 54,0
    1237212577optionalChildren [
    12373 *383 (RefLabelRowHdr
    12374 )
    12375 *384 (TitleRowHdr
    12376 )
    12377 *385 (FilterRowHdr
    12378 )
    12379 *386 (RefLabelColHdr
     12578*393 (RefLabelRowHdr
     12579)
     12580*394 (TitleRowHdr
     12581)
     12582*395 (FilterRowHdr
     12583)
     12584*396 (RefLabelColHdr
    1238012585tm "RefLabelColHdrMgr"
    1238112586)
    12382 *387 (RowExpandColHdr
     12587*397 (RowExpandColHdr
    1238312588tm "RowExpandColHdrMgr"
    1238412589)
    12385 *388 (GroupColHdr
     12590*398 (GroupColHdr
    1238612591tm "GroupColHdrMgr"
    1238712592)
    12388 *389 (NameColHdr
     12593*399 (NameColHdr
    1238912594tm "BlockDiagramNameColHdrMgr"
    1239012595)
    12391 *390 (ModeColHdr
     12596*400 (ModeColHdr
    1239212597tm "BlockDiagramModeColHdrMgr"
    1239312598)
    12394 *391 (TypeColHdr
     12599*401 (TypeColHdr
    1239512600tm "BlockDiagramTypeColHdrMgr"
    1239612601)
    12397 *392 (BoundsColHdr
     12602*402 (BoundsColHdr
    1239812603tm "BlockDiagramBoundsColHdrMgr"
    1239912604)
    12400 *393 (InitColHdr
     12605*403 (InitColHdr
    1240112606tm "BlockDiagramInitColHdrMgr"
    1240212607)
    12403 *394 (EolColHdr
     12608*404 (EolColHdr
    1240412609tm "BlockDiagramEolColHdrMgr"
    1240512610)
    12406 *395 (LeafLogPort
     12611*405 (LeafLogPort
    1240712612port (LogicalPort
    1240812613m 4
     
    1241912624uid 327,0
    1242012625)
    12421 *396 (LeafLogPort
     12626*406 (LeafLogPort
    1242212627port (LogicalPort
    1242312628m 4
     
    1243212637uid 329,0
    1243312638)
    12434 *397 (LeafLogPort
     12639*407 (LeafLogPort
    1243512640port (LogicalPort
    1243612641m 4
     
    1244412649uid 1491,0
    1244512650)
    12446 *398 (LeafLogPort
     12651*408 (LeafLogPort
    1244712652port (LogicalPort
    1244812653m 1
     
    1245712662uid 2435,0
    1245812663)
    12459 *399 (LeafLogPort
     12664*409 (LeafLogPort
    1246012665port (LogicalPort
    1246112666m 4
     
    1247012675uid 2437,0
    1247112676)
    12472 *400 (LeafLogPort
     12677*410 (LeafLogPort
    1247312678port (LogicalPort
    1247412679m 4
     
    1248312688uid 3037,0
    1248412689)
    12485 *401 (LeafLogPort
     12690*411 (LeafLogPort
    1248612691port (LogicalPort
    1248712692m 1
     
    1249512700uid 3039,0
    1249612701)
    12497 *402 (LeafLogPort
     12702*412 (LeafLogPort
    1249812703port (LogicalPort
    1249912704decl (Decl
     
    1250812713uid 3276,0
    1250912714)
    12510 *403 (LeafLogPort
     12715*413 (LeafLogPort
    1251112716port (LogicalPort
    1251212717decl (Decl
     
    1251912724uid 3278,0
    1252012725)
    12521 *404 (LeafLogPort
     12726*414 (LeafLogPort
    1252212727port (LogicalPort
    1252312728m 1
     
    1253212737uid 3280,0
    1253312738)
    12534 *405 (LeafLogPort
     12739*415 (LeafLogPort
    1253512740port (LogicalPort
    1253612741m 4
     
    1254412749uid 3282,0
    1254512750)
    12546 *406 (LeafLogPort
     12751*416 (LeafLogPort
    1254712752port (LogicalPort
    1254812753m 1
     
    1255812763uid 3382,0
    1255912764)
    12560 *407 (LeafLogPort
     12765*417 (LeafLogPort
    1256112766port (LogicalPort
    1256212767decl (Decl
     
    1257012775uid 3384,0
    1257112776)
    12572 *408 (LeafLogPort
     12777*418 (LeafLogPort
    1257312778port (LogicalPort
    1257412779decl (Decl
     
    1258212787uid 3386,0
    1258312788)
    12584 *409 (LeafLogPort
     12789*419 (LeafLogPort
    1258512790port (LogicalPort
    1258612791decl (Decl
     
    1259412799uid 3388,0
    1259512800)
    12596 *410 (LeafLogPort
     12801*420 (LeafLogPort
    1259712802port (LogicalPort
    1259812803decl (Decl
     
    1260612811uid 3390,0
    1260712812)
    12608 *411 (LeafLogPort
     12813*421 (LeafLogPort
    1260912814port (LogicalPort
    1261012815decl (Decl
     
    1261812823uid 3392,0
    1261912824)
    12620 *412 (LeafLogPort
     12825*422 (LeafLogPort
    1262112826port (LogicalPort
    1262212827m 1
     
    1263012835uid 3468,0
    1263112836)
    12632 *413 (LeafLogPort
     12837*423 (LeafLogPort
    1263312838port (LogicalPort
    1263412839m 1
     
    1264212847uid 3470,0
    1264312848)
    12644 *414 (LeafLogPort
     12849*424 (LeafLogPort
    1264512850port (LogicalPort
    1264612851m 1
     
    1265412859uid 3472,0
    1265512860)
    12656 *415 (LeafLogPort
     12861*425 (LeafLogPort
    1265712862port (LogicalPort
    1265812863m 1
     
    1266612871uid 3474,0
    1266712872)
    12668 *416 (LeafLogPort
     12873*426 (LeafLogPort
    1266912874port (LogicalPort
    1267012875decl (Decl
     
    1267712882uid 3524,0
    1267812883)
    12679 *417 (LeafLogPort
     12884*427 (LeafLogPort
    1268012885port (LogicalPort
    1268112886decl (Decl
     
    1268812893uid 3526,0
    1268912894)
    12690 *418 (LeafLogPort
     12895*428 (LeafLogPort
    1269112896port (LogicalPort
    1269212897decl (Decl
     
    1269912904uid 3528,0
    1270012905)
    12701 *419 (LeafLogPort
     12906*429 (LeafLogPort
    1270212907port (LogicalPort
    1270312908decl (Decl
     
    1271012915uid 3530,0
    1271112916)
    12712 *420 (LeafLogPort
     12917*430 (LeafLogPort
    1271312918port (LogicalPort
    1271412919m 1
     
    1272412929uid 3532,0
    1272512930)
    12726 *421 (LeafLogPort
     12931*431 (LeafLogPort
    1272712932port (LogicalPort
    1272812933m 1
     
    1273712942uid 3534,0
    1273812943)
    12739 *422 (LeafLogPort
     12944*432 (LeafLogPort
    1274012945port (LogicalPort
    1274112946m 1
     
    1274912954uid 3646,0
    1275012955)
    12751 *423 (LeafLogPort
     12956*433 (LeafLogPort
    1275212957port (LogicalPort
    1275312958m 1
     
    1276112966uid 3648,0
    1276212967)
    12763 *424 (LeafLogPort
     12968*434 (LeafLogPort
    1276412969port (LogicalPort
    1276512970m 1
     
    1277312978uid 3650,0
    1277412979)
    12775 *425 (LeafLogPort
     12980*435 (LeafLogPort
    1277612981port (LogicalPort
    1277712982m 1
     
    1278512990uid 3652,0
    1278612991)
    12787 *426 (LeafLogPort
     12992*436 (LeafLogPort
    1278812993port (LogicalPort
    1278912994m 1
     
    1279713002uid 3654,0
    1279813003)
    12799 *427 (LeafLogPort
     13004*437 (LeafLogPort
    1280013005port (LogicalPort
    1280113006m 1
     
    1281013015uid 3656,0
    1281113016)
    12812 *428 (LeafLogPort
     13017*438 (LeafLogPort
    1281313018port (LogicalPort
    1281413019m 2
     
    1282313028uid 3658,0
    1282413029)
    12825 *429 (LeafLogPort
     13030*439 (LeafLogPort
    1282613031port (LogicalPort
    1282713032m 1
     
    1283613041uid 3660,0
    1283713042)
    12838 *430 (LeafLogPort
     13043*440 (LeafLogPort
    1283913044port (LogicalPort
    1284013045m 1
     
    1284913054uid 3662,0
    1285013055)
    12851 *431 (LeafLogPort
     13056*441 (LeafLogPort
    1285213057port (LogicalPort
    1285313058m 1
     
    1286213067uid 3664,0
    1286313068)
    12864 *432 (LeafLogPort
     13069*442 (LeafLogPort
    1286513070port (LogicalPort
    1286613071decl (Decl
     
    1287313078uid 3666,0
    1287413079)
    12875 *433 (LeafLogPort
     13080*443 (LeafLogPort
    1287613081port (LogicalPort
    1287713082m 1
     
    1288613091uid 3668,0
    1288713092)
    12888 *434 (LeafLogPort
     13093*444 (LeafLogPort
    1288913094port (LogicalPort
    1289013095m 1
     
    1289913104uid 3696,0
    1290013105)
    12901 *435 (LeafLogPort
     13106*445 (LeafLogPort
    1290213107port (LogicalPort
    1290313108m 2
     
    1291313118uid 3698,0
    1291413119)
    12915 *436 (LeafLogPort
     13120*446 (LeafLogPort
    1291613121port (LogicalPort
    1291713122m 1
     
    1292513130uid 3886,0
    1292613131)
    12927 *437 (LeafLogPort
     13132*447 (LeafLogPort
    1292813133port (LogicalPort
    1292913134m 1
     
    1293713142uid 3888,0
    1293813143)
    12939 *438 (LeafLogPort
     13144*448 (LeafLogPort
    1294013145port (LogicalPort
    1294113146m 1
     
    1294913154uid 3890,0
    1295013155)
    12951 *439 (LeafLogPort
     13156*449 (LeafLogPort
    1295213157port (LogicalPort
    1295313158m 1
     
    1296113166uid 3892,0
    1296213167)
    12963 *440 (LeafLogPort
     13168*450 (LeafLogPort
    1296413169port (LogicalPort
    1296513170m 1
     
    1297313178uid 3894,0
    1297413179)
    12975 *441 (LeafLogPort
     13180*451 (LeafLogPort
    1297613181port (LogicalPort
    1297713182m 1
     
    1298613191uid 3896,0
    1298713192)
    12988 *442 (LeafLogPort
     13193*452 (LeafLogPort
    1298913194port (LogicalPort
    1299013195m 1
     
    1299813203uid 3900,0
    1299913204)
    13000 *443 (LeafLogPort
     13205*453 (LeafLogPort
    1300113206port (LogicalPort
    1300213207m 1
     
    1300413209n "D_T"
    1300513210t "std_logic_vector"
    13006 b "(7 DOWNTO 0)"
     13211b "(5 DOWNTO 0)"
    1300713212o 31
    1300813213suid 141,0
     
    1301213217uid 5322,0
    1301313218)
    13014 *444 (LeafLogPort
     13219*454 (LeafLogPort
    1301513220port (LogicalPort
    1301613221decl (Decl
     
    1302513230scheme 0
    1302613231)
    13027 *445 (LeafLogPort
     13232*455 (LeafLogPort
    1302813233port (LogicalPort
    1302913234m 1
     
    1304013245scheme 0
    1304113246)
    13042 *446 (LeafLogPort
     13247*456 (LeafLogPort
    1304313248port (LogicalPort
    1304413249m 1
     
    1305513260scheme 0
    1305613261)
    13057 *447 (LeafLogPort
     13262*457 (LeafLogPort
    1305813263port (LogicalPort
    1305913264m 4
     
    1306813273scheme 0
    1306913274)
    13070 *448 (LeafLogPort
     13275*458 (LeafLogPort
    1307113276port (LogicalPort
    1307213277m 4
     
    1308213287uid 8875,0
    1308313288)
    13084 *449 (LeafLogPort
     13289*459 (LeafLogPort
    1308513290port (LogicalPort
    1308613291m 4
     
    1309413299uid 9516,0
    1309513300)
    13096 *450 (LeafLogPort
     13301*460 (LeafLogPort
    1309713302port (LogicalPort
    1309813303m 4
     
    1310613311uid 10056,0
    1310713312)
    13108 *451 (LeafLogPort
     13313*461 (LeafLogPort
    1310913314port (LogicalPort
    1311013315m 4
     
    1311913324uid 10058,0
    1312013325)
    13121 *452 (LeafLogPort
     13326*462 (LeafLogPort
    1312213327port (LogicalPort
    1312313328m 1
     
    1313413339scheme 0
    1313513340)
    13136 *453 (LeafLogPort
     13341*463 (LeafLogPort
    1313713342port (LogicalPort
    1313813343m 4
     
    1314613351uid 10704,0
    1314713352)
    13148 *454 (LeafLogPort
     13353*464 (LeafLogPort
    1314913354port (LogicalPort
    1315013355m 4
     
    1315813363uid 10706,0
    1315913364)
    13160 *455 (LeafLogPort
     13365*465 (LeafLogPort
    1316113366port (LogicalPort
    1316213367m 4
     
    1317013375uid 10708,0
    1317113376)
    13172 *456 (LeafLogPort
     13377*466 (LeafLogPort
    1317313378port (LogicalPort
    1317413379m 4
     
    1318213387uid 10710,0
    1318313388)
    13184 *457 (LeafLogPort
     13389*467 (LeafLogPort
    1318513390port (LogicalPort
    1318613391m 4
     
    1319413399uid 10716,0
    1319513400)
    13196 *458 (LeafLogPort
     13401*468 (LeafLogPort
    1319713402port (LogicalPort
    1319813403m 4
     
    1320813413uid 10718,0
    1320913414)
    13210 *459 (LeafLogPort
     13415*469 (LeafLogPort
    1321113416port (LogicalPort
    1321213417m 4
     
    1322313428uid 10720,0
    1322413429)
    13225 *460 (LeafLogPort
     13430*470 (LeafLogPort
    1322613431port (LogicalPort
    1322713432m 4
     
    1323913444uid 10722,0
    1324013445)
    13241 *461 (LeafLogPort
     13446*471 (LeafLogPort
    1324213447port (LogicalPort
    1324313448m 4
     
    1325113456uid 10724,0
    1325213457)
    13253 *462 (LeafLogPort
     13458*472 (LeafLogPort
    1325413459port (LogicalPort
    1325513460m 4
     
    1326313468uid 10726,0
    1326413469)
    13265 *463 (LeafLogPort
     13470*473 (LeafLogPort
    1326613471port (LogicalPort
    1326713472m 4
     
    1327513480uid 10728,0
    1327613481)
    13277 *464 (LeafLogPort
     13482*474 (LeafLogPort
    1327813483port (LogicalPort
    1327913484decl (Decl
     
    1328713492scheme 0
    1328813493)
    13289 *465 (LeafLogPort
     13494*475 (LeafLogPort
    1329013495port (LogicalPort
    1329113496m 1
     
    1330013505scheme 0
    1330113506)
    13302 *466 (LeafLogPort
     13507*476 (LeafLogPort
    1330313508port (LogicalPort
    1330413509decl (Decl
     
    1331213517scheme 0
    1331313518)
    13314 *467 (LeafLogPort
     13519*477 (LeafLogPort
    1331513520port (LogicalPort
    1331613521decl (Decl
     
    1332413529scheme 0
    1332513530)
    13326 *468 (LeafLogPort
     13531*478 (LeafLogPort
    1332713532port (LogicalPort
    1332813533m 1
     
    1333713542uid 12336,0
    1333813543)
    13339 *469 (LeafLogPort
     13544*479 (LeafLogPort
    1334013545port (LogicalPort
    1334113546m 1
     
    1334913554uid 12768,0
    1335013555)
    13351 *470 (LeafLogPort
     13556*480 (LeafLogPort
    1335213557port (LogicalPort
    1335313558m 1
     
    1336113566uid 12770,0
    1336213567)
    13363 *471 (LeafLogPort
     13568*481 (LeafLogPort
    1336413569port (LogicalPort
    1336513570m 1
     
    1337313578uid 12772,0
    1337413579)
    13375 *472 (LeafLogPort
     13580*482 (LeafLogPort
    1337613581port (LogicalPort
    1337713582decl (Decl
    13378 n "POSITION_ID"
     13583n "LINE"
    1337913584t "std_logic_vector"
    1338013585b "( 5 DOWNTO 0 )"
     
    1338613591scheme 0
    1338713592)
    13388 *473 (LeafLogPort
     13593*483 (LeafLogPort
    1338913594port (LogicalPort
    1339013595decl (Decl
     
    1339713602uid 13626,0
    1339813603scheme 0
     13604)
     13605*484 (LeafLogPort
     13606port (LogicalPort
     13607decl (Decl
     13608n "D_T_in"
     13609t "std_logic_vector"
     13610b "(1 DOWNTO 0)"
     13611o 80
     13612suid 213,0
     13613)
     13614)
     13615uid 14320,0
     13616scheme 0
     13617)
     13618*485 (LeafLogPort
     13619port (LogicalPort
     13620m 4
     13621decl (Decl
     13622n "led"
     13623t "std_logic_vector"
     13624b "(7 DOWNTO 0)"
     13625posAdd 0
     13626o 81
     13627suid 215,0
     13628i "(OTHERS => '0')"
     13629)
     13630)
     13631uid 15181,0
    1339913632)
    1340013633]
     
    1340513638uid 67,0
    1340613639optionalChildren [
    13407 *474 (Sheet
     13640*486 (Sheet
    1340813641sheetRow (SheetRow
    1340913642headerVa (MVa
     
    1342213655font "Tahoma,10,0"
    1342313656)
    13424 emptyMRCItem *475 (MRCItem
    13425 litem &382
    13426 pos 79
     13657emptyMRCItem *487 (MRCItem
     13658litem &392
     13659pos 81
    1342713660dimension 20
    1342813661)
    1342913662uid 69,0
    1343013663optionalChildren [
    13431 *476 (MRCItem
    13432 litem &383
     13664*488 (MRCItem
     13665litem &393
    1343313666pos 0
    1343413667dimension 20
    1343513668uid 70,0
    1343613669)
    13437 *477 (MRCItem
    13438 litem &384
     13670*489 (MRCItem
     13671litem &394
    1343913672pos 1
    1344013673dimension 23
    1344113674uid 71,0
    1344213675)
    13443 *478 (MRCItem
    13444 litem &385
     13676*490 (MRCItem
     13677litem &395
    1344513678pos 2
    1344613679hidden 1
     
    1344813681uid 72,0
    1344913682)
    13450 *479 (MRCItem
    13451 litem &395
     13683*491 (MRCItem
     13684litem &405
    1345213685pos 52
    1345313686dimension 20
    1345413687uid 328,0
    1345513688)
    13456 *480 (MRCItem
    13457 litem &396
     13689*492 (MRCItem
     13690litem &406
    1345813691pos 53
    1345913692dimension 20
    1346013693uid 330,0
    1346113694)
    13462 *481 (MRCItem
    13463 litem &397
     13695*493 (MRCItem
     13696litem &407
    1346413697pos 54
    1346513698dimension 20
    1346613699uid 1492,0
    1346713700)
    13468 *482 (MRCItem
    13469 litem &398
     13701*494 (MRCItem
     13702litem &408
    1347013703pos 0
    1347113704dimension 20
    1347213705uid 2436,0
    1347313706)
    13474 *483 (MRCItem
    13475 litem &399
     13707*495 (MRCItem
     13708litem &409
    1347613709pos 55
    1347713710dimension 20
    1347813711uid 2438,0
    1347913712)
    13480 *484 (MRCItem
    13481 litem &400
     13713*496 (MRCItem
     13714litem &410
    1348213715pos 56
    1348313716dimension 20
    1348413717uid 3038,0
    1348513718)
    13486 *485 (MRCItem
    13487 litem &401
     13719*497 (MRCItem
     13720litem &411
    1348813721pos 1
    1348913722dimension 20
    1349013723uid 3040,0
    1349113724)
    13492 *486 (MRCItem
    13493 litem &402
     13725*498 (MRCItem
     13726litem &412
    1349413727pos 2
    1349513728dimension 20
    1349613729uid 3277,0
    1349713730)
    13498 *487 (MRCItem
    13499 litem &403
     13731*499 (MRCItem
     13732litem &413
    1350013733pos 3
    1350113734dimension 20
    1350213735uid 3279,0
    1350313736)
    13504 *488 (MRCItem
    13505 litem &404
     13737*500 (MRCItem
     13738litem &414
    1350613739pos 4
    1350713740dimension 20
    1350813741uid 3281,0
    1350913742)
    13510 *489 (MRCItem
    13511 litem &405
     13743*501 (MRCItem
     13744litem &415
    1351213745pos 57
    1351313746dimension 20
    1351413747uid 3283,0
    1351513748)
    13516 *490 (MRCItem
    13517 litem &406
     13749*502 (MRCItem
     13750litem &416
    1351813751pos 5
    1351913752dimension 20
    1352013753uid 3383,0
    1352113754)
    13522 *491 (MRCItem
    13523 litem &407
     13755*503 (MRCItem
     13756litem &417
    1352413757pos 6
    1352513758dimension 20
    1352613759uid 3385,0
    1352713760)
    13528 *492 (MRCItem
    13529 litem &408
     13761*504 (MRCItem
     13762litem &418
    1353013763pos 7
    1353113764dimension 20
    1353213765uid 3387,0
    1353313766)
    13534 *493 (MRCItem
    13535 litem &409
     13767*505 (MRCItem
     13768litem &419
    1353613769pos 8
    1353713770dimension 20
    1353813771uid 3389,0
    1353913772)
    13540 *494 (MRCItem
    13541 litem &410
     13773*506 (MRCItem
     13774litem &420
    1354213775pos 9
    1354313776dimension 20
    1354413777uid 3391,0
    1354513778)
    13546 *495 (MRCItem
    13547 litem &411
     13779*507 (MRCItem
     13780litem &421
    1354813781pos 10
    1354913782dimension 20
    1355013783uid 3393,0
    1355113784)
    13552 *496 (MRCItem
    13553 litem &412
     13785*508 (MRCItem
     13786litem &422
    1355413787pos 11
    1355513788dimension 20
    1355613789uid 3469,0
    1355713790)
    13558 *497 (MRCItem
    13559 litem &413
     13791*509 (MRCItem
     13792litem &423
    1356013793pos 12
    1356113794dimension 20
    1356213795uid 3471,0
    1356313796)
    13564 *498 (MRCItem
    13565 litem &414
     13797*510 (MRCItem
     13798litem &424
    1356613799pos 13
    1356713800dimension 20
    1356813801uid 3473,0
    1356913802)
    13570 *499 (MRCItem
    13571 litem &415
     13803*511 (MRCItem
     13804litem &425
    1357213805pos 14
    1357313806dimension 20
    1357413807uid 3475,0
    1357513808)
    13576 *500 (MRCItem
    13577 litem &416
     13809*512 (MRCItem
     13810litem &426
    1357813811pos 15
    1357913812dimension 20
    1358013813uid 3525,0
    1358113814)
    13582 *501 (MRCItem
    13583 litem &417
     13815*513 (MRCItem
     13816litem &427
    1358413817pos 16
    1358513818dimension 20
    1358613819uid 3527,0
    1358713820)
    13588 *502 (MRCItem
    13589 litem &418
     13821*514 (MRCItem
     13822litem &428
    1359013823pos 17
    1359113824dimension 20
    1359213825uid 3529,0
    1359313826)
    13594 *503 (MRCItem
    13595 litem &419
     13827*515 (MRCItem
     13828litem &429
    1359613829pos 18
    1359713830dimension 20
    1359813831uid 3531,0
    1359913832)
    13600 *504 (MRCItem
    13601 litem &420
     13833*516 (MRCItem
     13834litem &430
    1360213835pos 19
    1360313836dimension 20
    1360413837uid 3533,0
    1360513838)
    13606 *505 (MRCItem
    13607 litem &421
     13839*517 (MRCItem
     13840litem &431
    1360813841pos 20
    1360913842dimension 20
    1361013843uid 3535,0
    1361113844)
    13612 *506 (MRCItem
    13613 litem &422
     13845*518 (MRCItem
     13846litem &432
    1361413847pos 21
    1361513848dimension 20
    1361613849uid 3647,0
    1361713850)
    13618 *507 (MRCItem
    13619 litem &423
     13851*519 (MRCItem
     13852litem &433
    1362013853pos 22
    1362113854dimension 20
    1362213855uid 3649,0
    1362313856)
    13624 *508 (MRCItem
    13625 litem &424
     13857*520 (MRCItem
     13858litem &434
    1362613859pos 23
    1362713860dimension 20
    1362813861uid 3651,0
    1362913862)
    13630 *509 (MRCItem
    13631 litem &425
     13863*521 (MRCItem
     13864litem &435
    1363213865pos 24
    1363313866dimension 20
    1363413867uid 3653,0
    1363513868)
    13636 *510 (MRCItem
    13637 litem &426
     13869*522 (MRCItem
     13870litem &436
    1363813871pos 25
    1363913872dimension 20
    1364013873uid 3655,0
    1364113874)
    13642 *511 (MRCItem
    13643 litem &427
     13875*523 (MRCItem
     13876litem &437
    1364413877pos 26
    1364513878dimension 20
    1364613879uid 3657,0
    1364713880)
    13648 *512 (MRCItem
    13649 litem &428
     13881*524 (MRCItem
     13882litem &438
    1365013883pos 27
    1365113884dimension 20
    1365213885uid 3659,0
    1365313886)
    13654 *513 (MRCItem
    13655 litem &429
     13887*525 (MRCItem
     13888litem &439
    1365613889pos 28
    1365713890dimension 20
    1365813891uid 3661,0
    1365913892)
    13660 *514 (MRCItem
    13661 litem &430
     13893*526 (MRCItem
     13894litem &440
    1366213895pos 29
    1366313896dimension 20
    1366413897uid 3663,0
    1366513898)
    13666 *515 (MRCItem
    13667 litem &431
     13899*527 (MRCItem
     13900litem &441
    1366813901pos 30
    1366913902dimension 20
    1367013903uid 3665,0
    1367113904)
    13672 *516 (MRCItem
    13673 litem &432
     13905*528 (MRCItem
     13906litem &442
    1367413907pos 31
    1367513908dimension 20
    1367613909uid 3667,0
    1367713910)
    13678 *517 (MRCItem
    13679 litem &433
     13911*529 (MRCItem
     13912litem &443
    1368013913pos 32
    1368113914dimension 20
    1368213915uid 3669,0
    1368313916)
    13684 *518 (MRCItem
    13685 litem &434
     13917*530 (MRCItem
     13918litem &444
    1368613919pos 33
    1368713920dimension 20
    1368813921uid 3697,0
    1368913922)
    13690 *519 (MRCItem
    13691 litem &435
     13923*531 (MRCItem
     13924litem &445
    1369213925pos 34
    1369313926dimension 20
    1369413927uid 3699,0
    1369513928)
    13696 *520 (MRCItem
    13697 litem &436
     13929*532 (MRCItem
     13930litem &446
    1369813931pos 35
    1369913932dimension 20
    1370013933uid 3887,0
    1370113934)
    13702 *521 (MRCItem
    13703 litem &437
     13935*533 (MRCItem
     13936litem &447
    1370413937pos 36
    1370513938dimension 20
    1370613939uid 3889,0
    1370713940)
    13708 *522 (MRCItem
    13709 litem &438
     13941*534 (MRCItem
     13942litem &448
    1371013943pos 37
    1371113944dimension 20
    1371213945uid 3891,0
    1371313946)
    13714 *523 (MRCItem
    13715 litem &439
     13947*535 (MRCItem
     13948litem &449
    1371613949pos 38
    1371713950dimension 20
    1371813951uid 3893,0
    1371913952)
    13720 *524 (MRCItem
    13721 litem &440
     13953*536 (MRCItem
     13954litem &450
    1372213955pos 39
    1372313956dimension 20
    1372413957uid 3895,0
    1372513958)
    13726 *525 (MRCItem
    13727 litem &441
     13959*537 (MRCItem
     13960litem &451
    1372813961pos 40
    1372913962dimension 20
    1373013963uid 3897,0
    1373113964)
    13732 *526 (MRCItem
    13733 litem &442
     13965*538 (MRCItem
     13966litem &452
    1373413967pos 41
    1373513968dimension 20
    1373613969uid 3901,0
    1373713970)
    13738 *527 (MRCItem
    13739 litem &443
     13971*539 (MRCItem
     13972litem &453
    1374013973pos 42
    1374113974dimension 20
    1374213975uid 5323,0
    1374313976)
    13744 *528 (MRCItem
    13745 litem &444
     13977*540 (MRCItem
     13978litem &454
    1374613979pos 43
    1374713980dimension 20
    1374813981uid 6778,0
    1374913982)
    13750 *529 (MRCItem
    13751 litem &445
     13983*541 (MRCItem
     13984litem &455
    1375213985pos 44
    1375313986dimension 20
    1375413987uid 6873,0
    1375513988)
    13756 *530 (MRCItem
    13757 litem &446
     13989*542 (MRCItem
     13990litem &456
    1375813991pos 45
    1375913992dimension 20
    1376013993uid 7135,0
    1376113994)
    13762 *531 (MRCItem
    13763 litem &447
     13995*543 (MRCItem
     13996litem &457
    1376413997pos 58
    1376513998dimension 20
    1376613999uid 7474,0
    1376714000)
    13768 *532 (MRCItem
    13769 litem &448
     14001*544 (MRCItem
     14002litem &458
    1377014003pos 59
    1377114004dimension 20
    1377214005uid 8876,0
    1377314006)
    13774 *533 (MRCItem
    13775 litem &449
     14007*545 (MRCItem
     14008litem &459
    1377614009pos 60
    1377714010dimension 20
    1377814011uid 9517,0
    1377914012)
    13780 *534 (MRCItem
    13781 litem &450
     14013*546 (MRCItem
     14014litem &460
    1378214015pos 61
    1378314016dimension 20
    1378414017uid 10057,0
    1378514018)
    13786 *535 (MRCItem
    13787 litem &451
     14019*547 (MRCItem
     14020litem &461
    1378814021pos 62
    1378914022dimension 20
    1379014023uid 10059,0
    1379114024)
    13792 *536 (MRCItem
    13793 litem &452
     14025*548 (MRCItem
     14026litem &462
    1379414027pos 46
    1379514028dimension 20
    1379614029uid 10295,0
    1379714030)
    13798 *537 (MRCItem
    13799 litem &453
     14031*549 (MRCItem
     14032litem &463
    1380014033pos 63
    1380114034dimension 20
    1380214035uid 10705,0
    1380314036)
    13804 *538 (MRCItem
    13805 litem &454
     14037*550 (MRCItem
     14038litem &464
    1380614039pos 64
    1380714040dimension 20
    1380814041uid 10707,0
    1380914042)
    13810 *539 (MRCItem
    13811 litem &455
     14043*551 (MRCItem
     14044litem &465
    1381214045pos 65
    1381314046dimension 20
    1381414047uid 10709,0
    1381514048)
    13816 *540 (MRCItem
    13817 litem &456
     14049*552 (MRCItem
     14050litem &466
    1381814051pos 66
    1381914052dimension 20
    1382014053uid 10711,0
    1382114054)
    13822 *541 (MRCItem
    13823 litem &457
     14055*553 (MRCItem
     14056litem &467
    1382414057pos 67
    1382514058dimension 20
    1382614059uid 10717,0
    1382714060)
    13828 *542 (MRCItem
    13829 litem &458
     14061*554 (MRCItem
     14062litem &468
    1383014063pos 68
    1383114064dimension 20
    1383214065uid 10719,0
    1383314066)
    13834 *543 (MRCItem
    13835 litem &459
     14067*555 (MRCItem
     14068litem &469
    1383614069pos 69
    1383714070dimension 20
    1383814071uid 10721,0
    1383914072)
    13840 *544 (MRCItem
    13841 litem &460
     14073*556 (MRCItem
     14074litem &470
    1384214075pos 70
    1384314076dimension 20
    1384414077uid 10723,0
    1384514078)
    13846 *545 (MRCItem
    13847 litem &461
     14079*557 (MRCItem
     14080litem &471
    1384814081pos 71
    1384914082dimension 20
    1385014083uid 10725,0
    1385114084)
    13852 *546 (MRCItem
    13853 litem &462
     14085*558 (MRCItem
     14086litem &472
    1385414087pos 72
    1385514088dimension 20
    1385614089uid 10727,0
    1385714090)
    13858 *547 (MRCItem
    13859 litem &463
     14091*559 (MRCItem
     14092litem &473
    1386014093pos 73
    1386114094dimension 20
    1386214095uid 10729,0
    1386314096)
    13864 *548 (MRCItem
    13865 litem &464
     14097*560 (MRCItem
     14098litem &474
    1386614099pos 47
    1386714100dimension 20
    1386814101uid 11085,0
    1386914102)
    13870 *549 (MRCItem
    13871 litem &465
     14103*561 (MRCItem
     14104litem &475
    1387214105pos 48
    1387314106dimension 20
    1387414107uid 11087,0
    1387514108)
    13876 *550 (MRCItem
    13877 litem &466
     14109*562 (MRCItem
     14110litem &476
    1387814111pos 49
    1387914112dimension 20
    1388014113uid 11505,0
    1388114114)
    13882 *551 (MRCItem
    13883 litem &467
     14115*563 (MRCItem
     14116litem &477
    1388414117pos 50
    1388514118dimension 20
    1388614119uid 11507,0
    1388714120)
    13888 *552 (MRCItem
    13889 litem &468
     14121*564 (MRCItem
     14122litem &478
    1389014123pos 51
    1389114124dimension 20
    1389214125uid 12337,0
    1389314126)
    13894 *553 (MRCItem
    13895 litem &469
     14127*565 (MRCItem
     14128litem &479
    1389614129pos 74
    1389714130dimension 20
    1389814131uid 12769,0
    1389914132)
    13900 *554 (MRCItem
    13901 litem &470
     14133*566 (MRCItem
     14134litem &480
    1390214135pos 75
    1390314136dimension 20
    1390414137uid 12771,0
    1390514138)
    13906 *555 (MRCItem
    13907 litem &471
     14139*567 (MRCItem
     14140litem &481
    1390814141pos 76
    1390914142dimension 20
    1391014143uid 12773,0
    1391114144)
    13912 *556 (MRCItem
    13913 litem &472
     14145*568 (MRCItem
     14146litem &482
    1391414147pos 77
    1391514148dimension 20
    1391614149uid 13515,0
    1391714150)
    13918 *557 (MRCItem
    13919 litem &473
     14151*569 (MRCItem
     14152litem &483
    1392014153pos 78
    1392114154dimension 20
    1392214155uid 13627,0
     14156)
     14157*570 (MRCItem
     14158litem &484
     14159pos 79
     14160dimension 20
     14161uid 14321,0
     14162)
     14163*571 (MRCItem
     14164litem &485
     14165pos 80
     14166dimension 20
     14167uid 15182,0
    1392314168)
    1392414169]
     
    1393314178uid 73,0
    1393414179optionalChildren [
    13935 *558 (MRCItem
    13936 litem &386
     14180*572 (MRCItem
     14181litem &396
    1393714182pos 0
    1393814183dimension 20
    1393914184uid 74,0
    1394014185)
    13941 *559 (MRCItem
    13942 litem &388
     14186*573 (MRCItem
     14187litem &398
    1394314188pos 1
    1394414189dimension 50
    1394514190uid 75,0
    1394614191)
    13947 *560 (MRCItem
    13948 litem &389
     14192*574 (MRCItem
     14193litem &399
    1394914194pos 2
    1395014195dimension 100
    1395114196uid 76,0
    1395214197)
    13953 *561 (MRCItem
    13954 litem &390
     14198*575 (MRCItem
     14199litem &400
    1395514200pos 3
    1395614201dimension 50
    1395714202uid 77,0
    1395814203)
    13959 *562 (MRCItem
    13960 litem &391
     14204*576 (MRCItem
     14205litem &401
    1396114206pos 4
    1396214207dimension 100
    1396314208uid 78,0
    1396414209)
    13965 *563 (MRCItem
    13966 litem &392
     14210*577 (MRCItem
     14211litem &402
    1396714212pos 5
    1396814213dimension 100
    1396914214uid 79,0
    1397014215)
    13971 *564 (MRCItem
    13972 litem &393
     14216*578 (MRCItem
     14217litem &403
    1397314218pos 6
    1397414219dimension 92
    1397514220uid 80,0
    1397614221)
    13977 *565 (MRCItem
    13978 litem &394
     14222*579 (MRCItem
     14223litem &404
    1397914224pos 7
    1398014225dimension 80
     
    1399614241genericsCommonDM (CommonDM
    1399714242ldm (LogicalDM
    13998 emptyRow *566 (LEmptyRow
     14243emptyRow *580 (LEmptyRow
    1399914244)
    1400014245uid 83,0
    1400114246optionalChildren [
    14002 *567 (RefLabelRowHdr
    14003 )
    14004 *568 (TitleRowHdr
    14005 )
    14006 *569 (FilterRowHdr
    14007 )
    14008 *570 (RefLabelColHdr
     14247*581 (RefLabelRowHdr
     14248)
     14249*582 (TitleRowHdr
     14250)
     14251*583 (FilterRowHdr
     14252)
     14253*584 (RefLabelColHdr
    1400914254tm "RefLabelColHdrMgr"
    1401014255)
    14011 *571 (RowExpandColHdr
     14256*585 (RowExpandColHdr
    1401214257tm "RowExpandColHdrMgr"
    1401314258)
    14014 *572 (GroupColHdr
     14259*586 (GroupColHdr
    1401514260tm "GroupColHdrMgr"
    1401614261)
    14017 *573 (NameColHdr
     14262*587 (NameColHdr
    1401814263tm "GenericNameColHdrMgr"
    1401914264)
    14020 *574 (TypeColHdr
     14265*588 (TypeColHdr
    1402114266tm "GenericTypeColHdrMgr"
    1402214267)
    14023 *575 (InitColHdr
     14268*589 (InitColHdr
    1402414269tm "GenericValueColHdrMgr"
    1402514270)
    14026 *576 (PragmaColHdr
     14271*590 (PragmaColHdr
    1402714272tm "GenericPragmaColHdrMgr"
    1402814273)
    14029 *577 (EolColHdr
     14274*591 (EolColHdr
    1403014275tm "GenericEolColHdrMgr"
    1403114276)
     
    1403714282uid 95,0
    1403814283optionalChildren [
    14039 *578 (Sheet
     14284*592 (Sheet
    1404014285sheetRow (SheetRow
    1404114286headerVa (MVa
     
    1405414299font "Tahoma,10,0"
    1405514300)
    14056 emptyMRCItem *579 (MRCItem
    14057 litem &566
     14301emptyMRCItem *593 (MRCItem
     14302litem &580
    1405814303pos 0
    1405914304dimension 20
     
    1406114306uid 97,0
    1406214307optionalChildren [
    14063 *580 (MRCItem
    14064 litem &567
     14308*594 (MRCItem
     14309litem &581
    1406514310pos 0
    1406614311dimension 20
    1406714312uid 98,0
    1406814313)
    14069 *581 (MRCItem
    14070 litem &568
     14314*595 (MRCItem
     14315litem &582
    1407114316pos 1
    1407214317dimension 23
    1407314318uid 99,0
    1407414319)
    14075 *582 (MRCItem
    14076 litem &569
     14320*596 (MRCItem
     14321litem &583
    1407714322pos 2
    1407814323hidden 1
     
    1409114336uid 101,0
    1409214337optionalChildren [
    14093 *583 (MRCItem
    14094 litem &570
     14338*597 (MRCItem
     14339litem &584
    1409514340pos 0
    1409614341dimension 20
    1409714342uid 102,0
    1409814343)
    14099 *584 (MRCItem
    14100 litem &572
     14344*598 (MRCItem
     14345litem &586
    1410114346pos 1
    1410214347dimension 50
    1410314348uid 103,0
    1410414349)
    14105 *585 (MRCItem
    14106 litem &573
     14350*599 (MRCItem
     14351litem &587
    1410714352pos 2
    1410814353dimension 100
    1410914354uid 104,0
    1411014355)
    14111 *586 (MRCItem
    14112 litem &574
     14356*600 (MRCItem
     14357litem &588
    1411314358pos 3
    1411414359dimension 100
    1411514360uid 105,0
    1411614361)
    14117 *587 (MRCItem
    14118 litem &575
     14362*601 (MRCItem
     14363litem &589
    1411914364pos 4
    1412014365dimension 50
    1412114366uid 106,0
    1412214367)
    14123 *588 (MRCItem
    14124 litem &576
     14368*602 (MRCItem
     14369litem &590
    1412514370pos 5
    1412614371dimension 50
    1412714372uid 107,0
    1412814373)
    14129 *589 (MRCItem
    14130 litem &577
     14374*603 (MRCItem
     14375litem &591
    1413114376pos 6
    1413214377dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd.bak

    r10121 r10123  
    9191number "9"
    9292)
     93(EmbeddedInstance
     94name "eb1"
     95number "7"
     96)
    9397]
    9498libraryRefs [
     
    105109(vvPair
    106110variable "HDLDir"
    107 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     111value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    108112)
    109113(vvPair
    110114variable "HDSDir"
    111 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     115value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    112116)
    113117(vvPair
    114118variable "SideDataDesignDir"
    115 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
     119value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
    116120)
    117121(vvPair
    118122variable "SideDataUserDir"
    119 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
     123value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
    120124)
    121125(vvPair
    122126variable "SourceDir"
    123 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     127value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    124128)
    125129(vvPair
     
    137141(vvPair
    138142variable "d"
    139 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     143value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    140144)
    141145(vvPair
    142146variable "d_logical"
    143 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
     147value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
    144148)
    145149(vvPair
    146150variable "date"
    147 value "26.01.2011"
     151value "27.01.2011"
    148152)
    149153(vvPair
    150154variable "day"
    151 value "Mi"
     155value "Do"
    152156)
    153157(vvPair
    154158variable "day_long"
    155 value "Mittwoch"
     159value "Donnerstag"
    156160)
    157161(vvPair
    158162variable "dd"
    159 value "26"
     163value "27"
    160164)
    161165(vvPair
     
    233237(vvPair
    234238variable "p"
    235 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
     239value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
    236240)
    237241(vvPair
    238242variable "p_logical"
    239 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
     243value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
    240244)
    241245(vvPair
     
    293297(vvPair
    294298variable "time"
    295 value "11:33:02"
     299value "16:56:58"
    296300)
    297301(vvPair
     
    345349bg "0,0,32768"
    346350)
    347 xt "99200,4000,108800,5000"
     351xt "99200,4000,108700,5000"
    348352st "
    349353by %user on %dd %month %year
     
    684688n "wiz_reset"
    685689t "std_logic"
    686 o 48
     690o 47
    687691suid 2,0
    688692i "'1'"
     
    723727b "(7 DOWNTO 0)"
    724728posAdd 0
    725 o 37
     729o 36
    726730suid 7,0
    727731i "(OTHERS => '0')"
     
    760764preAdd 0
    761765posAdd 0
    762 o 10
     766o 11
    763767suid 18,0
    764768)
     
    795799n "adc_oeb"
    796800t "std_logic"
    797 o 29
     801o 28
    798802suid 21,0
    799803i "'1'"
     
    833837preAdd 0
    834838posAdd 0
    835 o 8
     839o 9
    836840suid 24,0
    837841)
     
    868872t "std_logic_vector"
    869873b "(1 downto 0)"
    870 o 9
     874o 10
    871875suid 25,0
    872876)
     
    905909t "std_logic_vector"
    906910b "(9 DOWNTO 0)"
    907 o 45
     911o 44
    908912suid 26,0
    909913)
     
    941945n "wiz_cs"
    942946t "std_logic"
    943 o 46
     947o 45
    944948suid 28,0
    945949i "'1'"
     
    979983t "std_logic_vector"
    980984b "(15 DOWNTO 0)"
    981 o 51
     985o 50
    982986suid 27,0
    983987)
     
    10141018n "wiz_int"
    10151019t "std_logic"
    1016 o 11
     1020o 12
    10171021suid 31,0
    10181022)
     
    10501054n "wiz_rd"
    10511055t "std_logic"
    1052 o 47
     1056o 46
    10531057suid 30,0
    10541058i "'1'"
     
    10871091n "wiz_wr"
    10881092t "std_logic"
    1089 o 49
     1093o 48
    10901094suid 29,0
    10911095i "'1'"
     
    11231127n "CLK_25_PS"
    11241128t "std_logic"
    1125 o 15
     1129o 16
    11261130suid 35,0
    11271131)
     
    11581162n "CLK_50"
    11591163t "std_logic"
    1160 o 16
     1164o 17
    11611165suid 37,0
    11621166)
     
    12261230n "adc_data_array"
    12271231t "adc_data_array_type"
    1228 o 6
     1232o 7
    12291233suid 39,0
    12301234)
     
    12611265t "std_logic_vector"
    12621266b "(3 DOWNTO 0)"
    1263 o 7
     1267o 8
    12641268suid 40,0
    12651269)
     
    12971301t "std_logic_vector"
    12981302b "(3 downto 0)"
    1299 o 34
     1303o 33
    13001304suid 48,0
    13011305i "(others => '0')"
     
    13331337n "drs_dwrite"
    13341338t "std_logic"
    1335 o 35
     1339o 34
    13361340suid 49,0
    13371341i "'1'"
     
    13681372n "SROUT_in_0"
    13691373t "std_logic"
    1370 o 2
     1374o 3
    13711375suid 42,0
    13721376)
     
    14021406n "SROUT_in_1"
    14031407t "std_logic"
    1404 o 3
     1408o 4
    14051409suid 43,0
    14061410)
     
    14361440n "SROUT_in_2"
    14371441t "std_logic"
    1438 o 4
     1442o 5
    14391443suid 44,0
    14401444)
     
    14701474n "SROUT_in_3"
    14711475t "std_logic"
    1472 o 5
     1476o 6
    14731477suid 45,0
    14741478)
     
    15051509n "RSRLOAD"
    15061510t "std_logic"
    1507 o 25
     1511o 24
    15081512suid 56,0
    15091513i "'0'"
     
    15411545n "SRCLK"
    15421546t "std_logic"
    1543 o 26
     1547o 25
    15441548suid 57,0
    15451549i "'0'"
     
    15781582n "dac_cs"
    15791583t "std_logic"
    1580 o 32
     1584o 31
    15811585suid 64,0
    15821586)
     
    16141618n "sclk"
    16151619t "std_logic"
    1616 o 42
     1620o 41
    16171621suid 62,0
    16181622)
     
    16511655t "std_logic_vector"
    16521656b "(3 DOWNTO 0)"
    1653 o 43
     1657o 42
    16541658suid 65,0
    16551659)
     
    16891693preAdd 0
    16901694posAdd 0
    1691 o 50
     1695o 49
    16921696suid 63,0
    16931697)
     
    17251729n "mosi"
    17261730t "std_logic"
    1727 o 38
     1731o 37
    17281732suid 66,0
    17291733i "'0'"
     
    17641768eolc "-- default domino wave off"
    17651769posAdd 0
    1766 o 33
     1770o 32
    17671771suid 67,0
    17681772i "'0'"
     
    18001804n "adc_clk_en"
    18011805t "std_logic"
    1802 o 28
     1806o 27
    18031807suid 69,0
    18041808i "'0'"
     
    18391843preAdd 0
    18401844posAdd 0
    1841 o 17
     1845o 18
    18421846suid 76,0
    18431847)
     
    18751879n "LOCKED_extraOUT"
    18761880t "std_logic"
    1877 o 18
     1881o 19
    18781882suid 70,0
    18791883)
     
    19141918preAdd 0
    19151919posAdd 0
    1916 o 39
     1920o 38
    19171921suid 77,0
    19181922i "(OTHERS => '0')"
     
    19571961)
    19581962*49 (CptPort
    1959 uid 10266,0
    1960 ps "OnEdgeStrategy"
    1961 shape (Triangle
    1962 uid 10267,0
    1963 ro 90
    1964 va (VaSet
    1965 vasetType 1
    1966 fg "0,65535,0"
    1967 )
    1968 xt "80000,126625,80750,127375"
    1969 )
    1970 tg (CPTG
    1971 uid 10268,0
    1972 ps "CptPortTextPlaceStrategy"
    1973 stg "RightVerticalLayoutStrategy"
    1974 f (Text
    1975 uid 10269,0
    1976 va (VaSet
    1977 )
    1978 xt "74800,126500,79000,127500"
    1979 st "PS_DO_IN"
    1980 ju 2
    1981 blo "79000,127300"
    1982 )
    1983 )
    1984 thePort (LogicalPort
    1985 m 1
    1986 decl (Decl
    1987 n "PS_DO_IN"
    1988 t "std_logic"
    1989 o 24
    1990 suid 81,0
    1991 )
    1992 )
    1993 )
    1994 *50 (CptPort
    19951963uid 10270,0
    19961964ps "OnEdgeStrategy"
     
    20231991n "PSCLK_OUT"
    20241992t "std_logic"
    2025 o 19
     1993o 20
    20261994suid 74,0
    20271995)
    20281996)
    20291997)
    2030 *51 (CptPort
     1998*50 (CptPort
    20311999uid 10274,0
    20322000ps "OnEdgeStrategy"
     
    20592027n "PSDONE_extraOUT"
    20602028t "std_logic"
    2061 o 20
     2029o 21
    20622030suid 71,0
    20632031)
    20642032)
    20652033)
    2066 *52 (CptPort
    2067 uid 10278,0
    2068 ps "OnEdgeStrategy"
    2069 shape (Triangle
    2070 uid 10279,0
    2071 ro 90
    2072 va (VaSet
    2073 vasetType 1
    2074 fg "0,65535,0"
    2075 )
    2076 xt "80000,128625,80750,129375"
    2077 )
    2078 tg (CPTG
    2079 uid 10280,0
    2080 ps "CptPortTextPlaceStrategy"
    2081 stg "RightVerticalLayoutStrategy"
    2082 f (Text
    2083 uid 10281,0
    2084 va (VaSet
    2085 )
    2086 xt "74400,128500,79000,129500"
    2087 st "PSEN_OUT"
    2088 ju 2
    2089 blo "79000,129300"
    2090 )
    2091 )
    2092 thePort (LogicalPort
    2093 m 1
    2094 decl (Decl
    2095 n "PSEN_OUT"
    2096 t "std_logic"
    2097 o 21
    2098 suid 73,0
    2099 )
    2100 )
    2101 )
    2102 *53 (CptPort
     2034*51 (CptPort
    21032035uid 10282,0
    21042036ps "OnEdgeStrategy"
     
    21362068)
    21372069)
    2138 *54 (CptPort
     2070*52 (CptPort
    21392071uid 10286,0
    21402072ps "OnEdgeStrategy"
     
    21692101preAdd 0
    21702102posAdd 0
    2171 o 40
     2103o 39
    21722104suid 79,0
    21732105i "'0'"
     
    21752107)
    21762108)
    2177 *55 (CptPort
     2109*53 (CptPort
    21782110uid 10290,0
    21792111ps "OnEdgeStrategy"
     
    22092141preAdd 0
    22102142posAdd 0
    2211 o 44
     2143o 43
    22122144suid 78,0
    22132145i "'0'"
     
    22152147)
    22162148)
    2217 *56 (CptPort
     2149*54 (CptPort
    22182150uid 10320,0
    22192151ps "OnEdgeStrategy"
     
    22462178n "CLK25_OUT"
    22472179t "std_logic"
    2248 o 12
     2180o 13
    22492181suid 83,0
    22502182)
    22512183)
    22522184)
    2253 *57 (CptPort
     2185*55 (CptPort
    22542186uid 10324,0
    22552187ps "OnEdgeStrategy"
     
    22822214n "CLK25_PSOUT"
    22832215t "std_logic"
    2284 o 13
     2216o 14
    22852217suid 84,0
    22862218)
    22872219)
    22882220)
    2289 *58 (CptPort
     2221*56 (CptPort
    22902222uid 10328,0
    22912223ps "OnEdgeStrategy"
     
    23182250n "CLK50_OUT"
    23192251t "std_logic"
    2320 o 14
     2252o 15
    23212253suid 82,0
    23222254)
    23232255)
    23242256)
    2325 *59 (CptPort
     2257*57 (CptPort
    23262258uid 12314,0
    23272259ps "OnEdgeStrategy"
     
    23542286n "SRIN_out"
    23552287t "std_logic"
    2356 o 27
     2288o 26
    23572289suid 85,0
    23582290i "'0'"
     
    23602292)
    23612293)
    2362 *60 (CptPort
     2294*58 (CptPort
    23632295uid 12521,0
    23642296ps "OnEdgeStrategy"
     
    23912323n "amber"
    23922324t "std_logic"
    2393 o 31
     2325o 30
    23942326suid 87,0
    23952327)
    23962328)
    23972329)
    2398 *61 (CptPort
     2330*59 (CptPort
    23992331uid 12525,0
    24002332ps "OnEdgeStrategy"
     
    24272359n "green"
    24282360t "std_logic"
    2429 o 36
     2361o 35
    24302362suid 86,0
    24312363)
    24322364)
    24332365)
    2434 *62 (CptPort
     2366*60 (CptPort
    24352367uid 12529,0
    24362368ps "OnEdgeStrategy"
     
    24632395n "red"
    24642396t "std_logic"
    2465 o 41
     2397o 40
    24662398suid 88,0
    24672399)
    24682400)
    24692401)
    2470 *63 (CptPort
     2402*61 (CptPort
    24712403uid 13843,0
    24722404ps "OnEdgeStrategy"
     
    24992431n "additional_flasher_out"
    25002432t "std_logic"
    2501 o 30
     2433o 29
    25022434suid 90,0
     2435)
     2436)
     2437)
     2438*62 (CptPort
     2439uid 14682,0
     2440ps "OnEdgeStrategy"
     2441shape (Triangle
     2442uid 14683,0
     2443ro 90
     2444va (VaSet
     2445vasetType 1
     2446fg "0,65535,0"
     2447)
     2448xt "51250,138625,52000,139375"
     2449)
     2450tg (CPTG
     2451uid 14684,0
     2452ps "CptPortTextPlaceStrategy"
     2453stg "VerticalLayoutStrategy"
     2454f (Text
     2455uid 14685,0
     2456va (VaSet
     2457)
     2458xt "53000,138500,58500,139500"
     2459st "D_T_in : (1:0)"
     2460blo "53000,139300"
     2461)
     2462)
     2463thePort (LogicalPort
     2464decl (Decl
     2465n "D_T_in"
     2466t "std_logic_vector"
     2467b "(1 DOWNTO 0)"
     2468o 2
     2469suid 91,0
    25032470)
    25042471)
     
    25212488stg "VerticalLayoutStrategy"
    25222489textVec [
     2490*63 (Text
     2491uid 172,0
     2492va (VaSet
     2493font "Arial,8,1"
     2494)
     2495xt "55200,141000,61400,142000"
     2496st "FACT_FAD_lib"
     2497blo "55200,141800"
     2498tm "BdLibraryNameMgr"
     2499)
    25232500*64 (Text
    2524 uid 172,0
     2501uid 173,0
    25252502va (VaSet
    25262503font "Arial,8,1"
    25272504)
    2528 xt "52200,123000,58400,124000"
    2529 st "FACT_FAD_lib"
    2530 blo "52200,123800"
    2531 tm "BdLibraryNameMgr"
     2505xt "55200,142000,59400,143000"
     2506st "FAD_main"
     2507blo "55200,142800"
     2508tm "CptNameMgr"
    25322509)
    25332510*65 (Text
    2534 uid 173,0
     2511uid 174,0
    25352512va (VaSet
    25362513font "Arial,8,1"
    25372514)
    2538 xt "52200,124000,56400,125000"
    2539 st "FAD_main"
    2540 blo "52200,124800"
    2541 tm "CptNameMgr"
    2542 )
    2543 *66 (Text
    2544 uid 174,0
    2545 va (VaSet
    2546 font "Arial,8,1"
    2547 )
    2548 xt "52200,125000,58000,126000"
     2515xt "55200,143000,61000,144000"
    25492516st "I_board_main"
    2550 blo "52200,125800"
     2517blo "55200,143800"
    25512518tm "InstanceNameMgr"
    25522519)
     
    25932560archFileType "UNKNOWN"
    25942561)
    2595 *67 (PortIoIn
     2562*66 (PortIoIn
    25962563uid 231,0
    25972564shape (CompositeShape
     
    26382605)
    26392606)
    2640 *68 (PortIoIn
     2607*67 (PortIoIn
    26412608uid 251,0
    26422609shape (CompositeShape
     
    26832650)
    26842651)
    2685 *69 (HdlText
     2652*68 (HdlText
    26862653uid 265,0
    26872654optionalChildren [
    2688 *70 (EmbeddedText
     2655*69 (EmbeddedText
    26892656uid 271,0
    26902657commentText (CommentText
     
    27062673va (VaSet
    27072674)
    2708 xt "32200,83200,39700,86200"
     2675xt "32200,83200,43700,86200"
    27092676st "
    27102677-- hard-wired IDs
    2711 board_id <= \"0101\";
    2712 crate_id <= \"01\";
     2678board_id <= LINE(5 downto 2);
     2679crate_id <= LINE(1 downto 0);
    27132680"
    27142681tm "HdlTextMgr"
     
    27362703stg "VerticalLayoutStrategy"
    27372704textVec [
    2738 *71 (Text
     2705*70 (Text
    27392706uid 268,0
    27402707va (VaSet
     
    27462713tm "HdlTextNameMgr"
    27472714)
    2748 *72 (Text
     2715*71 (Text
    27492716uid 269,0
    27502717va (VaSet
     
    27722739viewiconposition 0
    27732740)
    2774 *73 (Net
     2741*72 (Net
    27752742uid 275,0
    27762743decl (Decl
     
    27882755font "Courier New,8,0"
    27892756)
    2790 xt "39000,64000,67500,64800"
     2757xt "39000,63200,67500,64000"
    27912758st "SIGNAL board_id        : std_logic_vector(3 downto 0)
    27922759"
    27932760)
    27942761)
    2795 *74 (Net
     2762*73 (Net
    27962763uid 283,0
    27972764decl (Decl
     
    28072774font "Courier New,8,0"
    28082775)
    2809 xt "39000,64800,67500,65600"
     2776xt "39000,64000,67500,64800"
    28102777st "SIGNAL crate_id        : std_logic_vector(1 downto 0)
    28112778"
    28122779)
    28132780)
    2814 *75 (PortIoOut
     2781*74 (PortIoOut
    28152782uid 472,0
    28162783shape (CompositeShape
     
    28562823)
    28572824)
    2858 *76 (PortIoOut
     2825*75 (PortIoOut
    28592826uid 478,0
    28602827shape (CompositeShape
     
    29002867)
    29012868)
    2902 *77 (PortIoOut
     2869*76 (PortIoOut
    29032870uid 484,0
    29042871shape (CompositeShape
     
    29442911)
    29452912)
    2946 *78 (PortIoInOut
     2913*77 (PortIoInOut
    29472914uid 490,0
    29482915shape (CompositeShape
     
    29862953)
    29872954)
    2988 *79 (PortIoIn
     2955*78 (PortIoIn
    29892956uid 496,0
    29902957shape (CompositeShape
     
    30302997)
    30312998)
    3032 *80 (PortIoOut
     2999*79 (PortIoOut
    30333000uid 502,0
    30343001shape (CompositeShape
     
    30743041)
    30753042)
    3076 *81 (PortIoOut
     3043*80 (PortIoOut
    30773044uid 508,0
    30783045shape (CompositeShape
     
    31183085)
    31193086)
    3120 *82 (Net
     3087*81 (Net
    31213088uid 1465,0
    31223089decl (Decl
     
    31313098font "Courier New,8,0"
    31323099)
    3133 xt "39000,63200,63000,64000"
     3100xt "39000,62400,63000,63200"
    31343101st "SIGNAL adc_data_array  : adc_data_array_type
    31353102"
    31363103)
    31373104)
    3138 *83 (Net
     3105*82 (Net
    31393106uid 2407,0
    31403107decl (Decl
     
    31503117font "Courier New,8,0"
    31513118)
    3152 xt "39000,37400,67500,38200"
     3119xt "39000,38200,67500,39000"
    31533120st "RSRLOAD         : std_logic                    := '0'
    31543121"
    31553122)
    31563123)
    3157 *84 (PortIoOut
     3124*83 (PortIoOut
    31583125uid 2415,0
    31593126shape (CompositeShape
     
    32003167)
    32013168)
    3202 *85 (Net
     3169*84 (Net
    32033170uid 2421,0
    32043171decl (Decl
     
    32143181font "Courier New,8,0"
    32153182)
    3216 xt "39000,61600,71000,62400"
     3183xt "39000,60800,71000,61600"
    32173184st "SIGNAL SRCLK           : std_logic                    := '0'
    32183185"
    32193186)
    32203187)
    3221 *86 (Net
     3188*85 (Net
    32223189uid 3019,0
    32233190decl (Decl
     
    32383205)
    32393206)
    3240 *87 (Net
     3207*86 (Net
    32413208uid 3025,0
    32423209decl (Decl
     
    32513218font "Courier New,8,0"
    32523219)
    3253 xt "39000,24600,54000,25400"
     3220xt "39000,25400,54000,26200"
    32543221st "DAC_CS          : std_logic
    32553222"
    32563223)
    32573224)
    3258 *88 (PortIoOut
     3225*87 (PortIoOut
    32593226uid 3153,0
    32603227shape (CompositeShape
     
    33013268)
    33023269)
    3303 *89 (Net
     3270*88 (Net
    33043271uid 3216,0
    33053272decl (Decl
     
    33163283font "Courier New,8,0"
    33173284)
    3318 xt "39000,17400,54000,18200"
     3285xt "39000,18200,54000,19000"
    33193286st "X_50M           : STD_LOGIC
    33203287"
    33213288)
    33223289)
    3323 *90 (Net
     3290*89 (Net
    33243291uid 3226,0
    33253292decl (Decl
     
    33343301font "Courier New,8,0"
    33353302)
    3336 xt "39000,15800,54000,16600"
     3303xt "39000,16600,54000,17400"
    33373304st "TRG             : STD_LOGIC
    33383305"
    33393306)
    33403307)
    3341 *91 (HdlText
     3308*90 (HdlText
    33423309uid 3248,0
    33433310optionalChildren [
    3344 *92 (EmbeddedText
     3311*91 (EmbeddedText
    33453312uid 3254,0
    33463313commentText (CommentText
     
    33943361stg "VerticalLayoutStrategy"
    33953362textVec [
    3396 *93 (Text
     3363*92 (Text
    33973364uid 3251,0
    33983365va (VaSet
     
    34043371tm "HdlTextNameMgr"
    34053372)
    3406 *94 (Text
     3373*93 (Text
    34073374uid 3252,0
    34083375va (VaSet
     
    34303397viewiconposition 0
    34313398)
    3432 *95 (Net
     3399*94 (Net
    34333400uid 3266,0
    34343401decl (Decl
     
    34443411font "Courier New,8,0"
    34453412)
    3446 xt "39000,20600,64000,21400"
     3413xt "39000,21400,64000,22200"
    34473414st "A_CLK           : std_logic_vector(3 downto 0)
    34483415"
    34493416)
    34503417)
    3451 *96 (Net
     3418*95 (Net
    34523419uid 3268,0
    34533420decl (Decl
     
    34623429font "Courier New,8,0"
    34633430)
    3464 xt "39000,52800,57500,53600"
     3431xt "39000,53600,57500,54400"
    34653432st "SIGNAL CLK_25_PS       : std_logic
    34663433"
    34673434)
    34683435)
    3469 *97 (PortIoOut
     3436*96 (PortIoOut
    34703437uid 3284,0
    34713438shape (CompositeShape
     
    35123479)
    35133480)
    3514 *98 (Net
     3481*97 (Net
    35153482uid 3290,0
    35163483decl (Decl
     
    35273494font "Courier New,8,0"
    35283495)
    3529 xt "39000,31800,54000,32600"
     3496xt "39000,32600,54000,33400"
    35303497st "OE_ADC          : STD_LOGIC
    35313498"
    35323499)
    35333500)
    3534 *99 (PortIoIn
     3501*98 (PortIoIn
    35353502uid 3292,0
    35363503shape (CompositeShape
     
    35773544)
    35783545)
    3579 *100 (Net
     3546*99 (Net
    35803547uid 3298,0
    35813548decl (Decl
     
    35963563)
    35973564)
    3598 *101 (HdlText
     3565*100 (HdlText
    35993566uid 3300,0
    36003567optionalChildren [
    3601 *102 (EmbeddedText
     3568*101 (EmbeddedText
    36023569uid 3306,0
    36033570commentText (CommentText
     
    36513618stg "VerticalLayoutStrategy"
    36523619textVec [
    3653 *103 (Text
     3620*102 (Text
    36543621uid 3303,0
    36553622va (VaSet
     
    36613628tm "HdlTextNameMgr"
    36623629)
    3663 *104 (Text
     3630*103 (Text
    36643631uid 3304,0
    36653632va (VaSet
     
    36873654viewiconposition 0
    36883655)
    3689 *105 (PortIoIn
     3656*104 (PortIoIn
    36903657uid 3310,0
    36913658shape (CompositeShape
     
    37323699)
    37333700)
    3734 *106 (PortIoIn
     3701*105 (PortIoIn
    37353702uid 3332,0
    37363703shape (CompositeShape
     
    37773744)
    37783745)
    3779 *107 (PortIoIn
     3746*106 (PortIoIn
    37803747uid 3338,0
    37813748shape (CompositeShape
     
    38223789)
    38233790)
    3824 *108 (PortIoIn
     3791*107 (PortIoIn
    38253792uid 3344,0
    38263793shape (CompositeShape
     
    38673834)
    38683835)
    3869 *109 (Net
     3836*108 (Net
    38703837uid 3374,0
    38713838decl (Decl
     
    38863853)
    38873854)
    3888 *110 (Net
     3855*109 (Net
    38893856uid 3376,0
    38903857decl (Decl
     
    39053872)
    39063873)
    3907 *111 (Net
     3874*110 (Net
    39083875uid 3378,0
    39093876decl (Decl
     
    39243891)
    39253892)
    3926 *112 (Net
     3893*111 (Net
    39273894uid 3380,0
    39283895decl (Decl
     
    39433910)
    39443911)
    3945 *113 (HdlText
     3912*112 (HdlText
    39463913uid 3394,0
    39473914optionalChildren [
    3948 *114 (EmbeddedText
     3915*113 (EmbeddedText
    39493916uid 3400,0
    39503917commentText (CommentText
     
    39983965stg "VerticalLayoutStrategy"
    39993966textVec [
    4000 *115 (Text
     3967*114 (Text
    40013968uid 3397,0
    40023969va (VaSet
     
    40083975tm "HdlTextNameMgr"
    40093976)
    4010 *116 (Text
     3977*115 (Text
    40113978uid 3398,0
    40123979va (VaSet
     
    40344001viewiconposition 0
    40354002)
    4036 *117 (Net
     4003*116 (Net
    40374004uid 3460,0
    40384005decl (Decl
     
    40474014font "Courier New,8,0"
    40484015)
    4049 xt "39000,21400,54000,22200"
     4016xt "39000,22200,54000,23000"
    40504017st "D0_SRCLK        : STD_LOGIC
    40514018"
    40524019)
    40534020)
    4054 *118 (Net
     4021*117 (Net
    40554022uid 3462,0
    40564023decl (Decl
     
    40654032font "Courier New,8,0"
    40664033)
    4067 xt "39000,22200,54000,23000"
     4034xt "39000,23000,54000,23800"
    40684035st "D1_SRCLK        : STD_LOGIC
    40694036"
    40704037)
    40714038)
    4072 *119 (Net
     4039*118 (Net
    40734040uid 3464,0
    40744041decl (Decl
     
    40834050font "Courier New,8,0"
    40844051)
    4085 xt "39000,23000,54000,23800"
     4052xt "39000,23800,54000,24600"
    40864053st "D2_SRCLK        : STD_LOGIC
    40874054"
    40884055)
    40894056)
    4090 *120 (Net
     4057*119 (Net
    40914058uid 3466,0
    40924059decl (Decl
     
    41014068font "Courier New,8,0"
    41024069)
    4103 xt "39000,23800,54000,24600"
     4070xt "39000,24600,54000,25400"
    41044071st "D3_SRCLK        : STD_LOGIC
    41054072"
    41064073)
    41074074)
    4108 *121 (PortIoIn
     4075*120 (PortIoIn
    41094076uid 3476,0
    41104077shape (CompositeShape
     
    41514118)
    41524119)
    4153 *122 (PortIoIn
     4120*121 (PortIoIn
    41544121uid 3482,0
    41554122shape (CompositeShape
     
    41964163)
    41974164)
    4198 *123 (PortIoIn
     4165*122 (PortIoIn
    41994166uid 3488,0
    42004167shape (CompositeShape
     
    42414208)
    42424209)
    4243 *124 (PortIoIn
     4210*123 (PortIoIn
    42444211uid 3494,0
    42454212shape (CompositeShape
     
    42864253)
    42874254)
    4288 *125 (Net
     4255*124 (Net
    42894256uid 3500,0
    42904257decl (Decl
     
    43044271)
    43054272)
    4306 *126 (Net
     4273*125 (Net
    43074274uid 3502,0
    43084275decl (Decl
     
    43224289)
    43234290)
    4324 *127 (Net
     4291*126 (Net
    43254292uid 3504,0
    43264293decl (Decl
     
    43404307)
    43414308)
    4342 *128 (Net
     4309*127 (Net
    43434310uid 3506,0
    43444311decl (Decl
     
    43584325)
    43594326)
    4360 *129 (PortIoOut
     4327*128 (PortIoOut
    43614328uid 3508,0
    43624329shape (CompositeShape
     
    44034370)
    44044371)
    4405 *130 (Net
     4372*129 (Net
    44064373uid 3514,0
    44074374decl (Decl
     
    44184385font "Courier New,8,0"
    44194386)
    4420 xt "39000,27000,73500,27800"
     4387xt "39000,27800,73500,28600"
    44214388st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')
    44224389"
    44234390)
    44244391)
    4425 *131 (PortIoOut
     4392*130 (PortIoOut
    44264393uid 3516,0
    44274394shape (CompositeShape
     
    44684435)
    44694436)
    4470 *132 (Net
     4437*131 (Net
    44714438uid 3522,0
    44724439decl (Decl
     
    44824449font "Courier New,8,0"
    44834450)
    4484 xt "39000,26200,67500,27000"
     4451xt "39000,27000,67500,27800"
    44854452st "DWRITE          : std_logic                    := '0'
    44864453"
    44874454)
    44884455)
    4489 *133 (PortIoOut
     4456*132 (PortIoOut
    44904457uid 3536,0
    44914458shape (CompositeShape
     
    45314498)
    45324499)
    4533 *134 (HdlText
     4500*133 (HdlText
    45344501uid 3542,0
    45354502optionalChildren [
    4536 *135 (EmbeddedText
     4503*134 (EmbeddedText
    45374504uid 3612,0
    45384505commentText (CommentText
     
    45864553stg "VerticalLayoutStrategy"
    45874554textVec [
    4588 *136 (Text
     4555*135 (Text
    45894556uid 3545,0
    45904557va (VaSet
     
    45964563tm "HdlTextNameMgr"
    45974564)
    4598 *137 (Text
     4565*136 (Text
    45994566uid 3546,0
    46004567va (VaSet
     
    46224589viewiconposition 0
    46234590)
    4624 *138 (PortIoOut
     4591*137 (PortIoOut
    46254592uid 3548,0
    46264593shape (CompositeShape
     
    46664633)
    46674634)
    4668 *139 (PortIoOut
     4635*138 (PortIoOut
    46694636uid 3554,0
    46704637shape (CompositeShape
     
    47104677)
    47114678)
    4712 *140 (PortIoOut
     4679*139 (PortIoOut
    47134680uid 3560,0
    47144681shape (CompositeShape
     
    47544721)
    47554722)
    4756 *141 (PortIoOut
     4723*140 (PortIoOut
    47574724uid 3566,0
    47584725shape (CompositeShape
     
    47984765)
    47994766)
    4800 *142 (Net
     4767*141 (Net
    48014768uid 3604,0
    48024769decl (Decl
     
    48114778font "Courier New,8,0"
    48124779)
    4813 xt "39000,39800,54000,40600"
     4780xt "39000,40600,54000,41400"
    48144781st "T0_CS           : std_logic
    48154782"
    48164783)
    48174784)
    4818 *143 (Net
     4785*142 (Net
    48194786uid 3606,0
    48204787decl (Decl
     
    48294796font "Courier New,8,0"
    48304797)
    4831 xt "39000,40600,54000,41400"
     4798xt "39000,41400,54000,42200"
    48324799st "T1_CS           : std_logic
    48334800"
    48344801)
    48354802)
    4836 *144 (Net
     4803*143 (Net
    48374804uid 3608,0
    48384805decl (Decl
     
    48474814font "Courier New,8,0"
    48484815)
    4849 xt "39000,41400,54000,42200"
     4816xt "39000,42200,54000,43000"
    48504817st "T2_CS           : std_logic
    48514818"
    48524819)
    48534820)
    4854 *145 (Net
     4821*144 (Net
    48554822uid 3610,0
    48564823decl (Decl
     
    48654832font "Courier New,8,0"
    48664833)
    4867 xt "39000,42200,54000,43000"
     4834xt "39000,43000,54000,43800"
    48684835st "T3_CS           : std_logic
    48694836"
    48704837)
    48714838)
    4872 *146 (PortIoOut
     4839*145 (PortIoOut
    48734840uid 3624,0
    48744841shape (CompositeShape
     
    49144881)
    49154882)
    4916 *147 (Net
     4883*146 (Net
    49174884uid 3630,0
    49184885decl (Decl
     
    49274894font "Courier New,8,0"
    49284895)
    4929 xt "39000,39000,54000,39800"
     4896xt "39000,39800,54000,40600"
    49304897st "S_CLK           : std_logic
    49314898"
    49324899)
    49334900)
    4934 *148 (Net
     4901*147 (Net
    49354902uid 3632,0
    49364903decl (Decl
     
    49464913font "Courier New,8,0"
    49474914)
    4948 xt "39000,43800,64000,44600"
     4915xt "39000,44600,64000,45400"
    49494916st "W_A             : std_logic_vector(9 DOWNTO 0)
    49504917"
    49514918)
    49524919)
    4953 *149 (Net
     4920*148 (Net
    49544921uid 3634,0
    49554922decl (Decl
     
    49654932font "Courier New,8,0"
    49664933)
    4967 xt "39000,48600,64500,49400"
     4934xt "39000,49400,64500,50200"
    49684935st "W_D             : std_logic_vector(15 DOWNTO 0)
    49694936"
    49704937)
    49714938)
    4972 *150 (Net
     4939*149 (Net
    49734940uid 3636,0
    49744941decl (Decl
     
    49844951font "Courier New,8,0"
    49854952)
    4986 xt "39000,46200,67500,47000"
     4953xt "39000,47000,67500,47800"
    49874954st "W_RES           : std_logic                    := '1'
    49884955"
    49894956)
    49904957)
    4991 *151 (Net
     4958*150 (Net
    49924959uid 3638,0
    49934960decl (Decl
     
    50034970font "Courier New,8,0"
    50044971)
    5005 xt "39000,45400,67500,46200"
     4972xt "39000,46200,67500,47000"
    50064973st "W_RD            : std_logic                    := '1'
    50074974"
    50084975)
    50094976)
    5010 *152 (Net
     4977*151 (Net
    50114978uid 3640,0
    50124979decl (Decl
     
    50224989font "Courier New,8,0"
    50234990)
    5024 xt "39000,47000,67500,47800"
     4991xt "39000,47800,67500,48600"
    50254992st "W_WR            : std_logic                    := '1'
    50264993"
    50274994)
    50284995)
    5029 *153 (Net
     4996*152 (Net
    50304997uid 3642,0
    50314998decl (Decl
     
    50405007font "Courier New,8,0"
    50415008)
    5042 xt "39000,16600,54000,17400"
     5009xt "39000,17400,54000,18200"
    50435010st "W_INT           : std_logic
    50445011"
    50455012)
    50465013)
    5047 *154 (Net
     5014*153 (Net
    50485015uid 3644,0
    50495016decl (Decl
     
    50595026font "Courier New,8,0"
    50605027)
    5061 xt "39000,44600,67500,45400"
     5028xt "39000,45400,67500,46200"
    50625029st "W_CS            : std_logic                    := '1'
    50635030"
    50645031)
    50655032)
    5066 *155 (PortIoInOut
     5033*154 (PortIoInOut
    50675034uid 3674,0
    50685035shape (CompositeShape
     
    51065073)
    51075074)
    5108 *156 (Net
     5075*155 (Net
    51095076uid 3680,0
    51105077decl (Decl
     
    51205087font "Courier New,8,0"
    51215088)
    5122 xt "39000,31000,67500,31800"
     5089xt "39000,31800,67500,32600"
    51235090st "MOSI            : std_logic                    := '0'
    51245091"
    51255092)
    51265093)
    5127 *157 (PortIoOut
     5094*156 (PortIoOut
    51285095uid 3688,0
    51295096shape (CompositeShape
     
    51695136)
    51705137)
    5171 *158 (Net
     5138*157 (Net
    51725139uid 3694,0
    51735140decl (Decl
     
    51845151font "Courier New,8,0"
    51855152)
    5186 xt "39000,47800,54000,48600"
     5153xt "39000,48600,54000,49400"
    51875154st "MISO            : std_logic
    51885155"
    51895156)
    51905157)
    5191 *159 (HdlText
     5158*158 (HdlText
    51925159uid 3700,0
    51935160optionalChildren [
    5194 *160 (EmbeddedText
     5161*159 (EmbeddedText
    51955162uid 3706,0
    51965163commentText (CommentText
     
    52525219stg "VerticalLayoutStrategy"
    52535220textVec [
    5254 *161 (Text
     5221*160 (Text
    52555222uid 3703,0
    52565223va (VaSet
     
    52625229tm "HdlTextNameMgr"
    52635230)
    5264 *162 (Text
     5231*161 (Text
    52655232uid 3704,0
    52665233va (VaSet
     
    52885255viewiconposition 0
    52895256)
    5290 *163 (PortIoOut
     5257*162 (PortIoOut
    52915258uid 3710,0
    52925259shape (CompositeShape
     
    53325299)
    53335300)
    5334 *164 (PortIoOut
     5301*163 (PortIoOut
    53355302uid 3716,0
    53365303shape (CompositeShape
     
    53765343)
    53775344)
    5378 *165 (PortIoOut
     5345*164 (PortIoOut
    53795346uid 3722,0
    53805347shape (CompositeShape
     
    54205387)
    54215388)
    5422 *166 (PortIoOut
     5389*165 (PortIoOut
    54235390uid 3728,0
    54245391shape (CompositeShape
     
    54645431)
    54655432)
    5466 *167 (PortIoOut
     5433*166 (PortIoOut
    54675434uid 3734,0
    54685435shape (CompositeShape
     
    55085475)
    55095476)
    5510 *168 (PortIoOut
     5477*167 (PortIoOut
    55115478uid 3740,0
    55125479shape (CompositeShape
     
    55525519)
    55535520)
    5554 *169 (PortIoOut
     5521*168 (PortIoOut
    55555522uid 3752,0
    55565523shape (CompositeShape
     
    55965563)
    55975564)
    5598 *170 (Net
     5565*169 (Net
    55995566uid 3864,0
    56005567decl (Decl
     
    56095576font "Courier New,8,0"
    56105577)
    5611 xt "39000,43000,54000,43800"
     5578xt "39000,43800,54000,44600"
    56125579st "TRG_V           : std_logic
    56135580"
    56145581)
    56155582)
    5616 *171 (Net
     5583*170 (Net
    56175584uid 3866,0
    56185585decl (Decl
     
    56275594font "Courier New,8,0"
    56285595)
    5629 xt "39000,35000,54000,35800"
     5596xt "39000,35800,54000,36600"
    56305597st "RS485_C_RE      : std_logic
    56315598"
    56325599)
    56335600)
    5634 *172 (Net
     5601*171 (Net
    56355602uid 3868,0
    56365603decl (Decl
     
    56455612font "Courier New,8,0"
    56465613)
    5647 xt "39000,33400,54000,34200"
     5614xt "39000,34200,54000,35000"
    56485615st "RS485_C_DE      : std_logic
    56495616"
    56505617)
    56515618)
    5652 *173 (Net
     5619*172 (Net
    56535620uid 3870,0
    56545621decl (Decl
     
    56635630font "Courier New,8,0"
    56645631)
    5665 xt "39000,36600,54000,37400"
     5632xt "39000,37400,54000,38200"
    56665633st "RS485_E_RE      : std_logic
    56675634"
    56685635)
    56695636)
    5670 *174 (Net
     5637*173 (Net
    56715638uid 3872,0
    56725639decl (Decl
     
    56815648font "Courier New,8,0"
    56825649)
    5683 xt "39000,35800,54000,36600"
     5650xt "39000,36600,54000,37400"
    56845651st "RS485_E_DE      : std_logic
    56855652"
    56865653)
    56875654)
    5688 *175 (Net
     5655*174 (Net
    56895656uid 3874,0
    56905657decl (Decl
     
    57005667font "Courier New,8,0"
    57015668)
    5702 xt "39000,25400,67500,26200"
     5669xt "39000,26200,67500,27000"
    57035670st "DENABLE         : std_logic                    := '0'
    57045671"
    57055672)
    57065673)
    5707 *176 (Net
     5674*175 (Net
    57085675uid 3878,0
    57095676decl (Decl
     
    57185685font "Courier New,8,0"
    57195686)
    5720 xt "39000,29400,54000,30200"
     5687xt "39000,30200,54000,31000"
    57215688st "EE_CS           : std_logic
    57225689"
    57235690)
    57245691)
    5725 *177 (PortIoOut
     5692*176 (PortIoOut
    57265693uid 3995,0
    57275694shape (CompositeShape
     
    57685735)
    57695736)
    5770 *178 (PortIoOut
     5737*177 (PortIoOut
    57715738uid 4001,0
    57725739shape (CompositeShape
     
    58135780)
    58145781)
    5815 *179 (PortIoOut
     5782*178 (PortIoOut
    58165783uid 4007,0
    58175784shape (CompositeShape
     
    58585825)
    58595826)
    5860 *180 (PortIoOut
     5827*179 (PortIoOut
    58615828uid 4013,0
    58625829shape (CompositeShape
     
    59035870)
    59045871)
    5905 *181 (PortIoOut
     5872*180 (PortIoOut
    59065873uid 4916,0
    59075874shape (CompositeShape
     
    59165883sl 0
    59175884ro 270
    5918 xt "111500,119625,113000,120375"
     5885xt "72500,153625,74000,154375"
    59195886)
    59205887(Line
     
    59225889sl 0
    59235890ro 270
    5924 xt "111000,120000,111500,120000"
    5925 pts [
    5926 "111000,120000"
    5927 "111500,120000"
     5891xt "72000,154000,72500,154000"
     5892pts [
     5893"72000,154000"
     5894"72500,154000"
    59285895]
    59295896)
     
    59405907va (VaSet
    59415908)
    5942 xt "114000,119500,115900,120500"
     5909xt "75000,153500,76900,154500"
    59435910st "D_T"
    5944 blo "114000,120300"
    5945 tm "WireNameMgr"
    5946 )
    5947 )
    5948 )
    5949 *182 (Net
     5911blo "75000,154300"
     5912tm "WireNameMgr"
     5913)
     5914)
     5915)
     5916*181 (Net
    59505917uid 5320,0
    59515918decl (Decl
    59525919n "D_T"
    59535920t "std_logic_vector"
    5954 b "(7 DOWNTO 0)"
     5921b "(5 DOWNTO 0)"
    59555922o 31
    59565923suid 141,0
     
    59625929font "Courier New,8,0"
    59635930)
    5964 xt "39000,27800,73500,28600"
    5965 st "D_T             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     5931xt "39000,28600,73500,29400"
     5932st "D_T             : std_logic_vector(5 DOWNTO 0) := (OTHERS => '0')
    59665933"
    59675934)
    59685935)
    5969 *183 (PortIoIn
     5936*182 (PortIoIn
    59705937uid 6781,0
    59715938shape (CompositeShape
     
    60125979)
    60135980)
    6014 *184 (Net
     5981*183 (Net
    60155982uid 6793,0
    60165983decl (Decl
     
    60315998)
    60325999)
    6033 *185 (PortIoOut
     6000*184 (PortIoOut
    60346001uid 6874,0
    60356002shape (CompositeShape
     
    60756042)
    60766043)
    6077 *186 (Net
     6044*185 (Net
    60786045uid 6886,0
    60796046decl (Decl
     
    60906057font "Courier New,8,0"
    60916058)
    6092 xt "39000,28600,73500,29400"
     6059xt "39000,29400,73500,30200"
    60936060st "D_T2            : std_logic_vector(3 DOWNTO 0) := (others => '0')
    60946061"
    60956062)
    60966063)
    6097 *187 (HdlText
     6064*186 (HdlText
    60986065uid 7092,0
    60996066optionalChildren [
    6100 *188 (EmbeddedText
     6067*187 (EmbeddedText
    61016068uid 7098,0
    61026069commentText (CommentText
     
    61486115stg "VerticalLayoutStrategy"
    61496116textVec [
    6150 *189 (Text
     6117*188 (Text
    61516118uid 7095,0
    61526119va (VaSet
     
    61586125tm "HdlTextNameMgr"
    61596126)
    6160 *190 (Text
     6127*189 (Text
    61616128uid 7096,0
    61626129va (VaSet
     
    61846151viewiconposition 0
    61856152)
    6186 *191 (PortIoOut
     6153*190 (PortIoOut
    61876154uid 7138,0
    61886155shape (CompositeShape
     
    62286195)
    62296196)
    6230 *192 (Net
     6197*191 (Net
    62316198uid 7150,0
    62326199decl (Decl
     
    62436210font "Courier New,8,0"
    62446211)
    6245 xt "39000,19000,73500,19800"
     6212xt "39000,19800,73500,20600"
    62466213st "A1_T            : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
    62476214"
    62486215)
    62496216)
    6250 *193 (Net
     6217*192 (Net
    62516218uid 7485,0
    62526219decl (Decl
     
    62616228font "Courier New,8,0"
    62626229)
    6263 xt "39000,66400,57500,67200"
     6230xt "39000,65600,57500,66400"
    62646231st "SIGNAL dummy           : std_logic
    62656232"
    62666233)
    62676234)
    6268 *194 (MWC
     6235*193 (MWC
    62696236uid 7652,0
    62706237optionalChildren [
    6271 *195 (CptPort
     6238*194 (CptPort
    62726239uid 7632,0
    62736240optionalChildren [
    6274 *196 (Line
     6241*195 (Line
    62756242uid 7636,0
    62766243layer 5
     
    63316298)
    63326299)
    6333 *197 (CptPort
     6300*196 (CptPort
    63346301uid 7637,0
    63356302optionalChildren [
    6336 *198 (Line
     6303*197 (Line
    63376304uid 7641,0
    63386305layer 5
     
    63966363)
    63976364)
    6398 *199 (CommentGraphic
     6365*198 (CommentGraphic
    63996366uid 7642,0
    64006367shape (PolyLine2D
     
    64176384oxt "6000,6000,7000,7000"
    64186385)
    6419 *200 (CommentGraphic
     6386*199 (CommentGraphic
    64206387uid 7644,0
    64216388shape (PolyLine2D
     
    64386405oxt "6000,7000,7000,8000"
    64396406)
    6440 *201 (CommentGraphic
     6407*200 (CommentGraphic
    64416408uid 7646,0
    64426409shape (PolyLine2D
     
    64596426oxt "6988,7329,7988,7329"
    64606427)
    6461 *202 (CommentGraphic
     6428*201 (CommentGraphic
    64626429uid 7648,0
    64636430shape (PolyLine2D
     
    64786445oxt "8000,7000,9000,7000"
    64796446)
    6480 *203 (CommentGraphic
     6447*202 (CommentGraphic
    64816448uid 7650,0
    64826449shape (PolyLine2D
     
    65196486stg "VerticalLayoutStrategy"
    65206487textVec [
    6521 *204 (Text
     6488*203 (Text
    65226489uid 7655,0
    65236490va (VaSet
     
    65296496blo "90350,83900"
    65306497)
    6531 *205 (Text
     6498*204 (Text
    65326499uid 7656,0
    65336500va (VaSet
     
    65386505blo "90350,84900"
    65396506)
    6540 *206 (Text
     6507*205 (Text
    65416508uid 7657,0
    65426509va (VaSet
     
    65836550)
    65846551)
    6585 *207 (Net
     6552*206 (Net
    65866553uid 8851,0
    65876554decl (Decl
     
    65986565font "Courier New,8,0"
    65996566)
    6600 xt "39000,65600,77000,66400"
     6567xt "39000,64800,77000,65600"
    66016568st "SIGNAL drs_channel_id  : std_logic_vector(3 downto 0) := (others => '0')
    66026569"
    66036570)
    66046571)
    6605 *208 (Net
     6572*207 (Net
    66066573uid 9500,0
    66076574decl (Decl
     
    66166583font "Courier New,8,0"
    66176584)
    6618 xt "39000,54400,57500,55200"
     6585xt "39000,55200,57500,56000"
    66196586st "SIGNAL CLK_50          : std_logic
    66206587"
    66216588)
    66226589)
    6623 *209 (MWC
     6590*208 (MWC
    66246591uid 10023,0
    66256592optionalChildren [
    6626 *210 (CptPort
     6593*209 (CptPort
    66276594uid 9995,0
    66286595optionalChildren [
    6629 *211 (Line
     6596*210 (Line
    66306597uid 9999,0
    66316598layer 5
     
    66406607]
    66416608)
    6642 *212 (Property
     6609*211 (Property
    66436610uid 10000,0
    66446611pclass "_MW_GEOM_"
     
    66846651)
    66856652)
    6686 *213 (CptPort
     6653*212 (CptPort
    66876654uid 10001,0
    66886655optionalChildren [
    6689 *214 (Line
     6656*213 (Line
    66906657uid 10005,0
    66916658layer 5
     
    67396706)
    67406707)
    6741 *215 (CptPort
     6708*214 (CptPort
    67426709uid 10006,0
    67436710optionalChildren [
    6744 *216 (Line
     6711*215 (Line
    67456712uid 10010,0
    67466713layer 5
     
    67936760)
    67946761)
    6795 *217 (CommentGraphic
     6762*216 (CommentGraphic
    67966763uid 10011,0
    67976764optionalChildren [
    6798 *218 (Property
     6765*217 (Property
    67996766uid 10013,0
    68006767pclass "_MW_GEOM_"
     
    68206787oxt "11000,6000,11000,6000"
    68216788)
    6822 *219 (CommentGraphic
     6789*218 (CommentGraphic
    68236790uid 10014,0
    68246791optionalChildren [
    6825 *220 (Property
     6792*219 (Property
    68266793uid 10016,0
    68276794pclass "_MW_GEOM_"
     
    68476814oxt "11000,10000,11000,10000"
    68486815)
    6849 *221 (Grouping
     6816*220 (Grouping
    68506817uid 10017,0
    68516818optionalChildren [
    6852 *222 (CommentGraphic
     6819*221 (CommentGraphic
    68536820uid 10019,0
    68546821shape (PolyLine2D
     
    68716838oxt "9000,6000,11000,10000"
    68726839)
    6873 *223 (CommentGraphic
     6840*222 (CommentGraphic
    68746841uid 10021,0
    68756842shape (Arc2D
     
    69246891stg "VerticalLayoutStrategy"
    69256892textVec [
    6926 *224 (Text
     6893*223 (Text
    69276894uid 10026,0
    69286895va (VaSet
     
    69346901blo "44500,73300"
    69356902)
    6936 *225 (Text
     6903*224 (Text
    69376904uid 10027,0
    69386905va (VaSet
     
    69436910blo "44500,74300"
    69446911)
    6945 *226 (Text
     6912*225 (Text
    69466913uid 10028,0
    69476914va (VaSet
     
    69886955)
    69896956)
    6990 *227 (Net
     6957*226 (Net
    69916958uid 10032,0
    69926959decl (Decl
     
    70016968font "Courier New,8,0"
    70026969)
    7003 xt "39000,53600,57500,54400"
     6970xt "39000,54400,57500,55200"
    70046971st "SIGNAL CLK_25_PS1      : std_logic
    70056972"
    70066973)
    70076974)
    7008 *228 (Net
     6975*227 (Net
    70096976uid 10050,0
    70106977decl (Decl
     
    70206987font "Courier New,8,0"
    70216988)
    7022 xt "39000,62400,71000,63200"
     6989xt "39000,61600,71000,62400"
    70236990st "SIGNAL adc_clk_en      : std_logic                    := '0'
    70246991"
    70256992)
    70266993)
    7027 *229 (PortIoOut
     6994*228 (PortIoOut
    70286995uid 10296,0
    70296996shape (CompositeShape
     
    70697036)
    70707037)
    7071 *230 (Net
     7038*229 (Net
    70727039uid 10308,0
    70737040decl (Decl
     
    70847051font "Courier New,8,0"
    70857052)
    7086 xt "39000,18200,73500,19000"
     7053xt "39000,19000,73500,19800"
    70877054st "A0_T            : std_logic_vector(7 DOWNTO 0) := (others => '0')
    70887055"
    70897056)
    70907057)
    7091 *231 (HdlText
     7058*230 (HdlText
    70927059uid 10310,0
    70937060optionalChildren [
    7094 *232 (EmbeddedText
     7061*231 (EmbeddedText
    70957062uid 10316,0
    70967063commentText (CommentText
     
    71347101A1_T(7) <= drs_channel_id(3);
    71357102
    7136 A0_T(5 downto 0) <= POSITION_ID;
     7103A0_T(5 downto 0) <= (others => '0');
    71377104A0_T(6) <= REFCLK;
    71387105A0_T(7) <= RS485_E_DI;
     
    71667133stg "VerticalLayoutStrategy"
    71677134textVec [
    7168 *233 (Text
     7135*232 (Text
    71697136uid 10313,0
    71707137va (VaSet
     
    71767143tm "HdlTextNameMgr"
    71777144)
    7178 *234 (Text
     7145*233 (Text
    71797146uid 10314,0
    71807147va (VaSet
     
    72027169viewiconposition 0
    72037170)
    7204 *235 (Net
     7171*234 (Net
    72057172uid 10496,0
    72067173decl (Decl
     
    72157182font "Courier New,8,0"
    72167183)
    7217 xt "39000,52000,57500,52800"
     7184xt "39000,52800,57500,53600"
    72187185st "SIGNAL CLK50_OUT       : std_logic
    72197186"
    72207187)
    72217188)
    7222 *236 (Net
     7189*235 (Net
    72237190uid 10504,0
    72247191decl (Decl
     
    72337200font "Courier New,8,0"
    72347201)
    7235 xt "39000,50400,57500,51200"
     7202xt "39000,51200,57500,52000"
    72367203st "SIGNAL CLK25_OUT       : std_logic
    72377204"
    72387205)
    72397206)
    7240 *237 (Net
     7207*236 (Net
    72417208uid 10512,0
    72427209decl (Decl
     
    72517218font "Courier New,8,0"
    72527219)
    7253 xt "39000,51200,57500,52000"
     7220xt "39000,52000,57500,52800"
    72547221st "SIGNAL CLK25_PSOUT     : std_logic
    72557222"
    72567223)
    72577224)
    7258 *238 (Net
     7225*237 (Net
    72597226uid 10520,0
    72607227decl (Decl
     
    72747241)
    72757242)
    7276 *239 (Net
    7277 uid 10528,0
    7278 decl (Decl
    7279 n "PS_DO_IN"
    7280 t "std_logic"
    7281 o 71
    7282 suid 188,0
    7283 )
    7284 declText (MLText
    7285 uid 10529,0
    7286 va (VaSet
    7287 font "Courier New,8,0"
    7288 )
    7289 xt "39000,60800,57500,61600"
    7290 st "SIGNAL PS_DO_IN        : std_logic
    7291 "
    7292 )
    7293 )
    7294 *240 (Net
    7295 uid 10536,0
    7296 decl (Decl
    7297 n "PSEN_OUT"
    7298 t "std_logic"
    7299 o 68
    7300 suid 189,0
    7301 )
    7302 declText (MLText
    7303 uid 10537,0
    7304 va (VaSet
    7305 font "Courier New,8,0"
    7306 )
    7307 xt "39000,58400,57500,59200"
    7308 st "SIGNAL PSEN_OUT        : std_logic
    7309 "
    7310 )
    7311 )
    7312 *241 (Net
     7243*238 (Net
    73137244uid 10544,0
    73147245decl (Decl
     
    73287259)
    73297260)
    7330 *242 (Net
     7261*239 (Net
    73317262uid 10552,0
    73327263decl (Decl
     
    73437274font "Courier New,8,0"
    73447275)
    7345 xt "39000,55200,57500,56000"
     7276xt "39000,56000,57500,56800"
    73467277st "SIGNAL DCM_locked      : std_logic
    73477278"
    73487279)
    73497280)
    7350 *243 (Net
     7281*240 (Net
    73517282uid 10560,0
    73527283decl (Decl
     
    73697300)
    73707301)
    7371 *244 (Net
     7302*241 (Net
    73727303uid 10568,0
    73737304decl (Decl
     
    73927323)
    73937324)
    7394 *245 (Net
     7325*242 (Net
    73957326uid 10576,0
    73967327decl (Decl
     
    74057336font "Courier New,8,0"
    74067337)
    7407 xt "39000,57600,57500,58400"
     7338xt "39000,58400,57500,59200"
    74087339st "SIGNAL PSDONE_extraOUT : std_logic
    74097340"
    74107341)
    74117342)
    7412 *246 (Net
     7343*243 (Net
    74137344uid 10584,0
    74147345decl (Decl
     
    74237354font "Courier New,8,0"
    74247355)
    7425 xt "39000,56800,57500,57600"
     7356xt "39000,57600,57500,58400"
    74267357st "SIGNAL PSCLK_OUT       : std_logic
    74277358"
    74287359)
    74297360)
    7430 *247 (Net
     7361*244 (Net
    74317362uid 10592,0
    74327363decl (Decl
     
    74417372font "Courier New,8,0"
    74427373)
    7443 xt "39000,56000,57500,56800"
     7374xt "39000,56800,57500,57600"
    74447375st "SIGNAL LOCKED_extraOUT : std_logic
    74457376"
    74467377)
    74477378)
    7448 *248 (PortIoIn
     7379*245 (PortIoIn
    74497380uid 11090,0
    74507381shape (CompositeShape
     
    74917422)
    74927423)
    7493 *249 (Net
     7424*246 (Net
    74947425uid 11102,0
    74957426decl (Decl
     
    75047435font "Courier New,8,0"
    75057436)
    7506 xt "39000,13400,54000,14200"
     7437xt "39000,14200,54000,15000"
    75077438st "RS485_C_DI      : std_logic
    75087439"
    75097440)
    75107441)
    7511 *250 (PortIoOut
     7442*247 (PortIoOut
    75127443uid 11104,0
    75137444shape (CompositeShape
     
    75537484)
    75547485)
    7555 *251 (Net
     7486*248 (Net
    75567487uid 11116,0
    75577488decl (Decl
     
    75667497font "Courier New,8,0"
    75677498)
    7568 xt "39000,34200,54000,35000"
     7499xt "39000,35000,54000,35800"
    75697500st "RS485_C_DO      : std_logic
    75707501"
    75717502)
    75727503)
    7573 *252 (PortIoIn
     7504*249 (PortIoIn
    75747505uid 11508,0
    75757506shape (CompositeShape
     
    76167547)
    76177548)
    7618 *253 (Net
     7549*250 (Net
    76197550uid 11520,0
    76207551decl (Decl
     
    76297560font "Courier New,8,0"
    76307561)
    7631 xt "39000,14200,54000,15000"
     7562xt "39000,15000,54000,15800"
    76327563st "RS485_E_DI      : std_logic
    76337564"
    76347565)
    76357566)
    7636 *254 (Net
     7567*251 (Net
    76377568uid 11534,0
    76387569decl (Decl
     
    76477578font "Courier New,8,0"
    76487579)
    7649 xt "39000,15000,54000,15800"
     7580xt "39000,15800,54000,16600"
    76507581st "RS485_E_DO      : std_logic
    76517582"
    76527583)
    76537584)
    7654 *255 (PortIoIn
     7585*252 (PortIoIn
    76557586uid 11922,0
    76567587shape (CompositeShape
     
    76977628)
    76987629)
    7699 *256 (PortIoOut
     7630*253 (PortIoOut
    77007631uid 12326,0
    77017632shape (CompositeShape
     
    77417672)
    77427673)
    7743 *257 (Net
     7674*254 (Net
    77447675uid 12334,0
    77457676decl (Decl
     
    77557686font "Courier New,8,0"
    77567687)
    7757 xt "39000,38200,67500,39000"
     7688xt "39000,39000,67500,39800"
    77587689st "SRIN            : std_logic                    := '0'
    77597690"
    77607691)
    77617692)
    7762 *258 (PortIoOut
     7693*255 (PortIoOut
    77637694uid 12539,0
    77647695shape (CompositeShape
     
    78047735)
    78057736)
    7806 *259 (PortIoOut
     7737*256 (PortIoOut
    78077738uid 12553,0
    78087739shape (CompositeShape
     
    78487779)
    78497780)
    7850 *260 (PortIoOut
     7781*257 (PortIoOut
    78517782uid 12567,0
    78527783shape (CompositeShape
     
    78927823)
    78937824)
    7894 *261 (Net
     7825*258 (Net
    78957826uid 12762,0
    78967827decl (Decl
     
    79057836font "Courier New,8,0"
    79067837)
    7907 xt "39000,19800,54000,20600"
     7838xt "39000,20600,54000,21400"
    79087839st "AMBER_LED       : std_logic
    79097840"
    79107841)
    79117842)
    7912 *262 (Net
     7843*259 (Net
    79137844uid 12764,0
    79147845decl (Decl
     
    79237854font "Courier New,8,0"
    79247855)
    7925 xt "39000,30200,54000,31000"
     7856xt "39000,31000,54000,31800"
    79267857st "GREEN_LED       : std_logic
    79277858"
    79287859)
    79297860)
    7930 *263 (Net
     7861*260 (Net
    79317862uid 12766,0
    79327863decl (Decl
     
    79417872font "Courier New,8,0"
    79427873)
    7943 xt "39000,32600,54000,33400"
     7874xt "39000,33400,54000,34200"
    79447875st "RED_LED         : std_logic
    79457876"
    79467877)
    79477878)
    7948 *264 (PortIoIn
     7879*261 (PortIoIn
    79497880uid 13516,0
    79507881shape (CompositeShape
     
    79597890sl 0
    79607891ro 270
    7961 xt "110000,147625,111500,148375"
     7892xt "10000,80625,11500,81375"
    79627893)
    79637894(Line
     
    79657896sl 0
    79667897ro 270
    7967 xt "111500,148000,112000,148000"
    7968 pts [
    7969 "111500,148000"
    7970 "112000,148000"
     7898xt "11500,81000,12000,81000"
     7899pts [
     7900"11500,81000"
     7901"12000,81000"
    79717902]
    79727903)
     
    79837914va (VaSet
    79847915)
    7985 xt "103300,147500,109000,148500"
    7986 st "POSITION_ID"
     7916xt "6900,80500,9000,81500"
     7917st "LINE"
    79877918ju 2
    7988 blo "109000,148300"
    7989 tm "WireNameMgr"
    7990 )
    7991 )
    7992 )
    7993 *265 (Net
     7919blo "9000,81300"
     7920tm "WireNameMgr"
     7921)
     7922)
     7923)
     7924*262 (Net
    79947925uid 13528,0
    79957926decl (Decl
    7996 n "POSITION_ID"
     7927n "LINE"
    79977928t "std_logic_vector"
    79987929b "( 5 DOWNTO 0 )"
     
    80057936font "Courier New,8,0"
    80067937)
    8007 xt "39000,11800,65000,12600"
    8008 st "POSITION_ID     : std_logic_vector( 5 DOWNTO 0 )
     7938xt "39000,12600,65000,13400"
     7939st "LINE            : std_logic_vector( 5 DOWNTO 0 )
    80097940"
    80107941)
    80117942)
    8012 *266 (PortIoIn
     7943*263 (PortIoIn
    80137944uid 13628,0
    80147945shape (CompositeShape
     
    80557986)
    80567987)
    8057 *267 (Net
     7988*264 (Net
    80587989uid 13640,0
    80597990decl (Decl
     
    80687999font "Courier New,8,0"
    80698000)
    8070 xt "39000,12600,54000,13400"
     8001xt "39000,13400,54000,14200"
    80718002st "REFCLK          : std_logic
    80728003"
    80738004)
    80748005)
    8075 *268 (Wire
     8006*265 (PortIoIn
     8007uid 14322,0
     8008shape (CompositeShape
     8009uid 14323,0
     8010va (VaSet
     8011vasetType 1
     8012fg "0,0,32768"
     8013)
     8014optionalChildren [
     8015(Pentagon
     8016uid 14324,0
     8017sl 0
     8018ro 270
     8019xt "37000,138625,38500,139375"
     8020)
     8021(Line
     8022uid 14325,0
     8023sl 0
     8024ro 270
     8025xt "38500,139000,39000,139000"
     8026pts [
     8027"38500,139000"
     8028"39000,139000"
     8029]
     8030)
     8031]
     8032)
     8033stc 0
     8034sf 1
     8035tg (WTG
     8036uid 14326,0
     8037ps "PortIoTextPlaceStrategy"
     8038stg "STSignalDisplayStrategy"
     8039f (Text
     8040uid 14327,0
     8041va (VaSet
     8042)
     8043xt "33100,138500,36000,139500"
     8044st "D_T_in"
     8045ju 2
     8046blo "36000,139300"
     8047tm "WireNameMgr"
     8048)
     8049)
     8050)
     8051*266 (Net
     8052uid 14334,0
     8053decl (Decl
     8054n "D_T_in"
     8055t "std_logic_vector"
     8056b "(1 DOWNTO 0)"
     8057o 80
     8058suid 213,0
     8059)
     8060declText (MLText
     8061uid 14335,0
     8062va (VaSet
     8063font "Courier New,8,0"
     8064)
     8065xt "39000,11800,64000,12600"
     8066st "D_T_in          : std_logic_vector(1 DOWNTO 0)
     8067"
     8068)
     8069)
     8070*267 (HdlText
     8071uid 14346,0
     8072optionalChildren [
     8073*268 (EmbeddedText
     8074uid 14352,0
     8075commentText (CommentText
     8076uid 14353,0
     8077ps "CenterOffsetStrategy"
     8078shape (Rectangle
     8079uid 14354,0
     8080va (VaSet
     8081vasetType 1
     8082fg "65535,65535,65535"
     8083lineColor "0,0,32768"
     8084lineWidth 2
     8085)
     8086xt "63000,156000,76000,169000"
     8087)
     8088oxt "0,0,18000,5000"
     8089text (MLText
     8090uid 14355,0
     8091va (VaSet
     8092)
     8093xt "63200,156200,76000,158200"
     8094st "
     8095D_T(5 downto 0) <= led (5 downto 0);
     8096"
     8097tm "HdlTextMgr"
     8098wrapOption 3
     8099visibleHeight 13000
     8100visibleWidth 13000
     8101)
     8102)
     8103)
     8104]
     8105shape (Rectangle
     8106uid 14347,0
     8107va (VaSet
     8108vasetType 1
     8109fg "65535,65535,37120"
     8110lineColor "0,0,32768"
     8111lineWidth 2
     8112)
     8113xt "66000,153000,70000,156000"
     8114)
     8115oxt "0,0,8000,10000"
     8116ttg (MlTextGroup
     8117uid 14348,0
     8118ps "CenterOffsetStrategy"
     8119stg "VerticalLayoutStrategy"
     8120textVec [
     8121*269 (Text
     8122uid 14349,0
     8123va (VaSet
     8124font "Arial,8,1"
     8125)
     8126xt "68150,153000,69850,154000"
     8127st "eb1"
     8128blo "68150,153800"
     8129tm "HdlTextNameMgr"
     8130)
     8131*270 (Text
     8132uid 14350,0
     8133va (VaSet
     8134font "Arial,8,1"
     8135)
     8136xt "68150,154000,68950,155000"
     8137st "7"
     8138blo "68150,154800"
     8139tm "HdlTextNumberMgr"
     8140)
     8141]
     8142)
     8143viewicon (ZoomableIcon
     8144uid 14351,0
     8145sl 0
     8146va (VaSet
     8147vasetType 1
     8148fg "49152,49152,49152"
     8149)
     8150xt "66250,154250,67750,155750"
     8151iconName "TextFile.png"
     8152iconMaskName "TextFile.msk"
     8153ftype 21
     8154)
     8155viewiconposition 0
     8156)
     8157*271 (Net
     8158uid 15173,0
     8159decl (Decl
     8160n "led"
     8161t "std_logic_vector"
     8162b "(7 DOWNTO 0)"
     8163posAdd 0
     8164o 81
     8165suid 215,0
     8166i "(OTHERS => '0')"
     8167)
     8168declText (MLText
     8169uid 15174,0
     8170va (VaSet
     8171font "Courier New,8,0"
     8172)
     8173xt "39000,66400,77000,67200"
     8174st "SIGNAL led             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
     8175"
     8176)
     8177)
     8178*272 (Wire
    80768179uid 245,0
    80778180shape (OrthoPolyLine
     
    80878190)
    80888191start &27
    8089 end &68
     8192end &67
    80908193ss 0
    80918194sat 32
     
    81108213)
    81118214)
    8112 on &89
    8113 )
    8114 *269 (Wire
     8215on &88
     8216)
     8217*273 (Wire
    81158218uid 277,0
    81168219shape (OrthoPolyLine
     
    81278230)
    81288231start &17
    8129 end &69
     8232end &68
    81308233sat 32
    81318234eat 2
     
    81488251)
    81498252)
    8150 on &73
    8151 )
    8152 *270 (Wire
     8253on &72
     8254)
     8255*274 (Wire
    81538256uid 285,0
    81548257shape (OrthoPolyLine
     
    81658268)
    81668269start &18
    8167 end &69
     8270end &68
    81688271sat 32
    81698272eat 2
     
    81868289)
    81878290)
    8188 on &74
    8189 )
    8190 *271 (Wire
     8291on &73
     8292)
     8293*275 (Wire
    81918294uid 362,0
    81928295shape (OrthoPolyLine
     
    82018304]
    82028305)
    8203 start &97
     8306start &96
    82048307end &16
    82058308sat 32
     
    82248327)
    82258328)
    8226 on &98
    8227 )
    8228 *272 (Wire
     8329on &97
     8330)
     8331*276 (Wire
    82298332uid 418,0
    82308333shape (OrthoPolyLine
     
    82408343)
    82418344start &13
    8242 end &75
     8345end &74
    82438346sat 32
    82448347eat 32
     
    82628365)
    82638366)
    8264 on &150
    8265 )
    8266 *273 (Wire
     8367on &149
     8368)
     8369*277 (Wire
    82678370uid 426,0
    82688371shape (OrthoPolyLine
     
    82798382)
    82808383start &19
    8281 end &76
     8384end &75
    82828385sat 32
    82838386eat 32
     
    83028405)
    83038406)
    8304 on &148
    8305 )
    8306 *274 (Wire
     8407on &147
     8408)
     8409*278 (Wire
    83078410uid 434,0
    83088411shape (OrthoPolyLine
     
    83188421)
    83198422start &20
    8320 end &77
     8423end &76
    83218424sat 32
    83228425eat 32
     
    83408443)
    83418444)
    8342 on &154
    8343 )
    8344 *275 (Wire
     8445on &153
     8446)
     8447*279 (Wire
    83458448uid 442,0
    83468449shape (OrthoPolyLine
     
    83578460)
    83588461start &21
    8359 end &78
     8462end &77
    83608463sat 32
    83618464eat 32
     
    83808483)
    83818484)
    8382 on &149
    8383 )
    8384 *276 (Wire
     8485on &148
     8486)
     8487*280 (Wire
    83858488uid 450,0
    83868489shape (OrthoPolyLine
     
    83958498]
    83968499)
    8397 start &79
     8500start &78
    83988501end &22
    83998502sat 32
     
    84188521)
    84198522)
    8420 on &153
    8421 )
    8422 *277 (Wire
     8523on &152
     8524)
     8525*281 (Wire
    84238526uid 458,0
    84248527shape (OrthoPolyLine
     
    84348537)
    84358538start &23
     8539end &79
     8540sat 32
     8541eat 32
     8542stc 0
     8543st 0
     8544sf 1
     8545si 0
     8546tg (WTG
     8547uid 462,0
     8548ps "ConnStartEndStrategy"
     8549stg "STSignalDisplayStrategy"
     8550f (Text
     8551uid 463,0
     8552va (VaSet
     8553isHidden 1
     8554)
     8555xt "82000,71000,84700,72000"
     8556st "W_RD"
     8557blo "82000,71800"
     8558tm "WireNameMgr"
     8559)
     8560)
     8561on &150
     8562)
     8563*282 (Wire
     8564uid 466,0
     8565shape (OrthoPolyLine
     8566uid 467,0
     8567va (VaSet
     8568vasetType 3
     8569)
     8570xt "80750,73000,111000,73000"
     8571pts [
     8572"80750,73000"
     8573"111000,73000"
     8574]
     8575)
     8576start &24
    84368577end &80
    84378578sat 32
     
    84428583si 0
    84438584tg (WTG
    8444 uid 462,0
    8445 ps "ConnStartEndStrategy"
    8446 stg "STSignalDisplayStrategy"
    8447 f (Text
    8448 uid 463,0
    8449 va (VaSet
    8450 isHidden 1
    8451 )
    8452 xt "82000,71000,84700,72000"
    8453 st "W_RD"
    8454 blo "82000,71800"
    8455 tm "WireNameMgr"
    8456 )
    8457 )
    8458 on &151
    8459 )
    8460 *278 (Wire
    8461 uid 466,0
    8462 shape (OrthoPolyLine
    8463 uid 467,0
    8464 va (VaSet
    8465 vasetType 3
    8466 )
    8467 xt "80750,73000,111000,73000"
    8468 pts [
    8469 "80750,73000"
    8470 "111000,73000"
    8471 ]
    8472 )
    8473 start &24
    8474 end &81
    8475 sat 32
    8476 eat 32
    8477 stc 0
    8478 st 0
    8479 sf 1
    8480 si 0
    8481 tg (WTG
    84828585uid 470,0
    84838586ps "ConnStartEndStrategy"
     
    84948597)
    84958598)
    8496 on &152
    8497 )
    8498 *279 (Wire
     8599on &151
     8600)
     8601*283 (Wire
    84998602uid 1467,0
    85008603shape (OrthoPolyLine
     
    85098612]
    85108613)
    8511 start &101
     8614start &100
    85128615end &28
    85138616sat 2
     
    85308633)
    85318634)
    8532 on &82
    8533 )
    8534 *280 (Wire
     8635on &81
     8636)
     8637*284 (Wire
    85358638uid 1730,0
    85368639shape (OrthoPolyLine
     
    85468649]
    85478650)
    8548 start &99
     8651start &98
    85498652end &29
    85508653sat 32
     
    85708673)
    85718674)
    8572 on &100
    8573 )
    8574 *281 (Wire
     8675on &99
     8676)
     8677*285 (Wire
    85758678uid 1833,0
    85768679shape (OrthoPolyLine
     
    85868689]
    85878690)
    8588 start &187
    8589 end &129
     8691start &186
     8692end &128
    85908693sat 2
    85918694eat 32
     
    86108713)
    86118714)
    8612 on &130
    8613 )
    8614 *282 (Wire
     8715on &129
     8716)
     8717*286 (Wire
    86158718uid 1841,0
    86168719shape (OrthoPolyLine
     
    86268729)
    86278730start &31
    8628 end &131
     8731end &130
    86298732sat 32
    86308733eat 32
     
    86488751)
    86498752)
    8650 on &132
    8651 )
    8652 *283 (Wire
     8753on &131
     8754)
     8755*287 (Wire
    86538756uid 1865,0
    86548757shape (OrthoPolyLine
     
    86638766]
    86648767)
    8665 start &121
     8768start &120
    86668769end &32
    86678770sat 32
     
    86868789)
    86878790)
    8688 on &125
    8689 )
    8690 *284 (Wire
     8791on &124
     8792)
     8793*288 (Wire
    86918794uid 1873,0
    86928795shape (OrthoPolyLine
     
    87018804]
    87028805)
    8703 start &122
     8806start &121
    87048807end &33
    87058808sat 32
     
    87248827)
    87258828)
    8726 on &126
    8727 )
    8728 *285 (Wire
     8829on &125
     8830)
     8831*289 (Wire
    87298832uid 1881,0
    87308833shape (OrthoPolyLine
     
    87398842]
    87408843)
    8741 start &123
     8844start &122
    87428845end &34
    87438846sat 32
     
    87628865)
    87638866)
    8764 on &127
    8765 )
    8766 *286 (Wire
     8867on &126
     8868)
     8869*290 (Wire
    87678870uid 1889,0
    87688871shape (OrthoPolyLine
     
    87778880]
    87788881)
    8779 start &124
     8882start &123
    87808883end &35
    87818884sat 32
     
    88008903)
    88018904)
    8802 on &128
    8803 )
    8804 *287 (Wire
     8905on &127
     8906)
     8907*291 (Wire
    88058908uid 2409,0
    88068909shape (OrthoPolyLine
     
    88168919)
    88178920start &36
    8818 end &84
     8921end &83
    88198922sat 32
    88208923eat 32
     
    88388941)
    88398942)
    8840 on &83
    8841 )
    8842 *288 (Wire
     8943on &82
     8944)
     8945*292 (Wire
    88438946uid 2423,0
    88448947shape (OrthoPolyLine
     
    88548957)
    88558958start &37
    8856 end &113
     8959end &112
    88578960sat 32
    88588961eat 1
     
    88768979)
    88778980)
    8878 on &85
    8879 )
    8880 *289 (Wire
     8981on &84
     8982)
     8983*293 (Wire
    88818984uid 3009,0
    88828985shape (OrthoPolyLine
     
    88928995)
    88938996start &39
    8894 end &146
     8997end &145
    88958998sat 32
    88968999eat 32
     
    89149017)
    89159018)
    8916 on &147
    8917 )
    8918 *290 (Wire
     9019on &146
     9020)
     9021*294 (Wire
    89199022uid 3015,0
    89209023shape (OrthoPolyLine
     
    89309033)
    89319034start &41
    8932 end &155
     9035end &154
    89339036sat 32
    89349037eat 32
     
    89529055)
    89539056)
    8954 on &158
    8955 )
    8956 *291 (Wire
     9057on &157
     9058)
     9059*295 (Wire
    89579060uid 3021,0
    89589061shape (OrthoPolyLine
     
    89699072)
    89709073start &40
    8971 end &134
     9074end &133
    89729075sat 32
    89739076eat 1
     
    89909093)
    89919094)
    8992 on &86
    8993 )
    8994 *292 (Wire
     9095on &85
     9096)
     9097*296 (Wire
    89959098uid 3027,0
    89969099shape (OrthoPolyLine
     
    90059108]
    90069109)
    9007 start &197
    9008 end &133
     9110start &196
     9111end &132
    90099112ss 0
    90109113sat 32
     
    90299132)
    90309133)
    9031 on &87
    9032 )
    9033 *293 (Wire
     9134on &86
     9135)
     9136*297 (Wire
    90349137uid 3218,0
    90359138shape (OrthoPolyLine
     
    90449147]
    90459148)
    9046 start &67
     9149start &66
    90479150end &15
    90489151sat 32
     
    90679170)
    90689171)
    9069 on &90
    9070 )
    9071 *294 (Wire
     9172on &89
     9173)
     9174*298 (Wire
    90729175uid 3260,0
    90739176shape (OrthoPolyLine
     
    90839186]
    90849187)
    9085 start &88
    9086 end &91
     9188start &87
     9189end &90
    90879190sat 32
    90889191eat 2
     
    91079210)
    91089211)
    9109 on &95
    9110 )
    9111 *295 (Wire
     9212on &94
     9213)
     9214*299 (Wire
    91129215uid 3270,0
    91139216shape (OrthoPolyLine
     
    91249227]
    91259228)
    9126 start &210
    9127 end &91
     9229start &209
     9230end &90
    91289231sat 32
    91299232eat 1
     
    91459248)
    91469249)
    9147 on &96
    9148 )
    9149 *296 (Wire
     9250on &95
     9251)
     9252*300 (Wire
    91509253uid 3318,0
    91519254shape (OrthoPolyLine
     
    91619264]
    91629265)
    9163 start &105
    9164 end &101
     9266start &104
     9267end &100
    91659268sat 32
    91669269eat 1
     
    91859288)
    91869289)
    9187 on &109
    9188 )
    9189 *297 (Wire
     9290on &108
     9291)
     9292*301 (Wire
    91909293uid 3352,0
    91919294shape (OrthoPolyLine
     
    92019304]
    92029305)
    9203 start &106
    9204 end &101
     9306start &105
     9307end &100
    92059308sat 32
    92069309eat 1
     
    92259328)
    92269329)
    9227 on &110
    9228 )
    9229 *298 (Wire
     9330on &109
     9331)
     9332*302 (Wire
    92309333uid 3360,0
    92319334shape (OrthoPolyLine
     
    92419344]
    92429345)
    9243 start &107
    9244 end &101
     9346start &106
     9347end &100
    92459348sat 32
    92469349eat 1
     
    92659368)
    92669369)
    9267 on &111
    9268 )
    9269 *299 (Wire
     9370on &110
     9371)
     9372*303 (Wire
    92709373uid 3368,0
    92719374shape (OrthoPolyLine
     
    92819384]
    92829385)
    9283 start &108
    9284 end &101
     9386start &107
     9387end &100
    92859388sat 32
    92869389eat 1
     
    93059408)
    93069409)
    9307 on &112
    9308 )
    9309 *300 (Wire
     9410on &111
     9411)
     9412*304 (Wire
    93109413uid 3430,0
    93119414shape (OrthoPolyLine
     
    93209423]
    93219424)
    9322 start &177
    9323 end &113
     9425start &176
     9426end &112
    93249427sat 32
    93259428eat 2
     
    93439446)
    93449447)
    9345 on &117
    9346 )
    9347 *301 (Wire
     9448on &116
     9449)
     9450*305 (Wire
    93489451uid 3438,0
    93499452shape (OrthoPolyLine
     
    93589461]
    93599462)
    9360 start &178
    9361 end &113
     9463start &177
     9464end &112
    93629465sat 32
    93639466eat 2
     
    93819484)
    93829485)
    9383 on &118
    9384 )
    9385 *302 (Wire
     9486on &117
     9487)
     9488*306 (Wire
    93869489uid 3446,0
    93879490shape (OrthoPolyLine
     
    93969499]
    93979500)
    9398 start &179
    9399 end &113
     9501start &178
     9502end &112
    94009503sat 32
    94019504eat 2
     
    94199522)
    94209523)
    9421 on &119
    9422 )
    9423 *303 (Wire
     9524on &118
     9525)
     9526*307 (Wire
    94249527uid 3454,0
    94259528shape (OrthoPolyLine
     
    94349537]
    94359538)
    9436 start &180
    9437 end &113
     9539start &179
     9540end &112
    94389541sat 32
    94399542eat 2
     
    94579560)
    94589561)
    9459 on &120
    9460 )
    9461 *304 (Wire
     9562on &119
     9563)
     9564*308 (Wire
    94629565uid 3574,0
    94639566shape (OrthoPolyLine
     
    94729575]
    94739576)
    9474 start &138
    9475 end &134
     9577start &137
     9578end &133
    94769579sat 32
    94779580eat 2
     
    94959598)
    94969599)
    9497 on &142
    9498 )
    9499 *305 (Wire
     9600on &141
     9601)
     9602*309 (Wire
    95009603uid 3582,0
    95019604shape (OrthoPolyLine
     
    95109613]
    95119614)
    9512 start &139
    9513 end &134
     9615start &138
     9616end &133
    95149617sat 32
    95159618eat 2
     
    95339636)
    95349637)
    9535 on &143
    9536 )
    9537 *306 (Wire
     9638on &142
     9639)
     9640*310 (Wire
    95389641uid 3590,0
    95399642shape (OrthoPolyLine
     
    95489651]
    95499652)
    9550 start &140
    9551 end &134
     9653start &139
     9654end &133
    95529655sat 32
    95539656eat 2
     
    95719674)
    95729675)
    9573 on &144
    9574 )
    9575 *307 (Wire
     9676on &143
     9677)
     9678*311 (Wire
    95769679uid 3598,0
    95779680shape (OrthoPolyLine
     
    95869689]
    95879690)
    9588 start &141
    9589 end &134
     9691start &140
     9692end &133
    95909693sat 32
    95919694eat 2
     
    96099712)
    96109713)
    9611 on &145
    9612 )
    9613 *308 (Wire
     9714on &144
     9715)
     9716*312 (Wire
    96149717uid 3682,0
    96159718shape (OrthoPolyLine
     
    96259728)
    96269729start &42
    9627 end &157
     9730end &156
    96289731sat 32
    96299732eat 32
     
    96479750)
    96489751)
    9649 on &156
    9650 )
    9651 *309 (Wire
     9752on &155
     9753)
     9754*313 (Wire
    96529755uid 3778,0
    96539756shape (OrthoPolyLine
     
    96629765]
    96639766)
    9664 start &163
    9665 end &63
     9767start &162
     9768end &61
    96669769es 0
    96679770sat 32
     
    96869789)
    96879790)
    9688 on &170
    9689 )
    9690 *310 (Wire
     9791on &169
     9792)
     9793*314 (Wire
    96919794uid 3786,0
    96929795shape (OrthoPolyLine
     
    97019804]
    97029805)
    9703 start &164
    9704 end &159
     9806start &163
     9807end &158
    97059808sat 32
    97069809eat 2
     
    97249827)
    97259828)
    9726 on &171
    9727 )
    9728 *311 (Wire
     9829on &170
     9830)
     9831*315 (Wire
    97299832uid 3794,0
    97309833shape (OrthoPolyLine
     
    97399842]
    97409843)
    9741 start &165
    9742 end &159
     9844start &164
     9845end &158
    97439846sat 32
    97449847eat 2
     
    97629865)
    97639866)
    9764 on &172
    9765 )
    9766 *312 (Wire
     9867on &171
     9868)
     9869*316 (Wire
    97679870uid 3802,0
    97689871shape (OrthoPolyLine
     
    97759878"139000,150000"
    97769879"136000,150000"
     9880]
     9881)
     9882start &165
     9883sat 32
     9884eat 16
     9885stc 0
     9886st 0
     9887sf 1
     9888si 0
     9889tg (WTG
     9890uid 3806,0
     9891ps "ConnStartEndStrategy"
     9892stg "STSignalDisplayStrategy"
     9893f (Text
     9894uid 3807,0
     9895va (VaSet
     9896isHidden 1
     9897)
     9898xt "136000,149000,141500,150000"
     9899st "RS485_E_RE"
     9900blo "136000,149800"
     9901tm "WireNameMgr"
     9902)
     9903)
     9904on &172
     9905)
     9906*317 (Wire
     9907uid 3810,0
     9908shape (OrthoPolyLine
     9909uid 3811,0
     9910va (VaSet
     9911vasetType 3
     9912)
     9913xt "134000,149000,137000,149000"
     9914pts [
     9915"137000,149000"
     9916"134000,149000"
    97779917]
    97789918)
     
    97859925si 0
    97869926tg (WTG
    9787 uid 3806,0
    9788 ps "ConnStartEndStrategy"
    9789 stg "STSignalDisplayStrategy"
    9790 f (Text
    9791 uid 3807,0
    9792 va (VaSet
    9793 isHidden 1
    9794 )
    9795 xt "136000,149000,141500,150000"
    9796 st "RS485_E_RE"
    9797 blo "136000,149800"
    9798 tm "WireNameMgr"
    9799 )
    9800 )
    9801 on &173
    9802 )
    9803 *313 (Wire
    9804 uid 3810,0
    9805 shape (OrthoPolyLine
    9806 uid 3811,0
    9807 va (VaSet
    9808 vasetType 3
    9809 )
    9810 xt "134000,149000,137000,149000"
    9811 pts [
    9812 "137000,149000"
    9813 "134000,149000"
    9814 ]
    9815 )
    9816 start &167
    9817 sat 32
    9818 eat 16
    9819 stc 0
    9820 st 0
    9821 sf 1
    9822 si 0
    9823 tg (WTG
    98249927uid 3814,0
    98259928ps "ConnStartEndStrategy"
     
    98369939)
    98379940)
    9838 on &174
    9839 )
    9840 *314 (Wire
     9941on &173
     9942)
     9943*318 (Wire
    98419944uid 3834,0
    98429945shape (OrthoPolyLine
     
    98519954]
    98529955)
    9853 start &169
    9854 end &159
     9956start &168
     9957end &158
    98559958sat 32
    98569959eat 2
     
    98749977)
    98759978)
    9876 on &176
    9877 )
    9878 *315 (Wire
     9979on &175
     9980)
     9981*319 (Wire
    98799982uid 4942,0
    98809983shape (OrthoPolyLine
     
    98849987lineWidth 2
    98859988)
    9886 xt "80750,120000,111000,120000"
    9887 pts [
    9888 "80750,120000"
    9889 "111000,120000"
    9890 ]
    9891 )
    9892 start &14
    9893 end &181
    9894 sat 32
     9989xt "70000,154000,72000,154000"
     9990pts [
     9991"70000,154000"
     9992"72000,154000"
     9993]
     9994)
     9995start &267
     9996end &180
     9997sat 2
    98959998eat 32
    98969999sty 1
     
    990810011isHidden 1
    990910012)
    9910 xt "82750,117000,84650,118000"
     10013xt "71750,151000,73650,152000"
    991110014st "D_T"
    9912 blo "82750,117800"
    9913 tm "WireNameMgr"
    9914 )
    9915 )
    9916 on &182
    9917 )
    9918 *316 (Wire
     10015blo "71750,151800"
     10016tm "WireNameMgr"
     10017)
     10018)
     10019on &181
     10020)
     10021*320 (Wire
    991910022uid 6431,0
    992010023shape (OrthoPolyLine
     
    993010033)
    993110034start &43
    9932 end &168
     10035end &167
    993310036sat 32
    993410037eat 32
     
    995210055)
    995310056)
    9954 on &175
    9955 )
    9956 *317 (Wire
     10057on &174
     10058)
     10059*321 (Wire
    995710060uid 7144,0
    995810061shape (OrthoPolyLine
     
    996810071]
    996910072)
    9970 start &231
    9971 end &191
     10073start &230
     10074end &190
    997210075sat 2
    997310076eat 32
     
    999110094)
    999210095)
    9993 on &192
    9994 )
    9995 *318 (Wire
     10096on &191
     10097)
     10098*322 (Wire
    999610099uid 7477,0
    999710100shape (OrthoPolyLine
     
    1000710110)
    1000810111start &38
    10009 end &195
     10112end &194
    1001010113es 0
    1001110114sat 32
     
    1002810131)
    1002910132)
    10030 on &193
    10031 )
    10032 *319 (Wire
     10133on &192
     10134)
     10135*323 (Wire
    1003310136uid 8853,0
    1003410137shape (OrthoPolyLine
     
    1004710150)
    1004810151start &30
    10049 end &187
     10152end &186
    1005010153sat 32
    1005110154eat 1
     
    1006810171)
    1006910172)
    10070 on &207
    10071 )
    10072 *320 (Wire
     10173on &206
     10174)
     10175*324 (Wire
    1007310176uid 9502,0
    1007410177shape (OrthoPolyLine
     
    1010310206)
    1010410207)
    10105 on &208
    10106 )
    10107 *321 (Wire
     10208on &207
     10209)
     10210*325 (Wire
    1010810211uid 10034,0
    1010910212shape (OrthoPolyLine
     
    1012010223)
    1012110224start &25
    10122 end &215
     10225end &214
    1012310226sat 32
    1012410227eat 32
     
    1014110244)
    1014210245)
    10143 on &227
    10144 )
    10145 *322 (Wire
     10246on &226
     10247)
     10248*326 (Wire
    1014610249uid 10052,0
    1014710250shape (OrthoPolyLine
     
    1015710260)
    1015810261start &44
    10159 end &213
     10262end &212
    1016010263sat 32
    1016110264eat 32
     
    1017810281)
    1017910282)
    10180 on &228
    10181 )
    10182 *323 (Wire
     10283on &227
     10284)
     10285*327 (Wire
    1018310286uid 10302,0
    1018410287shape (OrthoPolyLine
     
    1019410297]
    1019510298)
    10196 start &231
    10197 end &229
     10299start &230
     10300end &228
    1019810301sat 2
    1019910302eat 32
     
    1021710320)
    1021810321)
    10219 on &230
    10220 )
    10221 *324 (Wire
     10322on &229
     10323)
     10324*328 (Wire
    1022210325uid 10498,0
    1022310326shape (OrthoPolyLine
     
    1023010333"80750,123000"
    1023110334"88000,123000"
    10232 ]
    10233 )
    10234 start &58
    10235 sat 32
    10236 eat 16
    10237 st 0
    10238 sf 1
    10239 si 0
    10240 tg (WTG
    10241 uid 10502,0
    10242 ps "ConnStartEndStrategy"
    10243 stg "STSignalDisplayStrategy"
    10244 f (Text
    10245 uid 10503,0
    10246 va (VaSet
    10247 )
    10248 xt "82000,122000,86800,123000"
    10249 st "CLK50_OUT"
    10250 blo "82000,122800"
    10251 tm "WireNameMgr"
    10252 )
    10253 )
    10254 on &235
    10255 )
    10256 *325 (Wire
    10257 uid 10506,0
    10258 shape (OrthoPolyLine
    10259 uid 10507,0
    10260 va (VaSet
    10261 vasetType 3
    10262 )
    10263 xt "80750,124000,88000,124000"
    10264 pts [
    10265 "80750,124000"
    10266 "88000,124000"
    1026710335]
    1026810336)
     
    1027410342si 0
    1027510343tg (WTG
     10344uid 10502,0
     10345ps "ConnStartEndStrategy"
     10346stg "STSignalDisplayStrategy"
     10347f (Text
     10348uid 10503,0
     10349va (VaSet
     10350)
     10351xt "82000,122000,86800,123000"
     10352st "CLK50_OUT"
     10353blo "82000,122800"
     10354tm "WireNameMgr"
     10355)
     10356)
     10357on &234
     10358)
     10359*329 (Wire
     10360uid 10506,0
     10361shape (OrthoPolyLine
     10362uid 10507,0
     10363va (VaSet
     10364vasetType 3
     10365)
     10366xt "80750,124000,88000,124000"
     10367pts [
     10368"80750,124000"
     10369"88000,124000"
     10370]
     10371)
     10372start &54
     10373sat 32
     10374eat 16
     10375st 0
     10376sf 1
     10377si 0
     10378tg (WTG
    1027610379uid 10510,0
    1027710380ps "ConnStartEndStrategy"
     
    1028710390)
    1028810391)
    10289 on &236
    10290 )
    10291 *326 (Wire
     10392on &235
     10393)
     10394*330 (Wire
    1029210395uid 10514,0
    1029310396shape (OrthoPolyLine
     
    1030210405]
    1030310406)
    10304 start &57
     10407start &55
    1030510408sat 32
    1030610409eat 16
     
    1032210425)
    1032310426)
    10324 on &237
    10325 )
    10326 *327 (Wire
     10427on &236
     10428)
     10429*331 (Wire
    1032710430uid 10522,0
    1032810431shape (OrthoPolyLine
     
    1035710460)
    1035810461)
     10462on &237
     10463)
     10464*332 (Wire
     10465uid 10546,0
     10466shape (OrthoPolyLine
     10467uid 10547,0
     10468va (VaSet
     10469vasetType 3
     10470)
     10471xt "80750,128000,90000,128000"
     10472pts [
     10473"80750,128000"
     10474"90000,128000"
     10475]
     10476)
     10477start &51
     10478sat 32
     10479eat 16
     10480st 0
     10481sf 1
     10482si 0
     10483tg (WTG
     10484uid 10550,0
     10485ps "ConnStartEndStrategy"
     10486stg "STSignalDisplayStrategy"
     10487f (Text
     10488uid 10551,0
     10489va (VaSet
     10490)
     10491xt "82000,127000,89000,128000"
     10492st "PSINCDEC_OUT"
     10493blo "82000,127800"
     10494tm "WireNameMgr"
     10495)
     10496)
    1035910497on &238
    1036010498)
    10361 *328 (Wire
    10362 uid 10530,0
     10499*333 (Wire
     10500uid 10554,0
    1036310501shape (OrthoPolyLine
    10364 uid 10531,0
     10502uid 10555,0
    1036510503va (VaSet
    1036610504vasetType 3
    1036710505)
    10368 xt "80750,127000,87000,127000"
    10369 pts [
    10370 "80750,127000"
    10371 "87000,127000"
     10506xt "80750,130000,88000,130000"
     10507pts [
     10508"80750,130000"
     10509"88000,130000"
     10510]
     10511)
     10512start &45
     10513sat 32
     10514eat 16
     10515st 0
     10516sf 1
     10517si 0
     10518tg (WTG
     10519uid 10558,0
     10520ps "ConnStartEndStrategy"
     10521stg "STSignalDisplayStrategy"
     10522f (Text
     10523uid 10559,0
     10524va (VaSet
     10525)
     10526xt "82000,129000,87200,130000"
     10527st "DCM_locked"
     10528blo "82000,129800"
     10529tm "WireNameMgr"
     10530)
     10531)
     10532on &239
     10533)
     10534*334 (Wire
     10535uid 10562,0
     10536shape (OrthoPolyLine
     10537uid 10563,0
     10538va (VaSet
     10539vasetType 3
     10540)
     10541xt "80750,132000,85000,132000"
     10542pts [
     10543"80750,132000"
     10544"85000,132000"
     10545]
     10546)
     10547start &52
     10548sat 32
     10549eat 16
     10550st 0
     10551sf 1
     10552si 0
     10553tg (WTG
     10554uid 10566,0
     10555ps "ConnStartEndStrategy"
     10556stg "STSignalDisplayStrategy"
     10557f (Text
     10558uid 10567,0
     10559va (VaSet
     10560)
     10561xt "82000,131000,84200,132000"
     10562st "ready"
     10563blo "82000,131800"
     10564tm "WireNameMgr"
     10565)
     10566)
     10567on &240
     10568)
     10569*335 (Wire
     10570uid 10570,0
     10571shape (OrthoPolyLine
     10572uid 10571,0
     10573va (VaSet
     10574vasetType 3
     10575)
     10576xt "80750,133000,86000,133000"
     10577pts [
     10578"80750,133000"
     10579"86000,133000"
     10580]
     10581)
     10582start &53
     10583sat 32
     10584eat 16
     10585st 0
     10586sf 1
     10587si 0
     10588tg (WTG
     10589uid 10574,0
     10590ps "ConnStartEndStrategy"
     10591stg "STSignalDisplayStrategy"
     10592f (Text
     10593uid 10575,0
     10594va (VaSet
     10595)
     10596xt "82000,132000,84900,133000"
     10597st "shifting"
     10598blo "82000,132800"
     10599tm "WireNameMgr"
     10600)
     10601)
     10602on &241
     10603)
     10604*336 (Wire
     10605uid 10578,0
     10606shape (OrthoPolyLine
     10607uid 10579,0
     10608va (VaSet
     10609vasetType 3
     10610)
     10611xt "80750,134000,91000,134000"
     10612pts [
     10613"80750,134000"
     10614"91000,134000"
     10615]
     10616)
     10617start &50
     10618sat 32
     10619eat 16
     10620st 0
     10621sf 1
     10622si 0
     10623tg (WTG
     10624uid 10582,0
     10625ps "ConnStartEndStrategy"
     10626stg "STSignalDisplayStrategy"
     10627f (Text
     10628uid 10583,0
     10629va (VaSet
     10630)
     10631xt "82000,133000,89800,134000"
     10632st "PSDONE_extraOUT"
     10633blo "82000,133800"
     10634tm "WireNameMgr"
     10635)
     10636)
     10637on &242
     10638)
     10639*337 (Wire
     10640uid 10586,0
     10641shape (OrthoPolyLine
     10642uid 10587,0
     10643va (VaSet
     10644vasetType 3
     10645)
     10646xt "80750,135000,88000,135000"
     10647pts [
     10648"80750,135000"
     10649"88000,135000"
    1037210650]
    1037310651)
     
    1037910657si 0
    1038010658tg (WTG
    10381 uid 10534,0
    10382 ps "ConnStartEndStrategy"
    10383 stg "STSignalDisplayStrategy"
    10384 f (Text
    10385 uid 10535,0
    10386 va (VaSet
    10387 )
    10388 xt "82000,126000,86200,127000"
    10389 st "PS_DO_IN"
    10390 blo "82000,126800"
    10391 tm "WireNameMgr"
    10392 )
    10393 )
    10394 on &239
    10395 )
    10396 *329 (Wire
    10397 uid 10538,0
    10398 shape (OrthoPolyLine
    10399 uid 10539,0
    10400 va (VaSet
    10401 vasetType 3
    10402 )
    10403 xt "80750,129000,88000,129000"
    10404 pts [
    10405 "80750,129000"
    10406 "88000,129000"
    10407 ]
    10408 )
    10409 start &52
    10410 sat 32
    10411 eat 16
    10412 st 0
    10413 sf 1
    10414 si 0
    10415 tg (WTG
    10416 uid 10542,0
    10417 ps "ConnStartEndStrategy"
    10418 stg "STSignalDisplayStrategy"
    10419 f (Text
    10420 uid 10543,0
    10421 va (VaSet
    10422 )
    10423 xt "82000,128000,86600,129000"
    10424 st "PSEN_OUT"
    10425 blo "82000,128800"
    10426 tm "WireNameMgr"
    10427 )
    10428 )
    10429 on &240
    10430 )
    10431 *330 (Wire
    10432 uid 10546,0
    10433 shape (OrthoPolyLine
    10434 uid 10547,0
    10435 va (VaSet
    10436 vasetType 3
    10437 )
    10438 xt "80750,128000,90000,128000"
    10439 pts [
    10440 "80750,128000"
    10441 "90000,128000"
    10442 ]
    10443 )
    10444 start &53
    10445 sat 32
    10446 eat 16
    10447 st 0
    10448 sf 1
    10449 si 0
    10450 tg (WTG
    10451 uid 10550,0
    10452 ps "ConnStartEndStrategy"
    10453 stg "STSignalDisplayStrategy"
    10454 f (Text
    10455 uid 10551,0
    10456 va (VaSet
    10457 )
    10458 xt "82000,127000,89000,128000"
    10459 st "PSINCDEC_OUT"
    10460 blo "82000,127800"
    10461 tm "WireNameMgr"
    10462 )
    10463 )
    10464 on &241
    10465 )
    10466 *331 (Wire
    10467 uid 10554,0
    10468 shape (OrthoPolyLine
    10469 uid 10555,0
    10470 va (VaSet
    10471 vasetType 3
    10472 )
    10473 xt "80750,130000,88000,130000"
    10474 pts [
    10475 "80750,130000"
    10476 "88000,130000"
    10477 ]
    10478 )
    10479 start &45
    10480 sat 32
    10481 eat 16
    10482 st 0
    10483 sf 1
    10484 si 0
    10485 tg (WTG
    10486 uid 10558,0
    10487 ps "ConnStartEndStrategy"
    10488 stg "STSignalDisplayStrategy"
    10489 f (Text
    10490 uid 10559,0
    10491 va (VaSet
    10492 )
    10493 xt "82000,129000,87200,130000"
    10494 st "DCM_locked"
    10495 blo "82000,129800"
    10496 tm "WireNameMgr"
    10497 )
    10498 )
    10499 on &242
    10500 )
    10501 *332 (Wire
    10502 uid 10562,0
    10503 shape (OrthoPolyLine
    10504 uid 10563,0
    10505 va (VaSet
    10506 vasetType 3
    10507 )
    10508 xt "80750,132000,85000,132000"
    10509 pts [
    10510 "80750,132000"
    10511 "85000,132000"
    10512 ]
    10513 )
    10514 start &54
    10515 sat 32
    10516 eat 16
    10517 st 0
    10518 sf 1
    10519 si 0
    10520 tg (WTG
    10521 uid 10566,0
    10522 ps "ConnStartEndStrategy"
    10523 stg "STSignalDisplayStrategy"
    10524 f (Text
    10525 uid 10567,0
    10526 va (VaSet
    10527 )
    10528 xt "82000,131000,84200,132000"
    10529 st "ready"
    10530 blo "82000,131800"
    10531 tm "WireNameMgr"
    10532 )
    10533 )
    10534 on &243
    10535 )
    10536 *333 (Wire
    10537 uid 10570,0
    10538 shape (OrthoPolyLine
    10539 uid 10571,0
    10540 va (VaSet
    10541 vasetType 3
    10542 )
    10543 xt "80750,133000,86000,133000"
    10544 pts [
    10545 "80750,133000"
    10546 "86000,133000"
    10547 ]
    10548 )
    10549 start &55
    10550 sat 32
    10551 eat 16
    10552 st 0
    10553 sf 1
    10554 si 0
    10555 tg (WTG
    10556 uid 10574,0
    10557 ps "ConnStartEndStrategy"
    10558 stg "STSignalDisplayStrategy"
    10559 f (Text
    10560 uid 10575,0
    10561 va (VaSet
    10562 )
    10563 xt "82000,132000,84900,133000"
    10564 st "shifting"
    10565 blo "82000,132800"
    10566 tm "WireNameMgr"
    10567 )
    10568 )
    10569 on &244
    10570 )
    10571 *334 (Wire
    10572 uid 10578,0
    10573 shape (OrthoPolyLine
    10574 uid 10579,0
    10575 va (VaSet
    10576 vasetType 3
    10577 )
    10578 xt "80750,134000,91000,134000"
    10579 pts [
    10580 "80750,134000"
    10581 "91000,134000"
    10582 ]
    10583 )
    10584 start &51
    10585 sat 32
    10586 eat 16
    10587 st 0
    10588 sf 1
    10589 si 0
    10590 tg (WTG
    10591 uid 10582,0
    10592 ps "ConnStartEndStrategy"
    10593 stg "STSignalDisplayStrategy"
    10594 f (Text
    10595 uid 10583,0
    10596 va (VaSet
    10597 )
    10598 xt "82000,133000,89800,134000"
    10599 st "PSDONE_extraOUT"
    10600 blo "82000,133800"
    10601 tm "WireNameMgr"
    10602 )
    10603 )
    10604 on &245
    10605 )
    10606 *335 (Wire
    10607 uid 10586,0
    10608 shape (OrthoPolyLine
    10609 uid 10587,0
    10610 va (VaSet
    10611 vasetType 3
    10612 )
    10613 xt "80750,135000,88000,135000"
    10614 pts [
    10615 "80750,135000"
    10616 "88000,135000"
    10617 ]
    10618 )
    10619 start &50
    10620 sat 32
    10621 eat 16
    10622 st 0
    10623 sf 1
    10624 si 0
    10625 tg (WTG
    1062610659uid 10590,0
    1062710660ps "ConnStartEndStrategy"
     
    1063710670)
    1063810671)
    10639 on &246
    10640 )
    10641 *336 (Wire
     10672on &243
     10673)
     10674*338 (Wire
    1064210675uid 10594,0
    1064310676shape (OrthoPolyLine
     
    1067210705)
    1067310706)
    10674 on &247
    10675 )
    10676 *337 (Wire
     10707on &244
     10708)
     10709*339 (Wire
    1067710710uid 11096,0
    1067810711shape (OrthoPolyLine
     
    1068710720]
    1068810721)
    10689 start &248
    10690 end &159
     10722start &245
     10723end &158
    1069110724sat 32
    1069210725eat 1
     
    1070910742)
    1071010743)
    10711 on &249
    10712 )
    10713 *338 (Wire
     10744on &246
     10745)
     10746*340 (Wire
    1071410747uid 11110,0
    1071510748shape (OrthoPolyLine
     
    1072410757]
    1072510758)
    10726 start &159
    10727 end &250
     10759start &158
     10760end &247
    1072810761sat 2
    1072910762eat 32
     
    1074610779)
    1074710780)
    10748 on &251
    10749 )
    10750 *339 (Wire
     10781on &248
     10782)
     10783*341 (Wire
    1075110784uid 11514,0
    1075210785shape (OrthoPolyLine
     
    1076110794]
    1076210795)
    10763 start &252
     10796start &249
    1076410797sat 32
    1076510798eat 16
     
    1078210815)
    1078310816)
    10784 on &253
    10785 )
    10786 *340 (Wire
     10817on &250
     10818)
     10819*342 (Wire
    1078710820uid 11528,0
    1078810821shape (OrthoPolyLine
     
    1079710830]
    1079810831)
    10799 end &255
     10832end &252
    1080010833sat 16
    1080110834eat 32
     
    1081810851)
    1081910852)
    10820 on &254
    10821 )
    10822 *341 (Wire
     10853on &251
     10854)
     10855*343 (Wire
    1082310856uid 12320,0
    1082410857shape (OrthoPolyLine
     
    1083310866]
    1083410867)
    10835 start &59
    10836 end &256
     10868start &57
     10869end &253
    1083710870sat 32
    1083810871eat 32
     
    1085610889)
    1085710890)
    10858 on &257
    10859 )
    10860 *342 (Wire
     10891on &254
     10892)
     10893*344 (Wire
    1086110894uid 12545,0
    1086210895shape (OrthoPolyLine
     
    1087110904]
    1087210905)
    10873 start &60
    10874 end &258
     10906start &58
     10907end &255
    1087510908ss 0
    1087610909sat 32
     
    1089410927)
    1089510928)
    10896 on &261
    10897 )
    10898 *343 (Wire
     10929on &258
     10930)
     10931*345 (Wire
    1089910932uid 12559,0
    1090010933shape (OrthoPolyLine
     
    1090910942]
    1091010943)
    10911 start &62
    10912 end &259
     10944start &60
     10945end &256
    1091310946sat 32
    1091410947eat 32
     
    1093110964)
    1093210965)
    10933 on &262
    10934 )
    10935 *344 (Wire
     10966on &259
     10967)
     10968*346 (Wire
    1093610969uid 12573,0
    1093710970shape (OrthoPolyLine
     
    1094610979]
    1094710980)
    10948 start &61
    10949 end &260
     10981start &59
     10982end &257
    1095010983sat 32
    1095110984eat 32
     
    1096811001)
    1096911002)
    10970 on &263
    10971 )
    10972 *345 (Wire
     11003on &260
     11004)
     11005*347 (Wire
    1097311006uid 13522,0
    1097411007shape (OrthoPolyLine
     
    1097811011lineWidth 2
    1097911012)
    10980 xt "112000,148000,120000,148000"
    10981 pts [
    10982 "112000,148000"
    10983 "120000,148000"
    10984 ]
    10985 )
    10986 start &264
    10987 end &231
     11013xt "12000,81000,24000,81000"
     11014pts [
     11015"12000,81000"
     11016"24000,81000"
     11017]
     11018)
     11019start &261
     11020end &68
    1098811021sat 32
    1098911022eat 1
     
    1099911032uid 13527,0
    1100011033va (VaSet
    11001 isHidden 1
    11002 )
    11003 xt "114000,147000,121900,148000"
    11004 st "POSITION_ID : (5:0)"
    11005 blo "114000,147800"
    11006 tm "WireNameMgr"
    11007 )
    11008 )
    11009 on &265
    11010 )
    11011 *346 (Wire
     11034)
     11035xt "14000,80000,18700,81000"
     11036st "LINE : (5:0)"
     11037blo "14000,80800"
     11038tm "WireNameMgr"
     11039)
     11040)
     11041on &262
     11042)
     11043*348 (Wire
    1101211044uid 13538,0
    1101311045shape (OrthoPolyLine
     
    1102211054]
    1102311055)
    11024 end &231
     11056end &230
    1102511057sat 16
    1102611058eat 1
     
    1104211074)
    1104311075)
    11044 on &247
    11045 )
    11046 *347 (Wire
     11076on &244
     11077)
     11078*349 (Wire
    1104711079uid 13546,0
    1104811080shape (OrthoPolyLine
     
    1105711089]
    1105811090)
    11059 end &231
     11091end &230
    1106011092sat 16
    1106111093eat 1
     
    1107711109)
    1107811110)
    11079 on &246
    11080 )
    11081 *348 (Wire
     11111on &243
     11112)
     11113*350 (Wire
    1108211114uid 13554,0
    1108311115shape (OrthoPolyLine
     
    1109211124]
    1109311125)
    11094 end &231
     11126end &230
    1109511127sat 16
    1109611128eat 1
     
    1111211144)
    1111311145)
    11114 on &245
    11115 )
    11116 *349 (Wire
     11146on &242
     11147)
     11148*351 (Wire
    1111711149uid 13570,0
    1111811150shape (OrthoPolyLine
     
    1112711159]
    1112811160)
    11129 end &231
     11161end &230
    1113011162sat 16
    1113111163eat 1
     
    1114711179)
    1114811180)
    11149 on &257
    11150 )
    11151 *350 (Wire
     11181on &254
     11182)
     11183*352 (Wire
    1115211184uid 13578,0
    1115311185shape (OrthoPolyLine
     
    1116311195]
    1116411196)
    11165 end &231
     11197end &230
    1116611198sat 16
    1116711199eat 1
     
    1118411216)
    1118511217)
    11186 on &207
    11187 )
    11188 *351 (Wire
     11218on &206
     11219)
     11220*353 (Wire
    1118911221uid 13610,0
    1119011222shape (OrthoPolyLine
     
    1119911231]
    1120011232)
    11201 start &183
    11202 end &231
     11233start &182
     11234end &230
    1120311235sat 32
    1120411236eat 1
     
    1122111253)
    1122211254)
    11223 on &184
    11224 )
    11225 *352 (Wire
     11255on &183
     11256)
     11257*354 (Wire
    1122611258uid 13618,0
    1122711259shape (OrthoPolyLine
     
    1123711269]
    1123811270)
    11239 start &231
    11240 end &185
     11271start &230
     11272end &184
    1124111273sat 2
    1124211274eat 32
     
    1126011292)
    1126111293)
    11262 on &186
    11263 )
    11264 *353 (Wire
     11294on &185
     11295)
     11296*355 (Wire
    1126511297uid 13634,0
    1126611298shape (OrthoPolyLine
     
    1127511307]
    1127611308)
    11277 start &266
    11278 end &231
     11309start &263
     11310end &230
    1127911311sat 32
    1128011312eat 1
     
    1129711329)
    1129811330)
    11299 on &267
    11300 )
    11301 *354 (Wire
     11331on &264
     11332)
     11333*356 (Wire
    1130211334uid 13650,0
    1130311335shape (OrthoPolyLine
     
    1131211344]
    1131311345)
    11314 end &231
     11346end &230
    1131511347sat 16
    1131611348eat 1
     
    1133211364)
    1133311365)
    11334 on &253
    11335 )
    11336 *355 (Wire
     11366on &250
     11367)
     11368*357 (Wire
    1133711369uid 13658,0
    1133811370shape (OrthoPolyLine
     
    1134711379]
    1134811380)
    11349 start &231
     11381start &230
    1135011382sat 2
    1135111383eat 16
     
    1136711399)
    1136811400)
    11369 on &174
    11370 )
    11371 *356 (Wire
     11401on &173
     11402)
     11403*358 (Wire
    1137211404uid 13666,0
    1137311405shape (OrthoPolyLine
     
    1138211414]
    1138311415)
    11384 start &231
     11416start &230
    1138511417sat 2
    1138611418eat 16
     
    1140211434)
    1140311435)
    11404 on &173
     11436on &172
     11437)
     11438*359 (Wire
     11439uid 14328,0
     11440shape (OrthoPolyLine
     11441uid 14329,0
     11442va (VaSet
     11443vasetType 3
     11444lineWidth 2
     11445)
     11446xt "39000,139000,51250,139000"
     11447pts [
     11448"39000,139000"
     11449"51250,139000"
     11450]
     11451)
     11452start &265
     11453end &62
     11454sat 32
     11455eat 32
     11456sty 1
     11457st 0
     11458sf 1
     11459si 0
     11460tg (WTG
     11461uid 14332,0
     11462ps "ConnStartEndStrategy"
     11463stg "STSignalDisplayStrategy"
     11464f (Text
     11465uid 14333,0
     11466va (VaSet
     11467isHidden 1
     11468)
     11469xt "41000,138000,46500,139000"
     11470st "D_T_in : (1:0)"
     11471blo "41000,138800"
     11472tm "WireNameMgr"
     11473)
     11474)
     11475on &266
     11476)
     11477*360 (Wire
     11478uid 15175,0
     11479shape (OrthoPolyLine
     11480uid 15176,0
     11481va (VaSet
     11482vasetType 3
     11483lineWidth 2
     11484)
     11485xt "80750,120000,87000,120000"
     11486pts [
     11487"80750,120000"
     11488"87000,120000"
     11489]
     11490)
     11491start &14
     11492sat 32
     11493eat 16
     11494sty 1
     11495st 0
     11496sf 1
     11497si 0
     11498tg (WTG
     11499uid 15179,0
     11500ps "ConnStartEndStrategy"
     11501stg "STSignalDisplayStrategy"
     11502f (Text
     11503uid 15180,0
     11504va (VaSet
     11505)
     11506xt "82000,119000,86000,120000"
     11507st "led : (7:0)"
     11508blo "82000,119800"
     11509tm "WireNameMgr"
     11510)
     11511)
     11512on &271
    1140511513)
    1140611514]
     
    1141611524color "26368,26368,26368"
    1141711525)
    11418 packageList *357 (PackageList
     11526packageList *361 (PackageList
    1141911527uid 41,0
    1142011528stg "VerticalLayoutStrategy"
    1142111529textVec [
    11422 *358 (Text
     11530*362 (Text
    1142311531uid 42,0
    1142411532va (VaSet
     
    1142911537blo "0,800"
    1143011538)
    11431 *359 (MLText
     11539*363 (MLText
    1143211540uid 43,0
    1143311541va (VaSet
     
    1145011558stg "VerticalLayoutStrategy"
    1145111559textVec [
    11452 *360 (Text
     11560*364 (Text
    1145311561uid 45,0
    1145411562va (VaSet
     
    1146011568blo "20000,800"
    1146111569)
    11462 *361 (Text
     11570*365 (Text
    1146311571uid 46,0
    1146411572va (VaSet
     
    1147011578blo "20000,1800"
    1147111579)
    11472 *362 (MLText
     11580*366 (MLText
    1147311581uid 47,0
    1147411582va (VaSet
     
    1148011588tm "BdCompilerDirectivesTextMgr"
    1148111589)
    11482 *363 (Text
     11590*367 (Text
    1148311591uid 48,0
    1148411592va (VaSet
     
    1149011598blo "20000,4800"
    1149111599)
    11492 *364 (MLText
     11600*368 (MLText
    1149311601uid 49,0
    1149411602va (VaSet
     
    1149811606tm "BdCompilerDirectivesTextMgr"
    1149911607)
    11500 *365 (Text
     11608*369 (Text
    1150111609uid 50,0
    1150211610va (VaSet
     
    1150811616blo "20000,5800"
    1150911617)
    11510 *366 (MLText
     11618*370 (MLText
    1151111619uid 51,0
    1151211620va (VaSet
     
    1152011628)
    1152111629windowSize "0,0,1281,1024"
    11522 viewArea "44182,100210,114187,157942"
     11630viewArea "47500,114900,130258,183150"
    1152311631cachedDiagramExtent "0,0,699000,450107"
    1152411632pageSetupInfo (PageSetupInfo
     
    1153311641hasePageBreakOrigin 1
    1153411642pageBreakOrigin "0,0"
    11535 lastUid 14001,0
     11643lastUid 15182,0
    1153611644defaultCommentText (CommentText
    1153711645shape (Rectangle
     
    1159511703stg "VerticalLayoutStrategy"
    1159611704textVec [
    11597 *367 (Text
     11705*371 (Text
    1159811706va (VaSet
    1159911707font "Arial,8,1"
     
    1160411712tm "BdLibraryNameMgr"
    1160511713)
    11606 *368 (Text
     11714*372 (Text
    1160711715va (VaSet
    1160811716font "Arial,8,1"
     
    1161311721tm "BlkNameMgr"
    1161411722)
    11615 *369 (Text
     11723*373 (Text
    1161611724va (VaSet
    1161711725font "Arial,8,1"
     
    1166411772stg "VerticalLayoutStrategy"
    1166511773textVec [
    11666 *370 (Text
     11774*374 (Text
    1166711775va (VaSet
    1166811776font "Arial,8,1"
     
    1167211780blo "550,4300"
    1167311781)
    11674 *371 (Text
     11782*375 (Text
    1167511783va (VaSet
    1167611784font "Arial,8,1"
     
    1168011788blo "550,5300"
    1168111789)
    11682 *372 (Text
     11790*376 (Text
    1168311791va (VaSet
    1168411792font "Arial,8,1"
     
    1172911837stg "VerticalLayoutStrategy"
    1173011838textVec [
    11731 *373 (Text
     11839*377 (Text
    1173211840va (VaSet
    1173311841font "Arial,8,1"
     
    1173811846tm "BdLibraryNameMgr"
    1173911847)
    11740 *374 (Text
     11848*378 (Text
    1174111849va (VaSet
    1174211850font "Arial,8,1"
     
    1174711855tm "CptNameMgr"
    1174811856)
    11749 *375 (Text
     11857*379 (Text
    1175011858va (VaSet
    1175111859font "Arial,8,1"
     
    1180111909stg "VerticalLayoutStrategy"
    1180211910textVec [
    11803 *376 (Text
     11911*380 (Text
    1180411912va (VaSet
    1180511913font "Arial,8,1"
     
    1180911917blo "500,4300"
    1181011918)
    11811 *377 (Text
     11919*381 (Text
    1181211920va (VaSet
    1181311921font "Arial,8,1"
     
    1181711925blo "500,5300"
    1181811926)
    11819 *378 (Text
     11927*382 (Text
    1182011928va (VaSet
    1182111929font "Arial,8,1"
     
    1186211970stg "VerticalLayoutStrategy"
    1186311971textVec [
    11864 *379 (Text
     11972*383 (Text
    1186511973va (VaSet
    1186611974font "Arial,8,1"
     
    1187011978blo "50,4300"
    1187111979)
    11872 *380 (Text
     11980*384 (Text
    1187311981va (VaSet
    1187411982font "Arial,8,1"
     
    1187811986blo "50,5300"
    1187911987)
    11880 *381 (Text
     11988*385 (Text
    1188111989va (VaSet
    1188211990font "Arial,8,1"
     
    1191912027stg "VerticalLayoutStrategy"
    1192012028textVec [
    11921 *382 (Text
     12029*386 (Text
    1192212030va (VaSet
    1192312031font "Arial,8,1"
     
    1192812036tm "HdlTextNameMgr"
    1192912037)
    11930 *383 (Text
     12038*387 (Text
    1193112039va (VaSet
    1193212040font "Arial,8,1"
     
    1233112439stg "VerticalLayoutStrategy"
    1233212440textVec [
    12333 *384 (Text
     12441*388 (Text
    1233412442va (VaSet
    1233512443font "Arial,8,1"
     
    1233912447blo "14100,20800"
    1234012448)
    12341 *385 (MLText
     12449*389 (MLText
    1234212450va (VaSet
    1234312451)
     
    1239112499stg "VerticalLayoutStrategy"
    1239212500textVec [
    12393 *386 (Text
     12501*390 (Text
    1239412502va (VaSet
    1239512503font "Arial,8,1"
     
    1239912507blo "14100,20800"
    1240012508)
    12401 *387 (MLText
     12509*391 (MLText
    1240212510va (VaSet
    1240312511)
     
    1251712625font "Arial,8,1"
    1251812626)
    12519 xt "37000,49400,44100,50400"
     12627xt "37000,50200,44100,51200"
    1252012628st "Diagram Signals:"
    12521 blo "37000,50200"
     12629blo "37000,51000"
    1252212630)
    1252312631postUserLabel (Text
     
    1254312651commonDM (CommonDM
    1254412652ldm (LogicalDM
    12545 suid 212,0
     12653suid 215,0
    1254612654usingSuid 1
    12547 emptyRow *388 (LEmptyRow
     12655emptyRow *392 (LEmptyRow
    1254812656)
    1254912657uid 54,0
    1255012658optionalChildren [
    12551 *389 (RefLabelRowHdr
    12552 )
    12553 *390 (TitleRowHdr
    12554 )
    12555 *391 (FilterRowHdr
    12556 )
    12557 *392 (RefLabelColHdr
     12659*393 (RefLabelRowHdr
     12660)
     12661*394 (TitleRowHdr
     12662)
     12663*395 (FilterRowHdr
     12664)
     12665*396 (RefLabelColHdr
    1255812666tm "RefLabelColHdrMgr"
    1255912667)
    12560 *393 (RowExpandColHdr
     12668*397 (RowExpandColHdr
    1256112669tm "RowExpandColHdrMgr"
    1256212670)
    12563 *394 (GroupColHdr
     12671*398 (GroupColHdr
    1256412672tm "GroupColHdrMgr"
    1256512673)
    12566 *395 (NameColHdr
     12674*399 (NameColHdr
    1256712675tm "BlockDiagramNameColHdrMgr"
    1256812676)
    12569 *396 (ModeColHdr
     12677*400 (ModeColHdr
    1257012678tm "BlockDiagramModeColHdrMgr"
    1257112679)
    12572 *397 (TypeColHdr
     12680*401 (TypeColHdr
    1257312681tm "BlockDiagramTypeColHdrMgr"
    1257412682)
    12575 *398 (BoundsColHdr
     12683*402 (BoundsColHdr
    1257612684tm "BlockDiagramBoundsColHdrMgr"
    1257712685)
    12578 *399 (InitColHdr
     12686*403 (InitColHdr
    1257912687tm "BlockDiagramInitColHdrMgr"
    1258012688)
    12581 *400 (EolColHdr
     12689*404 (EolColHdr
    1258212690tm "BlockDiagramEolColHdrMgr"
    1258312691)
    12584 *401 (LeafLogPort
     12692*405 (LeafLogPort
    1258512693port (LogicalPort
    1258612694m 4
     
    1259712705uid 327,0
    1259812706)
    12599 *402 (LeafLogPort
     12707*406 (LeafLogPort
    1260012708port (LogicalPort
    1260112709m 4
     
    1261012718uid 329,0
    1261112719)
    12612 *403 (LeafLogPort
     12720*407 (LeafLogPort
    1261312721port (LogicalPort
    1261412722m 4
     
    1262212730uid 1491,0
    1262312731)
    12624 *404 (LeafLogPort
     12732*408 (LeafLogPort
    1262512733port (LogicalPort
    1262612734m 1
     
    1263512743uid 2435,0
    1263612744)
    12637 *405 (LeafLogPort
     12745*409 (LeafLogPort
    1263812746port (LogicalPort
    1263912747m 4
     
    1264812756uid 2437,0
    1264912757)
    12650 *406 (LeafLogPort
     12758*410 (LeafLogPort
    1265112759port (LogicalPort
    1265212760m 4
     
    1266112769uid 3037,0
    1266212770)
    12663 *407 (LeafLogPort
     12771*411 (LeafLogPort
    1266412772port (LogicalPort
    1266512773m 1
     
    1267312781uid 3039,0
    1267412782)
    12675 *408 (LeafLogPort
     12783*412 (LeafLogPort
    1267612784port (LogicalPort
    1267712785decl (Decl
     
    1268612794uid 3276,0
    1268712795)
    12688 *409 (LeafLogPort
     12796*413 (LeafLogPort
    1268912797port (LogicalPort
    1269012798decl (Decl
     
    1269712805uid 3278,0
    1269812806)
    12699 *410 (LeafLogPort
     12807*414 (LeafLogPort
    1270012808port (LogicalPort
    1270112809m 1
     
    1271012818uid 3280,0
    1271112819)
    12712 *411 (LeafLogPort
     12820*415 (LeafLogPort
    1271312821port (LogicalPort
    1271412822m 4
     
    1272212830uid 3282,0
    1272312831)
    12724 *412 (LeafLogPort
     12832*416 (LeafLogPort
    1272512833port (LogicalPort
    1272612834m 1
     
    1273612844uid 3382,0
    1273712845)
    12738 *413 (LeafLogPort
     12846*417 (LeafLogPort
    1273912847port (LogicalPort
    1274012848decl (Decl
     
    1274812856uid 3384,0
    1274912857)
    12750 *414 (LeafLogPort
     12858*418 (LeafLogPort
    1275112859port (LogicalPort
    1275212860decl (Decl
     
    1276012868uid 3386,0
    1276112869)
    12762 *415 (LeafLogPort
     12870*419 (LeafLogPort
    1276312871port (LogicalPort
    1276412872decl (Decl
     
    1277212880uid 3388,0
    1277312881)
    12774 *416 (LeafLogPort
     12882*420 (LeafLogPort
    1277512883port (LogicalPort
    1277612884decl (Decl
     
    1278412892uid 3390,0
    1278512893)
    12786 *417 (LeafLogPort
     12894*421 (LeafLogPort
    1278712895port (LogicalPort
    1278812896decl (Decl
     
    1279612904uid 3392,0
    1279712905)
    12798 *418 (LeafLogPort
     12906*422 (LeafLogPort
    1279912907port (LogicalPort
    1280012908m 1
     
    1280812916uid 3468,0
    1280912917)
    12810 *419 (LeafLogPort
     12918*423 (LeafLogPort
    1281112919port (LogicalPort
    1281212920m 1
     
    1282012928uid 3470,0
    1282112929)
    12822 *420 (LeafLogPort
     12930*424 (LeafLogPort
    1282312931port (LogicalPort
    1282412932m 1
     
    1283212940uid 3472,0
    1283312941)
    12834 *421 (LeafLogPort
     12942*425 (LeafLogPort
    1283512943port (LogicalPort
    1283612944m 1
     
    1284412952uid 3474,0
    1284512953)
    12846 *422 (LeafLogPort
     12954*426 (LeafLogPort
    1284712955port (LogicalPort
    1284812956decl (Decl
     
    1285512963uid 3524,0
    1285612964)
    12857 *423 (LeafLogPort
     12965*427 (LeafLogPort
    1285812966port (LogicalPort
    1285912967decl (Decl
     
    1286612974uid 3526,0
    1286712975)
    12868 *424 (LeafLogPort
     12976*428 (LeafLogPort
    1286912977port (LogicalPort
    1287012978decl (Decl
     
    1287712985uid 3528,0
    1287812986)
    12879 *425 (LeafLogPort
     12987*429 (LeafLogPort
    1288012988port (LogicalPort
    1288112989decl (Decl
     
    1288812996uid 3530,0
    1288912997)
    12890 *426 (LeafLogPort
     12998*430 (LeafLogPort
    1289112999port (LogicalPort
    1289213000m 1
     
    1290213010uid 3532,0
    1290313011)
    12904 *427 (LeafLogPort
     13012*431 (LeafLogPort
    1290513013port (LogicalPort
    1290613014m 1
     
    1291513023uid 3534,0
    1291613024)
    12917 *428 (LeafLogPort
    12918 port (LogicalPort
    12919 m 1
    12920 decl (Decl
    12921 n "T0_CS"
    12922 t "std_logic"
    12923 o 46
    12924 suid 101,0
    12925 )
    12926 )
    12927 uid 3646,0
    12928 )
    12929 *429 (LeafLogPort
    12930 port (LogicalPort
    12931 m 1
    12932 decl (Decl
    12933 n "T1_CS"
    12934 t "std_logic"
    12935 o 47
    12936 suid 102,0
    12937 )
    12938 )
    12939 uid 3648,0
    12940 )
    12941 *430 (LeafLogPort
    12942 port (LogicalPort
    12943 m 1
    12944 decl (Decl
    12945 n "T2_CS"
    12946 t "std_logic"
    12947 o 48
    12948 suid 103,0
    12949 )
    12950 )
    12951 uid 3650,0
    12952 )
    12953 *431 (LeafLogPort
    12954 port (LogicalPort
    12955 m 1
    12956 decl (Decl
    12957 n "T3_CS"
    12958 t "std_logic"
    12959 o 49
    12960 suid 104,0
    12961 )
    12962 )
    12963 uid 3652,0
    12964 )
    1296513025*432 (LeafLogPort
    1296613026port (LogicalPort
    1296713027m 1
    1296813028decl (Decl
     13029n "T0_CS"
     13030t "std_logic"
     13031o 46
     13032suid 101,0
     13033)
     13034)
     13035uid 3646,0
     13036)
     13037*433 (LeafLogPort
     13038port (LogicalPort
     13039m 1
     13040decl (Decl
     13041n "T1_CS"
     13042t "std_logic"
     13043o 47
     13044suid 102,0
     13045)
     13046)
     13047uid 3648,0
     13048)
     13049*434 (LeafLogPort
     13050port (LogicalPort
     13051m 1
     13052decl (Decl
     13053n "T2_CS"
     13054t "std_logic"
     13055o 48
     13056suid 103,0
     13057)
     13058)
     13059uid 3650,0
     13060)
     13061*435 (LeafLogPort
     13062port (LogicalPort
     13063m 1
     13064decl (Decl
     13065n "T3_CS"
     13066t "std_logic"
     13067o 49
     13068suid 104,0
     13069)
     13070)
     13071uid 3652,0
     13072)
     13073*436 (LeafLogPort
     13074port (LogicalPort
     13075m 1
     13076decl (Decl
    1296913077n "S_CLK"
    1297013078t "std_logic"
     
    1297513083uid 3654,0
    1297613084)
    12977 *433 (LeafLogPort
     13085*437 (LeafLogPort
    1297813086port (LogicalPort
    1297913087m 1
     
    1298813096uid 3656,0
    1298913097)
    12990 *434 (LeafLogPort
     13098*438 (LeafLogPort
    1299113099port (LogicalPort
    1299213100m 2
     
    1300113109uid 3658,0
    1300213110)
    13003 *435 (LeafLogPort
     13111*439 (LeafLogPort
    1300413112port (LogicalPort
    1300513113m 1
     
    1301413122uid 3660,0
    1301513123)
    13016 *436 (LeafLogPort
     13124*440 (LeafLogPort
    1301713125port (LogicalPort
    1301813126m 1
     
    1302713135uid 3662,0
    1302813136)
    13029 *437 (LeafLogPort
     13137*441 (LeafLogPort
    1303013138port (LogicalPort
    1303113139m 1
     
    1304013148uid 3664,0
    1304113149)
    13042 *438 (LeafLogPort
     13150*442 (LeafLogPort
    1304313151port (LogicalPort
    1304413152decl (Decl
     
    1305113159uid 3666,0
    1305213160)
    13053 *439 (LeafLogPort
     13161*443 (LeafLogPort
    1305413162port (LogicalPort
    1305513163m 1
     
    1306413172uid 3668,0
    1306513173)
    13066 *440 (LeafLogPort
     13174*444 (LeafLogPort
    1306713175port (LogicalPort
    1306813176m 1
     
    1307713185uid 3696,0
    1307813186)
    13079 *441 (LeafLogPort
     13187*445 (LeafLogPort
    1308013188port (LogicalPort
    1308113189m 2
     
    1309113199uid 3698,0
    1309213200)
    13093 *442 (LeafLogPort
    13094 port (LogicalPort
    13095 m 1
    13096 decl (Decl
    13097 n "TRG_V"
    13098 t "std_logic"
    13099 o 50
    13100 suid 126,0
    13101 )
    13102 )
    13103 uid 3886,0
    13104 )
    13105 *443 (LeafLogPort
    13106 port (LogicalPort
    13107 m 1
    13108 decl (Decl
    13109 n "RS485_C_RE"
    13110 t "std_logic"
    13111 o 40
    13112 suid 127,0
    13113 )
    13114 )
    13115 uid 3888,0
    13116 )
    13117 *444 (LeafLogPort
    13118 port (LogicalPort
    13119 m 1
    13120 decl (Decl
    13121 n "RS485_C_DE"
    13122 t "std_logic"
    13123 o 38
    13124 suid 128,0
    13125 )
    13126 )
    13127 uid 3890,0
    13128 )
    13129 *445 (LeafLogPort
    13130 port (LogicalPort
    13131 m 1
    13132 decl (Decl
    13133 n "RS485_E_RE"
    13134 t "std_logic"
    13135 o 42
    13136 suid 129,0
    13137 )
    13138 )
    13139 uid 3892,0
    13140 )
    1314113201*446 (LeafLogPort
    1314213202port (LogicalPort
    1314313203m 1
    1314413204decl (Decl
    13145 n "RS485_E_DE"
    13146 t "std_logic"
    13147 o 41
    13148 suid 130,0
    13149 )
    13150 )
    13151 uid 3894,0
     13205n "TRG_V"
     13206t "std_logic"
     13207o 50
     13208suid 126,0
     13209)
     13210)
     13211uid 3886,0
    1315213212)
    1315313213*447 (LeafLogPort
     
    1315513215m 1
    1315613216decl (Decl
     13217n "RS485_C_RE"
     13218t "std_logic"
     13219o 40
     13220suid 127,0
     13221)
     13222)
     13223uid 3888,0
     13224)
     13225*448 (LeafLogPort
     13226port (LogicalPort
     13227m 1
     13228decl (Decl
     13229n "RS485_C_DE"
     13230t "std_logic"
     13231o 38
     13232suid 128,0
     13233)
     13234)
     13235uid 3890,0
     13236)
     13237*449 (LeafLogPort
     13238port (LogicalPort
     13239m 1
     13240decl (Decl
     13241n "RS485_E_RE"
     13242t "std_logic"
     13243o 42
     13244suid 129,0
     13245)
     13246)
     13247uid 3892,0
     13248)
     13249*450 (LeafLogPort
     13250port (LogicalPort
     13251m 1
     13252decl (Decl
     13253n "RS485_E_DE"
     13254t "std_logic"
     13255o 41
     13256suid 130,0
     13257)
     13258)
     13259uid 3894,0
     13260)
     13261*451 (LeafLogPort
     13262port (LogicalPort
     13263m 1
     13264decl (Decl
    1315713265n "DENABLE"
    1315813266t "std_logic"
     
    1316413272uid 3896,0
    1316513273)
    13166 *448 (LeafLogPort
     13274*452 (LeafLogPort
    1316713275port (LogicalPort
    1316813276m 1
     
    1317613284uid 3900,0
    1317713285)
    13178 *449 (LeafLogPort
     13286*453 (LeafLogPort
    1317913287port (LogicalPort
    1318013288m 1
     
    1318213290n "D_T"
    1318313291t "std_logic_vector"
    13184 b "(7 DOWNTO 0)"
     13292b "(5 DOWNTO 0)"
    1318513293o 31
    1318613294suid 141,0
     
    1319013298uid 5322,0
    1319113299)
    13192 *450 (LeafLogPort
     13300*454 (LeafLogPort
    1319313301port (LogicalPort
    1319413302decl (Decl
     
    1320313311scheme 0
    1320413312)
    13205 *451 (LeafLogPort
     13313*455 (LeafLogPort
    1320613314port (LogicalPort
    1320713315m 1
     
    1321813326scheme 0
    1321913327)
    13220 *452 (LeafLogPort
     13328*456 (LeafLogPort
    1322113329port (LogicalPort
    1322213330m 1
     
    1323313341scheme 0
    1323413342)
    13235 *453 (LeafLogPort
     13343*457 (LeafLogPort
    1323613344port (LogicalPort
    1323713345m 4
     
    1324613354scheme 0
    1324713355)
    13248 *454 (LeafLogPort
     13356*458 (LeafLogPort
    1324913357port (LogicalPort
    1325013358m 4
     
    1326013368uid 8875,0
    1326113369)
    13262 *455 (LeafLogPort
     13370*459 (LeafLogPort
    1326313371port (LogicalPort
    1326413372m 4
     
    1327213380uid 9516,0
    1327313381)
    13274 *456 (LeafLogPort
     13382*460 (LeafLogPort
    1327513383port (LogicalPort
    1327613384m 4
     
    1328413392uid 10056,0
    1328513393)
    13286 *457 (LeafLogPort
     13394*461 (LeafLogPort
    1328713395port (LogicalPort
    1328813396m 4
     
    1329713405uid 10058,0
    1329813406)
    13299 *458 (LeafLogPort
     13407*462 (LeafLogPort
    1330013408port (LogicalPort
    1330113409m 1
     
    1331213420scheme 0
    1331313421)
    13314 *459 (LeafLogPort
    13315 port (LogicalPort
    13316 m 4
    13317 decl (Decl
    13318 n "CLK50_OUT"
    13319 t "std_logic"
    13320 o 60
    13321 suid 184,0
    13322 )
    13323 )
    13324 uid 10704,0
    13325 )
    13326 *460 (LeafLogPort
    13327 port (LogicalPort
    13328 m 4
    13329 decl (Decl
    13330 n "CLK25_OUT"
    13331 t "std_logic"
    13332 o 58
    13333 suid 185,0
    13334 )
    13335 )
    13336 uid 10706,0
    13337 )
    13338 *461 (LeafLogPort
    13339 port (LogicalPort
    13340 m 4
    13341 decl (Decl
    13342 n "CLK25_PSOUT"
    13343 t "std_logic"
    13344 o 59
    13345 suid 186,0
    13346 )
    13347 )
    13348 uid 10708,0
    13349 )
    13350 *462 (LeafLogPort
    13351 port (LogicalPort
    13352 m 4
    13353 decl (Decl
    13354 n "PS_DIR_IN"
    13355 t "std_logic"
    13356 o 70
    13357 suid 187,0
    13358 )
    13359 )
    13360 uid 10710,0
    13361 )
    1336213422*463 (LeafLogPort
    1336313423port (LogicalPort
    1336413424m 4
    1336513425decl (Decl
    13366 n "PS_DO_IN"
    13367 t "std_logic"
    13368 o 71
    13369 suid 188,0
    13370 )
    13371 )
    13372 uid 10712,0
     13426n "CLK50_OUT"
     13427t "std_logic"
     13428o 60
     13429suid 184,0
     13430)
     13431)
     13432uid 10704,0
    1337313433)
    1337413434*464 (LeafLogPort
     
    1337613436m 4
    1337713437decl (Decl
    13378 n "PSEN_OUT"
    13379 t "std_logic"
    13380 o 68
    13381 suid 189,0
    13382 )
    13383 )
    13384 uid 10714,0
     13438n "CLK25_OUT"
     13439t "std_logic"
     13440o 58
     13441suid 185,0
     13442)
     13443)
     13444uid 10706,0
    1338513445)
    1338613446*465 (LeafLogPort
     
    1338813448m 4
    1338913449decl (Decl
     13450n "CLK25_PSOUT"
     13451t "std_logic"
     13452o 59
     13453suid 186,0
     13454)
     13455)
     13456uid 10708,0
     13457)
     13458*466 (LeafLogPort
     13459port (LogicalPort
     13460m 4
     13461decl (Decl
     13462n "PS_DIR_IN"
     13463t "std_logic"
     13464o 70
     13465suid 187,0
     13466)
     13467)
     13468uid 10710,0
     13469)
     13470*467 (LeafLogPort
     13471port (LogicalPort
     13472m 4
     13473decl (Decl
    1339013474n "PSINCDEC_OUT"
    1339113475t "std_logic"
     
    1339613480uid 10716,0
    1339713481)
    13398 *466 (LeafLogPort
     13482*468 (LeafLogPort
    1339913483port (LogicalPort
    1340013484m 4
     
    1341013494uid 10718,0
    1341113495)
    13412 *467 (LeafLogPort
     13496*469 (LeafLogPort
    1341313497port (LogicalPort
    1341413498m 4
     
    1342513509uid 10720,0
    1342613510)
    13427 *468 (LeafLogPort
     13511*470 (LeafLogPort
    1342813512port (LogicalPort
    1342913513m 4
     
    1344113525uid 10722,0
    1344213526)
    13443 *469 (LeafLogPort
    13444 port (LogicalPort
    13445 m 4
    13446 decl (Decl
    13447 n "PSDONE_extraOUT"
    13448 t "std_logic"
    13449 o 67
    13450 suid 194,0
    13451 )
    13452 )
    13453 uid 10724,0
    13454 )
    13455 *470 (LeafLogPort
    13456 port (LogicalPort
    13457 m 4
    13458 decl (Decl
    13459 n "PSCLK_OUT"
    13460 t "std_logic"
    13461 o 66
    13462 suid 195,0
    13463 )
    13464 )
    13465 uid 10726,0
    13466 )
    1346713527*471 (LeafLogPort
    1346813528port (LogicalPort
    1346913529m 4
    1347013530decl (Decl
     13531n "PSDONE_extraOUT"
     13532t "std_logic"
     13533o 67
     13534suid 194,0
     13535)
     13536)
     13537uid 10724,0
     13538)
     13539*472 (LeafLogPort
     13540port (LogicalPort
     13541m 4
     13542decl (Decl
     13543n "PSCLK_OUT"
     13544t "std_logic"
     13545o 66
     13546suid 195,0
     13547)
     13548)
     13549uid 10726,0
     13550)
     13551*473 (LeafLogPort
     13552port (LogicalPort
     13553m 4
     13554decl (Decl
    1347113555n "LOCKED_extraOUT"
    1347213556t "std_logic"
     
    1347713561uid 10728,0
    1347813562)
    13479 *472 (LeafLogPort
     13563*474 (LeafLogPort
    1348013564port (LogicalPort
    1348113565decl (Decl
     
    1348913573scheme 0
    1349013574)
    13491 *473 (LeafLogPort
     13575*475 (LeafLogPort
    1349213576port (LogicalPort
    1349313577m 1
     
    1350213586scheme 0
    1350313587)
    13504 *474 (LeafLogPort
     13588*476 (LeafLogPort
    1350513589port (LogicalPort
    1350613590decl (Decl
     
    1351413598scheme 0
    1351513599)
    13516 *475 (LeafLogPort
     13600*477 (LeafLogPort
    1351713601port (LogicalPort
    1351813602decl (Decl
     
    1352613610scheme 0
    1352713611)
    13528 *476 (LeafLogPort
     13612*478 (LeafLogPort
    1352913613port (LogicalPort
    1353013614m 1
     
    1353913623uid 12336,0
    1354013624)
    13541 *477 (LeafLogPort
    13542 port (LogicalPort
    13543 m 1
    13544 decl (Decl
    13545 n "AMBER_LED"
    13546 t "std_logic"
    13547 o 21
    13548 suid 207,0
    13549 )
    13550 )
    13551 uid 12768,0
    13552 )
    13553 *478 (LeafLogPort
    13554 port (LogicalPort
    13555 m 1
    13556 decl (Decl
    13557 n "GREEN_LED"
    13558 t "std_logic"
    13559 o 34
    13560 suid 208,0
    13561 )
    13562 )
    13563 uid 12770,0
    13564 )
    1356513625*479 (LeafLogPort
    1356613626port (LogicalPort
    1356713627m 1
    1356813628decl (Decl
     13629n "AMBER_LED"
     13630t "std_logic"
     13631o 21
     13632suid 207,0
     13633)
     13634)
     13635uid 12768,0
     13636)
     13637*480 (LeafLogPort
     13638port (LogicalPort
     13639m 1
     13640decl (Decl
     13641n "GREEN_LED"
     13642t "std_logic"
     13643o 34
     13644suid 208,0
     13645)
     13646)
     13647uid 12770,0
     13648)
     13649*481 (LeafLogPort
     13650port (LogicalPort
     13651m 1
     13652decl (Decl
    1356913653n "RED_LED"
    1357013654t "std_logic"
     
    1357513659uid 12772,0
    1357613660)
    13577 *480 (LeafLogPort
     13661*482 (LeafLogPort
    1357813662port (LogicalPort
    1357913663decl (Decl
    13580 n "POSITION_ID"
     13664n "LINE"
    1358113665t "std_logic_vector"
    1358213666b "( 5 DOWNTO 0 )"
     
    1358813672scheme 0
    1358913673)
    13590 *481 (LeafLogPort
     13674*483 (LeafLogPort
    1359113675port (LogicalPort
    1359213676decl (Decl
     
    1359913683uid 13626,0
    1360013684scheme 0
     13685)
     13686*484 (LeafLogPort
     13687port (LogicalPort
     13688decl (Decl
     13689n "D_T_in"
     13690t "std_logic_vector"
     13691b "(1 DOWNTO 0)"
     13692o 80
     13693suid 213,0
     13694)
     13695)
     13696uid 14320,0
     13697scheme 0
     13698)
     13699*485 (LeafLogPort
     13700port (LogicalPort
     13701m 4
     13702decl (Decl
     13703n "led"
     13704t "std_logic_vector"
     13705b "(7 DOWNTO 0)"
     13706posAdd 0
     13707o 81
     13708suid 215,0
     13709i "(OTHERS => '0')"
     13710)
     13711)
     13712uid 15181,0
    1360113713)
    1360213714]
     
    1360713719uid 67,0
    1360813720optionalChildren [
    13609 *482 (Sheet
     13721*486 (Sheet
    1361013722sheetRow (SheetRow
    1361113723headerVa (MVa
     
    1362413736font "Tahoma,10,0"
    1362513737)
    13626 emptyMRCItem *483 (MRCItem
    13627 litem &388
     13738emptyMRCItem *487 (MRCItem
     13739litem &392
    1362813740pos 81
    1362913741dimension 20
     
    1363113743uid 69,0
    1363213744optionalChildren [
    13633 *484 (MRCItem
    13634 litem &389
     13745*488 (MRCItem
     13746litem &393
    1363513747pos 0
    1363613748dimension 20
    1363713749uid 70,0
    1363813750)
    13639 *485 (MRCItem
    13640 litem &390
     13751*489 (MRCItem
     13752litem &394
    1364113753pos 1
    1364213754dimension 23
    1364313755uid 71,0
    1364413756)
    13645 *486 (MRCItem
    13646 litem &391
     13757*490 (MRCItem
     13758litem &395
    1364713759pos 2
    1364813760hidden 1
     
    1365013762uid 72,0
    1365113763)
    13652 *487 (MRCItem
    13653 litem &401
     13764*491 (MRCItem
     13765litem &405
    1365413766pos 52
    1365513767dimension 20
    1365613768uid 328,0
    1365713769)
    13658 *488 (MRCItem
    13659 litem &402
     13770*492 (MRCItem
     13771litem &406
    1366013772pos 53
    1366113773dimension 20
    1366213774uid 330,0
    1366313775)
    13664 *489 (MRCItem
    13665 litem &403
     13776*493 (MRCItem
     13777litem &407
    1366613778pos 54
    1366713779dimension 20
    1366813780uid 1492,0
    1366913781)
    13670 *490 (MRCItem
    13671 litem &404
     13782*494 (MRCItem
     13783litem &408
    1367213784pos 0
    1367313785dimension 20
    1367413786uid 2436,0
    1367513787)
    13676 *491 (MRCItem
    13677 litem &405
     13788*495 (MRCItem
     13789litem &409
    1367813790pos 55
    1367913791dimension 20
    1368013792uid 2438,0
    1368113793)
    13682 *492 (MRCItem
    13683 litem &406
     13794*496 (MRCItem
     13795litem &410
    1368413796pos 56
    1368513797dimension 20
    1368613798uid 3038,0
    1368713799)
    13688 *493 (MRCItem
    13689 litem &407
     13800*497 (MRCItem
     13801litem &411
    1369013802pos 1
    1369113803dimension 20
    1369213804uid 3040,0
    1369313805)
    13694 *494 (MRCItem
    13695 litem &408
     13806*498 (MRCItem
     13807litem &412
    1369613808pos 2
    1369713809dimension 20
    1369813810uid 3277,0
    1369913811)
    13700 *495 (MRCItem
    13701 litem &409
     13812*499 (MRCItem
     13813litem &413
    1370213814pos 3
    1370313815dimension 20
    1370413816uid 3279,0
    1370513817)
    13706 *496 (MRCItem
    13707 litem &410
     13818*500 (MRCItem
     13819litem &414
    1370813820pos 4
    1370913821dimension 20
    1371013822uid 3281,0
    1371113823)
    13712 *497 (MRCItem
    13713 litem &411
     13824*501 (MRCItem
     13825litem &415
    1371413826pos 57
    1371513827dimension 20
    1371613828uid 3283,0
    1371713829)
    13718 *498 (MRCItem
    13719 litem &412
     13830*502 (MRCItem
     13831litem &416
    1372013832pos 5
    1372113833dimension 20
    1372213834uid 3383,0
    1372313835)
    13724 *499 (MRCItem
    13725 litem &413
     13836*503 (MRCItem
     13837litem &417
    1372613838pos 6
    1372713839dimension 20
    1372813840uid 3385,0
    1372913841)
    13730 *500 (MRCItem
    13731 litem &414
     13842*504 (MRCItem
     13843litem &418
    1373213844pos 7
    1373313845dimension 20
    1373413846uid 3387,0
    1373513847)
    13736 *501 (MRCItem
    13737 litem &415
     13848*505 (MRCItem
     13849litem &419
    1373813850pos 8
    1373913851dimension 20
    1374013852uid 3389,0
    1374113853)
    13742 *502 (MRCItem
    13743 litem &416
     13854*506 (MRCItem
     13855litem &420
    1374413856pos 9
    1374513857dimension 20
    1374613858uid 3391,0
    1374713859)
    13748 *503 (MRCItem
    13749 litem &417
     13860*507 (MRCItem
     13861litem &421
    1375013862pos 10
    1375113863dimension 20
    1375213864uid 3393,0
    1375313865)
    13754 *504 (MRCItem
    13755 litem &418
     13866*508 (MRCItem
     13867litem &422
    1375613868pos 11
    1375713869dimension 20
    1375813870uid 3469,0
    1375913871)
    13760 *505 (MRCItem
    13761 litem &419
     13872*509 (MRCItem
     13873litem &423
    1376213874pos 12
    1376313875dimension 20
    1376413876uid 3471,0
    1376513877)
    13766 *506 (MRCItem
    13767 litem &420
     13878*510 (MRCItem
     13879litem &424
    1376813880pos 13
    1376913881dimension 20
    1377013882uid 3473,0
    1377113883)
    13772 *507 (MRCItem
    13773 litem &421
     13884*511 (MRCItem
     13885litem &425
    1377413886pos 14
    1377513887dimension 20
    1377613888uid 3475,0
    1377713889)
    13778 *508 (MRCItem
    13779 litem &422
     13890*512 (MRCItem
     13891litem &426
    1378013892pos 15
    1378113893dimension 20
    1378213894uid 3525,0
    1378313895)
    13784 *509 (MRCItem
    13785 litem &423
     13896*513 (MRCItem
     13897litem &427
    1378613898pos 16
    1378713899dimension 20
    1378813900uid 3527,0
    1378913901)
    13790 *510 (MRCItem
    13791 litem &424
     13902*514 (MRCItem
     13903litem &428
    1379213904pos 17
    1379313905dimension 20
    1379413906uid 3529,0
    1379513907)
    13796 *511 (MRCItem
    13797 litem &425
     13908*515 (MRCItem
     13909litem &429
    1379813910pos 18
    1379913911dimension 20
    1380013912uid 3531,0
    1380113913)
    13802 *512 (MRCItem
    13803 litem &426
     13914*516 (MRCItem
     13915litem &430
    1380413916pos 19
    1380513917dimension 20
    1380613918uid 3533,0
    1380713919)
    13808 *513 (MRCItem
    13809 litem &427
     13920*517 (MRCItem
     13921litem &431
    1381013922pos 20
    1381113923dimension 20
    1381213924uid 3535,0
    1381313925)
    13814 *514 (MRCItem
    13815 litem &428
     13926*518 (MRCItem
     13927litem &432
    1381613928pos 21
    1381713929dimension 20
    1381813930uid 3647,0
    1381913931)
    13820 *515 (MRCItem
    13821 litem &429
     13932*519 (MRCItem
     13933litem &433
    1382213934pos 22
    1382313935dimension 20
    1382413936uid 3649,0
    1382513937)
    13826 *516 (MRCItem
    13827 litem &430
     13938*520 (MRCItem
     13939litem &434
    1382813940pos 23
    1382913941dimension 20
    1383013942uid 3651,0
    1383113943)
    13832 *517 (MRCItem
    13833 litem &431
     13944*521 (MRCItem
     13945litem &435
    1383413946pos 24
    1383513947dimension 20
    1383613948uid 3653,0
    1383713949)
    13838 *518 (MRCItem
    13839 litem &432
     13950*522 (MRCItem
     13951litem &436
    1384013952pos 25
    1384113953dimension 20
    1384213954uid 3655,0
    1384313955)
    13844 *519 (MRCItem
    13845 litem &433
     13956*523 (MRCItem
     13957litem &437
    1384613958pos 26
    1384713959dimension 20
    1384813960uid 3657,0
    1384913961)
    13850 *520 (MRCItem
    13851 litem &434
     13962*524 (MRCItem
     13963litem &438
    1385213964pos 27
    1385313965dimension 20
    1385413966uid 3659,0
    1385513967)
    13856 *521 (MRCItem
    13857 litem &435
     13968*525 (MRCItem
     13969litem &439
    1385813970pos 28
    1385913971dimension 20
    1386013972uid 3661,0
    1386113973)
    13862 *522 (MRCItem
    13863 litem &436
     13974*526 (MRCItem
     13975litem &440
    1386413976pos 29
    1386513977dimension 20
    1386613978uid 3663,0
    1386713979)
    13868 *523 (MRCItem
    13869 litem &437
     13980*527 (MRCItem
     13981litem &441
    1387013982pos 30
    1387113983dimension 20
    1387213984uid 3665,0
    1387313985)
    13874 *524 (MRCItem
    13875 litem &438
     13986*528 (MRCItem
     13987litem &442
    1387613988pos 31
    1387713989dimension 20
    1387813990uid 3667,0
    1387913991)
    13880 *525 (MRCItem
    13881 litem &439
     13992*529 (MRCItem
     13993litem &443
    1388213994pos 32
    1388313995dimension 20
    1388413996uid 3669,0
    1388513997)
    13886 *526 (MRCItem
    13887 litem &440
     13998*530 (MRCItem
     13999litem &444
    1388814000pos 33
    1388914001dimension 20
    1389014002uid 3697,0
    1389114003)
    13892 *527 (MRCItem
    13893 litem &441
     14004*531 (MRCItem
     14005litem &445
    1389414006pos 34
    1389514007dimension 20
    1389614008uid 3699,0
    1389714009)
    13898 *528 (MRCItem
    13899 litem &442
     14010*532 (MRCItem
     14011litem &446
    1390014012pos 35
    1390114013dimension 20
    1390214014uid 3887,0
    1390314015)
    13904 *529 (MRCItem
    13905 litem &443
     14016*533 (MRCItem
     14017litem &447
    1390614018pos 36
    1390714019dimension 20
    1390814020uid 3889,0
    1390914021)
    13910 *530 (MRCItem
    13911 litem &444
     14022*534 (MRCItem
     14023litem &448
    1391214024pos 37
    1391314025dimension 20
    1391414026uid 3891,0
    1391514027)
    13916 *531 (MRCItem
    13917 litem &445
     14028*535 (MRCItem
     14029litem &449
    1391814030pos 38
    1391914031dimension 20
    1392014032uid 3893,0
    1392114033)
    13922 *532 (MRCItem
    13923 litem &446
     14034*536 (MRCItem
     14035litem &450
    1392414036pos 39
    1392514037dimension 20
    1392614038uid 3895,0
    1392714039)
    13928 *533 (MRCItem
    13929 litem &447
     14040*537 (MRCItem
     14041litem &451
    1393014042pos 40
    1393114043dimension 20
    1393214044uid 3897,0
    1393314045)
    13934 *534 (MRCItem
    13935 litem &448
     14046*538 (MRCItem
     14047litem &452
    1393614048pos 41
    1393714049dimension 20
    1393814050uid 3901,0
    1393914051)
    13940 *535 (MRCItem
    13941 litem &449
     14052*539 (MRCItem
     14053litem &453
    1394214054pos 42
    1394314055dimension 20
    1394414056uid 5323,0
    1394514057)
    13946 *536 (MRCItem
    13947 litem &450
     14058*540 (MRCItem
     14059litem &454
    1394814060pos 43
    1394914061dimension 20
    1395014062uid 6778,0
    1395114063)
    13952 *537 (MRCItem
    13953 litem &451
     14064*541 (MRCItem
     14065litem &455
    1395414066pos 44
    1395514067dimension 20
    1395614068uid 6873,0
    1395714069)
    13958 *538 (MRCItem
    13959 litem &452
     14070*542 (MRCItem
     14071litem &456
    1396014072pos 45
    1396114073dimension 20
    1396214074uid 7135,0
    1396314075)
    13964 *539 (MRCItem
    13965 litem &453
     14076*543 (MRCItem
     14077litem &457
    1396614078pos 58
    1396714079dimension 20
    1396814080uid 7474,0
    1396914081)
    13970 *540 (MRCItem
    13971 litem &454
     14082*544 (MRCItem
     14083litem &458
    1397214084pos 59
    1397314085dimension 20
    1397414086uid 8876,0
    1397514087)
    13976 *541 (MRCItem
    13977 litem &455
     14088*545 (MRCItem
     14089litem &459
    1397814090pos 60
    1397914091dimension 20
    1398014092uid 9517,0
    1398114093)
    13982 *542 (MRCItem
    13983 litem &456
     14094*546 (MRCItem
     14095litem &460
    1398414096pos 61
    1398514097dimension 20
    1398614098uid 10057,0
    1398714099)
    13988 *543 (MRCItem
    13989 litem &457
     14100*547 (MRCItem
     14101litem &461
    1399014102pos 62
    1399114103dimension 20
    1399214104uid 10059,0
    1399314105)
    13994 *544 (MRCItem
    13995 litem &458
     14106*548 (MRCItem
     14107litem &462
    1399614108pos 46
    1399714109dimension 20
    1399814110uid 10295,0
    1399914111)
    14000 *545 (MRCItem
    14001 litem &459
     14112*549 (MRCItem
     14113litem &463
    1400214114pos 63
    1400314115dimension 20
    1400414116uid 10705,0
    1400514117)
    14006 *546 (MRCItem
    14007 litem &460
     14118*550 (MRCItem
     14119litem &464
    1400814120pos 64
    1400914121dimension 20
    1401014122uid 10707,0
    1401114123)
    14012 *547 (MRCItem
    14013 litem &461
     14124*551 (MRCItem
     14125litem &465
    1401414126pos 65
    1401514127dimension 20
    1401614128uid 10709,0
    1401714129)
    14018 *548 (MRCItem
    14019 litem &462
     14130*552 (MRCItem
     14131litem &466
    1402014132pos 66
    1402114133dimension 20
    1402214134uid 10711,0
    1402314135)
    14024 *549 (MRCItem
    14025 litem &463
     14136*553 (MRCItem
     14137litem &467
    1402614138pos 67
    1402714139dimension 20
    14028 uid 10713,0
    14029 )
    14030 *550 (MRCItem
    14031 litem &464
     14140uid 10717,0
     14141)
     14142*554 (MRCItem
     14143litem &468
    1403214144pos 68
    1403314145dimension 20
    14034 uid 10715,0
    14035 )
    14036 *551 (MRCItem
    14037 litem &465
     14146uid 10719,0
     14147)
     14148*555 (MRCItem
     14149litem &469
    1403814150pos 69
    1403914151dimension 20
    14040 uid 10717,0
    14041 )
    14042 *552 (MRCItem
    14043 litem &466
     14152uid 10721,0
     14153)
     14154*556 (MRCItem
     14155litem &470
    1404414156pos 70
    1404514157dimension 20
    14046 uid 10719,0
    14047 )
    14048 *553 (MRCItem
    14049 litem &467
     14158uid 10723,0
     14159)
     14160*557 (MRCItem
     14161litem &471
    1405014162pos 71
    1405114163dimension 20
    14052 uid 10721,0
    14053 )
    14054 *554 (MRCItem
    14055 litem &468
     14164uid 10725,0
     14165)
     14166*558 (MRCItem
     14167litem &472
    1405614168pos 72
    1405714169dimension 20
    14058 uid 10723,0
    14059 )
    14060 *555 (MRCItem
    14061 litem &469
     14170uid 10727,0
     14171)
     14172*559 (MRCItem
     14173litem &473
    1406214174pos 73
    1406314175dimension 20
    14064 uid 10725,0
    14065 )
    14066 *556 (MRCItem
    14067 litem &470
    14068 pos 74
    14069 dimension 20
    14070 uid 10727,0
    14071 )
    14072 *557 (MRCItem
    14073 litem &471
    14074 pos 75
    14075 dimension 20
    1407614176uid 10729,0
    1407714177)
    14078 *558 (MRCItem
    14079 litem &472
     14178*560 (MRCItem
     14179litem &474
    1408014180pos 47
    1408114181dimension 20
    1408214182uid 11085,0
    1408314183)
    14084 *559 (MRCItem
    14085 litem &473
     14184*561 (MRCItem
     14185litem &475
    1408614186pos 48
    1408714187dimension 20
    1408814188uid 11087,0
    1408914189)
    14090 *560 (MRCItem
    14091 litem &474
     14190*562 (MRCItem
     14191litem &476
    1409214192pos 49
    1409314193dimension 20
    1409414194uid 11505,0
    1409514195)
    14096 *561 (MRCItem
    14097 litem &475
     14196*563 (MRCItem
     14197litem &477
    1409814198pos 50
    1409914199dimension 20
    1410014200uid 11507,0
    1410114201)
    14102 *562 (MRCItem
    14103 litem &476
     14202*564 (MRCItem
     14203litem &478
    1410414204pos 51
    1410514205dimension 20
    1410614206uid 12337,0
    1410714207)
    14108 *563 (MRCItem
    14109 litem &477
     14208*565 (MRCItem
     14209litem &479
     14210pos 74
     14211dimension 20
     14212uid 12769,0
     14213)
     14214*566 (MRCItem
     14215litem &480
     14216pos 75
     14217dimension 20
     14218uid 12771,0
     14219)
     14220*567 (MRCItem
     14221litem &481
    1411014222pos 76
    1411114223dimension 20
    14112 uid 12769,0
    14113 )
    14114 *564 (MRCItem
    14115 litem &478
     14224uid 12773,0
     14225)
     14226*568 (MRCItem
     14227litem &482
    1411614228pos 77
    1411714229dimension 20
    14118 uid 12771,0
    14119 )
    14120 *565 (MRCItem
    14121 litem &479
     14230uid 13515,0
     14231)
     14232*569 (MRCItem
     14233litem &483
    1412214234pos 78
    1412314235dimension 20
    14124 uid 12773,0
    14125 )
    14126 *566 (MRCItem
    14127 litem &480
     14236uid 13627,0
     14237)
     14238*570 (MRCItem
     14239litem &484
    1412814240pos 79
    1412914241dimension 20
    14130 uid 13515,0
    14131 )
    14132 *567 (MRCItem
    14133 litem &481
     14242uid 14321,0
     14243)
     14244*571 (MRCItem
     14245litem &485
    1413414246pos 80
    1413514247dimension 20
    14136 uid 13627,0
     14248uid 15182,0
    1413714249)
    1413814250]
     
    1414714259uid 73,0
    1414814260optionalChildren [
    14149 *568 (MRCItem
    14150 litem &392
     14261*572 (MRCItem
     14262litem &396
    1415114263pos 0
    1415214264dimension 20
    1415314265uid 74,0
    1415414266)
    14155 *569 (MRCItem
    14156 litem &394
     14267*573 (MRCItem
     14268litem &398
    1415714269pos 1
    1415814270dimension 50
    1415914271uid 75,0
    1416014272)
    14161 *570 (MRCItem
    14162 litem &395
     14273*574 (MRCItem
     14274litem &399
    1416314275pos 2
    1416414276dimension 100
    1416514277uid 76,0
    1416614278)
    14167 *571 (MRCItem
    14168 litem &396
     14279*575 (MRCItem
     14280litem &400
    1416914281pos 3
    1417014282dimension 50
    1417114283uid 77,0
    1417214284)
    14173 *572 (MRCItem
    14174 litem &397
     14285*576 (MRCItem
     14286litem &401
    1417514287pos 4
    1417614288dimension 100
    1417714289uid 78,0
    1417814290)
    14179 *573 (MRCItem
    14180 litem &398
     14291*577 (MRCItem
     14292litem &402
    1418114293pos 5
    1418214294dimension 100
    1418314295uid 79,0
    1418414296)
    14185 *574 (MRCItem
    14186 litem &399
     14297*578 (MRCItem
     14298litem &403
    1418714299pos 6
    1418814300dimension 92
    1418914301uid 80,0
    1419014302)
    14191 *575 (MRCItem
    14192 litem &400
     14303*579 (MRCItem
     14304litem &404
    1419314305pos 7
    1419414306dimension 80
     
    1421014322genericsCommonDM (CommonDM
    1421114323ldm (LogicalDM
    14212 emptyRow *576 (LEmptyRow
     14324emptyRow *580 (LEmptyRow
    1421314325)
    1421414326uid 83,0
    1421514327optionalChildren [
    14216 *577 (RefLabelRowHdr
    14217 )
    14218 *578 (TitleRowHdr
    14219 )
    14220 *579 (FilterRowHdr
    14221 )
    14222 *580 (RefLabelColHdr
     14328*581 (RefLabelRowHdr
     14329)
     14330*582 (TitleRowHdr
     14331)
     14332*583 (FilterRowHdr
     14333)
     14334*584 (RefLabelColHdr
    1422314335tm "RefLabelColHdrMgr"
    1422414336)
    14225 *581 (RowExpandColHdr
     14337*585 (RowExpandColHdr
    1422614338tm "RowExpandColHdrMgr"
    1422714339)
    14228 *582 (GroupColHdr
     14340*586 (GroupColHdr
    1422914341tm "GroupColHdrMgr"
    1423014342)
    14231 *583 (NameColHdr
     14343*587 (NameColHdr
    1423214344tm "GenericNameColHdrMgr"
    1423314345)
    14234 *584 (TypeColHdr
     14346*588 (TypeColHdr
    1423514347tm "GenericTypeColHdrMgr"
    1423614348)
    14237 *585 (InitColHdr
     14349*589 (InitColHdr
    1423814350tm "GenericValueColHdrMgr"
    1423914351)
    14240 *586 (PragmaColHdr
     14352*590 (PragmaColHdr
    1424114353tm "GenericPragmaColHdrMgr"
    1424214354)
    14243 *587 (EolColHdr
     14355*591 (EolColHdr
    1424414356tm "GenericEolColHdrMgr"
    1424514357)
     
    1425114363uid 95,0
    1425214364optionalChildren [
    14253 *588 (Sheet
     14365*592 (Sheet
    1425414366sheetRow (SheetRow
    1425514367headerVa (MVa
     
    1426814380font "Tahoma,10,0"
    1426914381)
    14270 emptyMRCItem *589 (MRCItem
    14271 litem &576
     14382emptyMRCItem *593 (MRCItem
     14383litem &580
    1427214384pos 0
    1427314385dimension 20
     
    1427514387uid 97,0
    1427614388optionalChildren [
    14277 *590 (MRCItem
    14278 litem &577
     14389*594 (MRCItem
     14390litem &581
    1427914391pos 0
    1428014392dimension 20
    1428114393uid 98,0
    1428214394)
    14283 *591 (MRCItem
    14284 litem &578
     14395*595 (MRCItem
     14396litem &582
    1428514397pos 1
    1428614398dimension 23
    1428714399uid 99,0
    1428814400)
    14289 *592 (MRCItem
    14290 litem &579
     14401*596 (MRCItem
     14402litem &583
    1429114403pos 2
    1429214404hidden 1
     
    1430514417uid 101,0
    1430614418optionalChildren [
    14307 *593 (MRCItem
    14308 litem &580
     14419*597 (MRCItem
     14420litem &584
    1430914421pos 0
    1431014422dimension 20
    1431114423uid 102,0
    1431214424)
    14313 *594 (MRCItem
    14314 litem &582
     14425*598 (MRCItem
     14426litem &586
    1431514427pos 1
    1431614428dimension 50
    1431714429uid 103,0
    1431814430)
    14319 *595 (MRCItem
    14320 litem &583
     14431*599 (MRCItem
     14432litem &587
    1432114433pos 2
    1432214434dimension 100
    1432314435uid 104,0
    1432414436)
    14325 *596 (MRCItem
    14326 litem &584
     14437*600 (MRCItem
     14438litem &588
    1432714439pos 3
    1432814440dimension 100
    1432914441uid 105,0
    1433014442)
    14331 *597 (MRCItem
    14332 litem &585
     14443*601 (MRCItem
     14444litem &589
    1433314445pos 4
    1433414446dimension 50
    1433514447uid 106,0
    1433614448)
    14337 *598 (MRCItem
    14338 litem &586
     14449*602 (MRCItem
     14450litem &590
    1433914451pos 5
    1434014452dimension 50
    1434114453uid 107,0
    1434214454)
    14343 *599 (MRCItem
    14344 litem &587
     14455*603 (MRCItem
     14456litem &591
    1434514457pos 6
    1434614458dimension 80
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/symbol.sb

    r10121 r10123  
    1717)
    1818version "24.1"
    19 appVersion "2009.1 (Build 12)"
     19appVersion "2009.2 (Build 10)"
    2020model (Symbol
    2121commonDM (CommonDM
    2222ldm (LogicalDM
    23 suid 81,0
     23suid 83,0
    2424usingSuid 1
    2525emptyRow *1 (LEmptyRow
     
    6666n "RSRLOAD"
    6767t "std_logic"
    68 o 43
     68o 44
    6969suid 11,0
    7070i "'0'"
     
    8080preAdd 0
    8181posAdd 0
    82 o 18
     82o 19
    8383suid 15,0
    8484)
     
    9191n "TRG"
    9292t "STD_LOGIC"
    93 o 16
     93o 17
    9494suid 16,0
    9595)
     
    104104t "std_logic_vector"
    105105b "(3 downto 0)"
    106 o 22
     106o 23
    107107suid 17,0
    108108)
     
    118118preAdd 0
    119119posAdd 0
    120 o 36
     120o 37
    121121suid 18,0
    122122)
     
    190190n "D0_SRCLK"
    191191t "STD_LOGIC"
    192 o 23
     192o 24
    193193suid 24,0
    194194)
     
    202202n "D1_SRCLK"
    203203t "STD_LOGIC"
    204 o 24
     204o 25
    205205suid 25,0
    206206)
     
    214214n "D2_SRCLK"
    215215t "STD_LOGIC"
    216 o 25
     216o 26
    217217suid 26,0
    218218)
     
    226226n "D3_SRCLK"
    227227t "STD_LOGIC"
    228 o 26
     228o 27
    229229suid 27,0
    230230)
     
    283283t "std_logic_vector"
    284284b "(3 DOWNTO 0)"
    285 o 30
     285o 31
    286286suid 32,0
    287287i "(others => '0')"
     
    296296n "DWRITE"
    297297t "std_logic"
    298 o 29
     298o 30
    299299suid 33,0
    300300i "'0'"
     
    309309n "DAC_CS"
    310310t "std_logic"
    311 o 27
     311o 28
    312312suid 34,0
    313313)
     
    321321n "T0_CS"
    322322t "std_logic"
     323o 47
     324suid 35,0
     325)
     326)
     327uid 1340,0
     328)
     329*36 (LogPort
     330port (LogicalPort
     331m 1
     332decl (Decl
     333n "T1_CS"
     334t "std_logic"
     335o 48
     336suid 36,0
     337)
     338)
     339uid 1342,0
     340)
     341*37 (LogPort
     342port (LogicalPort
     343m 1
     344decl (Decl
     345n "T2_CS"
     346t "std_logic"
     347o 49
     348suid 37,0
     349)
     350)
     351uid 1344,0
     352)
     353*38 (LogPort
     354port (LogicalPort
     355m 1
     356decl (Decl
     357n "T3_CS"
     358t "std_logic"
     359o 50
     360suid 38,0
     361)
     362)
     363uid 1346,0
     364)
     365*39 (LogPort
     366port (LogicalPort
     367m 1
     368decl (Decl
     369n "S_CLK"
     370t "std_logic"
    323371o 46
    324 suid 35,0
    325 )
    326 )
    327 uid 1340,0
    328 )
    329 *36 (LogPort
    330 port (LogicalPort
    331 m 1
    332 decl (Decl
    333 n "T1_CS"
    334 t "std_logic"
    335 o 47
    336 suid 36,0
    337 )
    338 )
    339 uid 1342,0
    340 )
    341 *37 (LogPort
    342 port (LogicalPort
    343 m 1
    344 decl (Decl
    345 n "T2_CS"
    346 t "std_logic"
    347 o 48
    348 suid 37,0
    349 )
    350 )
    351 uid 1344,0
    352 )
    353 *38 (LogPort
    354 port (LogicalPort
    355 m 1
    356 decl (Decl
    357 n "T3_CS"
    358 t "std_logic"
    359 o 49
    360 suid 38,0
    361 )
    362 )
    363 uid 1346,0
    364 )
    365 *39 (LogPort
    366 port (LogicalPort
    367 m 1
    368 decl (Decl
    369 n "S_CLK"
    370 t "std_logic"
    371 o 45
    372372suid 39,0
    373373)
     
    382382t "std_logic_vector"
    383383b "(9 DOWNTO 0)"
    384 o 51
     384o 52
    385385suid 40,0
    386386)
     
    395395t "std_logic_vector"
    396396b "(15 DOWNTO 0)"
    397 o 57
     397o 58
    398398suid 41,0
    399399)
     
    407407n "W_RES"
    408408t "std_logic"
    409 o 54
     409o 55
    410410suid 42,0
    411411i "'1'"
     
    420420n "W_RD"
    421421t "std_logic"
    422 o 53
     422o 54
    423423suid 43,0
    424424i "'1'"
     
    433433n "W_WR"
    434434t "std_logic"
    435 o 55
     435o 56
    436436suid 44,0
    437437i "'1'"
     
    445445n "W_INT"
    446446t "std_logic"
    447 o 17
     447o 18
    448448suid 45,0
    449449)
     
    457457n "W_CS"
    458458t "std_logic"
    459 o 52
     459o 53
    460460suid 46,0
    461461i "'1'"
     
    470470n "MOSI"
    471471t "std_logic"
    472 o 35
     472o 36
    473473suid 47,0
    474474i "'0'"
     
    485485preAdd 0
    486486posAdd 0
    487 o 56
     487o 57
    488488suid 48,0
    489489)
     
    497497n "TRG_V"
    498498t "std_logic"
    499 o 50
     499o 51
    500500suid 49,0
    501501)
     
    509509n "RS485_C_RE"
    510510t "std_logic"
    511 o 40
     511o 41
    512512suid 50,0
    513513)
     
    521521n "RS485_C_DE"
    522522t "std_logic"
    523 o 38
     523o 39
    524524suid 51,0
    525525)
     
    533533n "RS485_E_RE"
    534534t "std_logic"
     535o 43
     536suid 52,0
     537)
     538)
     539uid 1661,0
     540)
     541*53 (LogPort
     542port (LogicalPort
     543m 1
     544decl (Decl
     545n "RS485_E_DE"
     546t "std_logic"
    535547o 42
    536 suid 52,0
    537 )
    538 )
    539 uid 1661,0
    540 )
    541 *53 (LogPort
    542 port (LogicalPort
    543 m 1
    544 decl (Decl
    545 n "RS485_E_DE"
    546 t "std_logic"
    547 o 41
    548548suid 53,0
    549549)
     
    557557n "DENABLE"
    558558t "std_logic"
    559 o 28
     559o 29
    560560suid 54,0
    561561i "'0'"
     
    570570n "SRIN"
    571571t "std_logic"
    572 o 44
     572o 45
    573573suid 55,0
    574574i "'0'"
     
    583583n "EE_CS"
    584584t "std_logic"
    585 o 33
     585o 34
    586586suid 56,0
    587587)
     
    595595n "D_T"
    596596t "std_logic_vector"
    597 b "(7 DOWNTO 0)"
    598 o 31
     597b "(5 DOWNTO 0)"
     598o 32
    599599suid 61,0
    600600i "(OTHERS => '0')"
     
    622622t "std_logic_vector"
    623623b "(3 DOWNTO 0)"
    624 o 32
     624o 33
    625625suid 65,0
    626626i "(others => '0')"
     
    636636t "std_logic_vector"
    637637b "(7 DOWNTO 0)"
    638 o 20
     638o 21
    639639suid 66,0
    640640i "(OTHERS => '0')"
     
    650650t "std_logic_vector"
    651651b "(7 DOWNTO 0)"
    652 o 19
     652o 20
    653653suid 68,0
    654654i "(others => '0')"
     
    662662n "RS485_C_DI"
    663663t "std_logic"
     664o 14
     665suid 69,0
     666)
     667)
     668uid 3578,0
     669)
     670*63 (LogPort
     671port (LogicalPort
     672m 1
     673decl (Decl
     674n "RS485_C_DO"
     675t "std_logic"
     676o 40
     677suid 70,0
     678)
     679)
     680uid 3580,0
     681)
     682*64 (LogPort
     683port (LogicalPort
     684decl (Decl
     685n "RS485_E_DI"
     686t "std_logic"
     687o 15
     688suid 71,0
     689)
     690)
     691uid 3684,0
     692)
     693*65 (LogPort
     694port (LogicalPort
     695decl (Decl
     696n "RS485_E_DO"
     697t "std_logic"
     698o 16
     699suid 72,0
     700)
     701)
     702uid 3686,0
     703)
     704*66 (LogPort
     705port (LogicalPort
     706m 1
     707decl (Decl
     708n "AMBER_LED"
     709t "std_logic"
     710o 22
     711suid 77,0
     712)
     713)
     714uid 4028,0
     715)
     716*67 (LogPort
     717port (LogicalPort
     718m 1
     719decl (Decl
     720n "GREEN_LED"
     721t "std_logic"
     722o 35
     723suid 78,0
     724)
     725)
     726uid 4030,0
     727)
     728*68 (LogPort
     729port (LogicalPort
     730m 1
     731decl (Decl
     732n "RED_LED"
     733t "std_logic"
     734o 38
     735suid 79,0
     736)
     737)
     738uid 4032,0
     739)
     740*69 (LogPort
     741port (LogicalPort
     742decl (Decl
     743n "REFCLK"
     744t "std_logic"
    664745o 13
    665 suid 69,0
    666 )
    667 )
    668 uid 3578,0
    669 )
    670 *63 (LogPort
    671 port (LogicalPort
    672 m 1
    673 decl (Decl
    674 n "RS485_C_DO"
    675 t "std_logic"
    676 o 39
    677 suid 70,0
    678 )
    679 )
    680 uid 3580,0
    681 )
    682 *64 (LogPort
    683 port (LogicalPort
    684 decl (Decl
    685 n "RS485_E_DI"
    686 t "std_logic"
    687 o 14
    688 suid 71,0
    689 )
    690 )
    691 uid 3684,0
    692 )
    693 *65 (LogPort
    694 port (LogicalPort
    695 decl (Decl
    696 n "RS485_E_DO"
    697 t "std_logic"
    698 o 15
    699 suid 72,0
    700 )
    701 )
    702 uid 3686,0
    703 )
    704 *66 (LogPort
    705 port (LogicalPort
    706 m 1
    707 decl (Decl
    708 n "AMBER_LED"
    709 t "std_logic"
    710 o 21
    711 suid 77,0
    712 )
    713 )
    714 uid 4028,0
    715 )
    716 *67 (LogPort
    717 port (LogicalPort
    718 m 1
    719 decl (Decl
    720 n "GREEN_LED"
    721 t "std_logic"
    722 o 34
    723 suid 78,0
    724 )
    725 )
    726 uid 4030,0
    727 )
    728 *68 (LogPort
    729 port (LogicalPort
    730 m 1
    731 decl (Decl
    732 n "RED_LED"
    733 t "std_logic"
    734 o 37
    735 suid 79,0
    736 )
    737 )
    738 uid 4032,0
    739 )
    740 *69 (LogPort
    741 port (LogicalPort
    742 decl (Decl
    743 n "POSITION_ID"
     746suid 81,0
     747)
     748)
     749uid 4263,0
     750)
     751*70 (LogPort
     752port (LogicalPort
     753decl (Decl
     754n "LINE"
    744755t "std_logic_vector"
    745756b "( 5 DOWNTO 0 )"
     757o 12
     758suid 82,0
     759)
     760)
     761uid 4293,0
     762)
     763*71 (LogPort
     764port (LogicalPort
     765decl (Decl
     766n "D_T_in"
     767t "std_logic_vector"
     768b "(1 DOWNTO 0)"
    746769o 11
    747 suid 80,0
    748 )
    749 )
    750 uid 4164,0
    751 )
    752 *70 (LogPort
    753 port (LogicalPort
    754 decl (Decl
    755 n "REFCLK"
    756 t "std_logic"
    757 o 12
    758 suid 81,0
    759 )
    760 )
    761 uid 4263,0
     770suid 83,0
     771)
     772)
     773uid 4323,0
    762774)
    763775]
     
    768780uid 66,0
    769781optionalChildren [
    770 *71 (Sheet
     782*72 (Sheet
    771783sheetRow (SheetRow
    772784headerVa (MVa
     
    785797font "Tahoma,10,0"
    786798)
    787 emptyMRCItem *72 (MRCItem
     799emptyMRCItem *73 (MRCItem
    788800litem &1
    789801pos 3
     
    792804uid 68,0
    793805optionalChildren [
    794 *73 (MRCItem
     806*74 (MRCItem
    795807litem &2
    796808pos 0
     
    798810uid 69,0
    799811)
    800 *74 (MRCItem
     812*75 (MRCItem
    801813litem &3
    802814pos 1
     
    804816uid 70,0
    805817)
    806 *75 (MRCItem
     818*76 (MRCItem
    807819litem &4
    808820pos 2
     
    811823uid 71,0
    812824)
    813 *76 (MRCItem
     825*77 (MRCItem
    814826litem &14
    815827pos 0
     
    817829uid 689,0
    818830)
    819 *77 (MRCItem
     831*78 (MRCItem
    820832litem &15
    821833pos 2
     
    823835uid 1110,0
    824836)
    825 *78 (MRCItem
     837*79 (MRCItem
    826838litem &16
    827839pos 3
     
    829841uid 1112,0
    830842)
    831 *79 (MRCItem
     843*80 (MRCItem
    832844litem &17
    833845pos 4
     
    835847uid 1114,0
    836848)
    837 *80 (MRCItem
     849*81 (MRCItem
    838850litem &18
    839851pos 5
     
    841853uid 1154,0
    842854)
    843 *81 (MRCItem
     855*82 (MRCItem
    844856litem &19
    845857pos 6
     
    847859uid 1156,0
    848860)
    849 *82 (MRCItem
     861*83 (MRCItem
    850862litem &20
    851863pos 7
     
    853865uid 1158,0
    854866)
    855 *83 (MRCItem
     867*84 (MRCItem
    856868litem &21
    857869pos 8
     
    859871uid 1160,0
    860872)
    861 *84 (MRCItem
     873*85 (MRCItem
    862874litem &22
    863875pos 9
     
    865877uid 1162,0
    866878)
    867 *85 (MRCItem
     879*86 (MRCItem
    868880litem &23
    869881pos 10
     
    871883uid 1164,0
    872884)
    873 *86 (MRCItem
     885*87 (MRCItem
    874886litem &24
    875887pos 11
     
    877889uid 1219,0
    878890)
    879 *87 (MRCItem
     891*88 (MRCItem
    880892litem &25
    881893pos 12
     
    883895uid 1221,0
    884896)
    885 *88 (MRCItem
     897*89 (MRCItem
    886898litem &26
    887899pos 13
     
    889901uid 1223,0
    890902)
    891 *89 (MRCItem
     903*90 (MRCItem
    892904litem &27
    893905pos 14
     
    895907uid 1225,0
    896908)
    897 *90 (MRCItem
     909*91 (MRCItem
    898910litem &28
    899911pos 15
     
    901913uid 1270,0
    902914)
    903 *91 (MRCItem
     915*92 (MRCItem
    904916litem &29
    905917pos 16
     
    907919uid 1272,0
    908920)
    909 *92 (MRCItem
     921*93 (MRCItem
    910922litem &30
    911923pos 17
     
    913925uid 1274,0
    914926)
    915 *93 (MRCItem
     927*94 (MRCItem
    916928litem &31
    917929pos 18
     
    919931uid 1276,0
    920932)
    921 *94 (MRCItem
     933*95 (MRCItem
    922934litem &32
    923935pos 19
     
    925937uid 1278,0
    926938)
    927 *95 (MRCItem
     939*96 (MRCItem
    928940litem &33
    929941pos 20
     
    931943uid 1280,0
    932944)
    933 *96 (MRCItem
     945*97 (MRCItem
    934946litem &34
    935947pos 1
     
    937949uid 1337,0
    938950)
    939 *97 (MRCItem
     951*98 (MRCItem
    940952litem &35
    941953pos 21
     
    943955uid 1339,0
    944956)
    945 *98 (MRCItem
     957*99 (MRCItem
    946958litem &36
    947959pos 22
     
    949961uid 1341,0
    950962)
    951 *99 (MRCItem
     963*100 (MRCItem
    952964litem &37
    953965pos 23
     
    955967uid 1343,0
    956968)
    957 *100 (MRCItem
     969*101 (MRCItem
    958970litem &38
    959971pos 24
     
    961973uid 1345,0
    962974)
    963 *101 (MRCItem
     975*102 (MRCItem
    964976litem &39
    965977pos 25
     
    967979uid 1347,0
    968980)
    969 *102 (MRCItem
     981*103 (MRCItem
    970982litem &40
    971983pos 26
     
    973985uid 1349,0
    974986)
    975 *103 (MRCItem
     987*104 (MRCItem
    976988litem &41
    977989pos 27
     
    979991uid 1351,0
    980992)
    981 *104 (MRCItem
     993*105 (MRCItem
    982994litem &42
    983995pos 28
     
    985997uid 1353,0
    986998)
    987 *105 (MRCItem
     999*106 (MRCItem
    9881000litem &43
    9891001pos 29
     
    9911003uid 1355,0
    9921004)
    993 *106 (MRCItem
     1005*107 (MRCItem
    9941006litem &44
    9951007pos 30
     
    9971009uid 1357,0
    9981010)
    999 *107 (MRCItem
     1011*108 (MRCItem
    10001012litem &45
    10011013pos 31
     
    10031015uid 1359,0
    10041016)
    1005 *108 (MRCItem
     1017*109 (MRCItem
    10061018litem &46
    10071019pos 32
     
    10091021uid 1361,0
    10101022)
    1011 *109 (MRCItem
     1023*110 (MRCItem
    10121024litem &47
    10131025pos 33
     
    10151027uid 1616,0
    10161028)
    1017 *110 (MRCItem
     1029*111 (MRCItem
    10181030litem &48
    10191031pos 34
     
    10211033uid 1618,0
    10221034)
    1023 *111 (MRCItem
     1035*112 (MRCItem
    10241036litem &49
    10251037pos 35
     
    10271039uid 1654,0
    10281040)
    1029 *112 (MRCItem
     1041*113 (MRCItem
    10301042litem &50
    10311043pos 36
     
    10331045uid 1656,0
    10341046)
    1035 *113 (MRCItem
     1047*114 (MRCItem
    10361048litem &51
    10371049pos 37
     
    10391051uid 1658,0
    10401052)
    1041 *114 (MRCItem
     1053*115 (MRCItem
    10421054litem &52
    10431055pos 38
     
    10451057uid 1660,0
    10461058)
    1047 *115 (MRCItem
     1059*116 (MRCItem
    10481060litem &53
    10491061pos 39
     
    10511063uid 1662,0
    10521064)
    1053 *116 (MRCItem
     1065*117 (MRCItem
    10541066litem &54
    10551067pos 40
     
    10571069uid 1664,0
    10581070)
    1059 *117 (MRCItem
     1071*118 (MRCItem
    10601072litem &55
    10611073pos 51
     
    10631075uid 1666,0
    10641076)
    1065 *118 (MRCItem
     1077*119 (MRCItem
    10661078litem &56
    10671079pos 41
     
    10691081uid 1668,0
    10701082)
    1071 *119 (MRCItem
     1083*120 (MRCItem
    10721084litem &57
    10731085pos 42
     
    10751087uid 2066,0
    10761088)
    1077 *120 (MRCItem
     1089*121 (MRCItem
    10781090litem &58
    10791091pos 43
     
    10811093uid 2917,0
    10821094)
    1083 *121 (MRCItem
     1095*122 (MRCItem
    10841096litem &59
    10851097pos 44
     
    10871099uid 2947,0
    10881100)
    1089 *122 (MRCItem
     1101*123 (MRCItem
    10901102litem &60
    10911103pos 45
     
    10931105uid 3024,0
    10941106)
    1095 *123 (MRCItem
     1107*124 (MRCItem
    10961108litem &61
    10971109pos 46
     
    10991111uid 3454,0
    11001112)
    1101 *124 (MRCItem
     1113*125 (MRCItem
    11021114litem &62
    11031115pos 47
     
    11051117uid 3577,0
    11061118)
    1107 *125 (MRCItem
     1119*126 (MRCItem
    11081120litem &63
    11091121pos 48
     
    11111123uid 3579,0
    11121124)
    1113 *126 (MRCItem
     1125*127 (MRCItem
    11141126litem &64
    11151127pos 49
     
    11171129uid 3683,0
    11181130)
    1119 *127 (MRCItem
     1131*128 (MRCItem
    11201132litem &65
    11211133pos 50
     
    11231135uid 3685,0
    11241136)
    1125 *128 (MRCItem
     1137*129 (MRCItem
    11261138litem &66
    11271139pos 52
     
    11291141uid 4027,0
    11301142)
    1131 *129 (MRCItem
     1143*130 (MRCItem
    11321144litem &67
    11331145pos 53
     
    11351147uid 4029,0
    11361148)
    1137 *130 (MRCItem
     1149*131 (MRCItem
    11381150litem &68
    11391151pos 54
     
    11411153uid 4031,0
    11421154)
    1143 *131 (MRCItem
     1155*132 (MRCItem
    11441156litem &69
     1157pos 56
     1158dimension 20
     1159uid 4262,0
     1160)
     1161*133 (MRCItem
     1162litem &70
    11451163pos 55
    11461164dimension 20
    1147 uid 4163,0
    1148 )
    1149 *132 (MRCItem
    1150 litem &70
    1151 pos 56
    1152 dimension 20
    1153 uid 4262,0
     1165uid 4292,0
     1166)
     1167*134 (MRCItem
     1168litem &71
     1169pos 57
     1170dimension 20
     1171uid 4322,0
    11541172)
    11551173]
     
    11641182uid 72,0
    11651183optionalChildren [
    1166 *133 (MRCItem
     1184*135 (MRCItem
    11671185litem &5
    11681186pos 0
     
    11701188uid 73,0
    11711189)
    1172 *134 (MRCItem
     1190*136 (MRCItem
    11731191litem &7
    11741192pos 1
     
    11761194uid 74,0
    11771195)
    1178 *135 (MRCItem
     1196*137 (MRCItem
    11791197litem &8
    11801198pos 2
     
    11821200uid 75,0
    11831201)
    1184 *136 (MRCItem
     1202*138 (MRCItem
    11851203litem &9
    11861204pos 3
     
    11881206uid 76,0
    11891207)
    1190 *137 (MRCItem
     1208*139 (MRCItem
    11911209litem &10
    11921210pos 4
     
    11941212uid 77,0
    11951213)
    1196 *138 (MRCItem
     1214*140 (MRCItem
    11971215litem &11
    11981216pos 5
     
    12001218uid 78,0
    12011219)
    1202 *139 (MRCItem
     1220*141 (MRCItem
    12031221litem &12
    12041222pos 6
     
    12061224uid 79,0
    12071225)
    1208 *140 (MRCItem
     1226*142 (MRCItem
    12091227litem &13
    12101228pos 7
     
    12271245genericsCommonDM (CommonDM
    12281246ldm (LogicalDM
    1229 emptyRow *141 (LEmptyRow
     1247emptyRow *143 (LEmptyRow
    12301248)
    12311249uid 82,0
    12321250optionalChildren [
    1233 *142 (RefLabelRowHdr
    1234 )
    1235 *143 (TitleRowHdr
    1236 )
    1237 *144 (FilterRowHdr
    1238 )
    1239 *145 (RefLabelColHdr
     1251*144 (RefLabelRowHdr
     1252)
     1253*145 (TitleRowHdr
     1254)
     1255*146 (FilterRowHdr
     1256)
     1257*147 (RefLabelColHdr
    12401258tm "RefLabelColHdrMgr"
    12411259)
    1242 *146 (RowExpandColHdr
     1260*148 (RowExpandColHdr
    12431261tm "RowExpandColHdrMgr"
    12441262)
    1245 *147 (GroupColHdr
     1263*149 (GroupColHdr
    12461264tm "GroupColHdrMgr"
    12471265)
    1248 *148 (NameColHdr
     1266*150 (NameColHdr
    12491267tm "GenericNameColHdrMgr"
    12501268)
    1251 *149 (TypeColHdr
     1269*151 (TypeColHdr
    12521270tm "GenericTypeColHdrMgr"
    12531271)
    1254 *150 (InitColHdr
     1272*152 (InitColHdr
    12551273tm "GenericValueColHdrMgr"
    12561274)
    1257 *151 (PragmaColHdr
     1275*153 (PragmaColHdr
    12581276tm "GenericPragmaColHdrMgr"
    12591277)
    1260 *152 (EolColHdr
     1278*154 (EolColHdr
    12611279tm "GenericEolColHdrMgr"
    12621280)
     
    12681286uid 94,0
    12691287optionalChildren [
    1270 *153 (Sheet
     1288*155 (Sheet
    12711289sheetRow (SheetRow
    12721290headerVa (MVa
     
    12851303font "Tahoma,10,0"
    12861304)
    1287 emptyMRCItem *154 (MRCItem
    1288 litem &141
     1305emptyMRCItem *156 (MRCItem
     1306litem &143
    12891307pos 3
    12901308dimension 20
     
    12921310uid 96,0
    12931311optionalChildren [
    1294 *155 (MRCItem
    1295 litem &142
     1312*157 (MRCItem
     1313litem &144
    12961314pos 0
    12971315dimension 20
    12981316uid 97,0
    12991317)
    1300 *156 (MRCItem
    1301 litem &143
     1318*158 (MRCItem
     1319litem &145
    13021320pos 1
    13031321dimension 23
    13041322uid 98,0
    13051323)
    1306 *157 (MRCItem
    1307 litem &144
     1324*159 (MRCItem
     1325litem &146
    13081326pos 2
    13091327hidden 1
     
    13221340uid 100,0
    13231341optionalChildren [
    1324 *158 (MRCItem
    1325 litem &145
     1342*160 (MRCItem
     1343litem &147
    13261344pos 0
    13271345dimension 20
    13281346uid 101,0
    13291347)
    1330 *159 (MRCItem
    1331 litem &147
     1348*161 (MRCItem
     1349litem &149
    13321350pos 1
    13331351dimension 50
    13341352uid 102,0
    13351353)
    1336 *160 (MRCItem
    1337 litem &148
     1354*162 (MRCItem
     1355litem &150
    13381356pos 2
    13391357dimension 100
    13401358uid 103,0
    13411359)
    1342 *161 (MRCItem
    1343 litem &149
     1360*163 (MRCItem
     1361litem &151
    13441362pos 3
    13451363dimension 100
    13461364uid 104,0
    13471365)
    1348 *162 (MRCItem
    1349 litem &150
     1366*164 (MRCItem
     1367litem &152
    13501368pos 4
    13511369dimension 50
    13521370uid 105,0
    13531371)
    1354 *163 (MRCItem
    1355 litem &151
     1372*165 (MRCItem
     1373litem &153
    13561374pos 5
    13571375dimension 50
    13581376uid 106,0
    13591377)
    1360 *164 (MRCItem
    1361 litem &152
     1378*166 (MRCItem
     1379litem &154
    13621380pos 6
    13631381dimension 80
     
    13821400(vvPair
    13831401variable "HDLDir"
    1384 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     1402value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    13851403)
    13861404(vvPair
    13871405variable "HDSDir"
    1388 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     1406value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    13891407)
    13901408(vvPair
    13911409variable "SideDataDesignDir"
    1392 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
     1410value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
    13931411)
    13941412(vvPair
    13951413variable "SideDataUserDir"
    1396 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
     1414value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
    13971415)
    13981416(vvPair
    13991417variable "SourceDir"
    1400 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     1418value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    14011419)
    14021420(vvPair
     
    14141432(vvPair
    14151433variable "d"
    1416 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     1434value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    14171435)
    14181436(vvPair
    14191437variable "d_logical"
    1420 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
     1438value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
    14211439)
    14221440(vvPair
    14231441variable "date"
    1424 value "14.01.2011"
     1442value "27.01.2011"
    14251443)
    14261444(vvPair
    14271445variable "day"
    1428 value "Fr"
     1446value "Do"
    14291447)
    14301448(vvPair
    14311449variable "day_long"
    1432 value "Freitag"
     1450value "Donnerstag"
    14331451)
    14341452(vvPair
    14351453variable "dd"
    1436 value "14"
     1454value "27"
    14371455)
    14381456(vvPair
     
    14621480(vvPair
    14631481variable "host"
    1464 value "IHP110"
     1482value "E5B-LABOR6"
    14651483)
    14661484(vvPair
     
    15101528(vvPair
    15111529variable "p"
    1512 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
     1530value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
    15131531)
    15141532(vvPair
    15151533variable "p_logical"
    1516 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
     1534value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
    15171535)
    15181536(vvPair
     
    15381556(vvPair
    15391557variable "task_ModelSimPath"
    1540 value "D:\\modeltech_6.5e\\win32"
     1558value "<TBD>"
    15411559)
    15421560(vvPair
     
    15701588(vvPair
    15711589variable "time"
    1572 value "09:57:26"
     1590value "17:58:52"
    15731591)
    15741592(vvPair
     
    15781596(vvPair
    15791597variable "user"
    1580 value "daqct3"
     1598value "dneise"
    15811599)
    15821600(vvPair
    15831601variable "version"
    1584 value "2009.1 (Build 12)"
     1602value "2009.2 (Build 10)"
    15851603)
    15861604(vvPair
     
    16011619uid 51,0
    16021620optionalChildren [
    1603 *165 (SymbolBody
     1621*167 (SymbolBody
    16041622uid 8,0
    16051623optionalChildren [
    1606 *166 (CptPort
     1624*168 (CptPort
    16071625uid 693,0
    16081626ps "OnEdgeStrategy"
     
    16461664font "Courier New,8,0"
    16471665)
    1648 xt "44000,35600,75500,36400"
    1649 st "RSRLOAD     : OUT    std_logic                     := '0' ;
    1650 "
     1666xt "44000,36400,75000,37200"
     1667st "RSRLOAD    : OUT    std_logic                     := '0' ;"
    16511668)
    16521669thePort (LogicalPort
     
    16551672n "RSRLOAD"
    16561673t "std_logic"
    1657 o 43
     1674o 44
    16581675suid 11,0
    16591676i "'0'"
     
    16611678)
    16621679)
    1663 *167 (CptPort
     1680*169 (CptPort
    16641681uid 1116,0
    16651682ps "OnEdgeStrategy"
     
    16921709font "Courier New,8,0"
    16931710)
    1694 xt "44000,15600,62000,16400"
    1695 st "X_50M       : IN     STD_LOGIC  ;
    1696 "
     1711xt "44000,16400,61500,17200"
     1712st "X_50M      : IN     STD_LOGIC  ;"
    16971713)
    16981714thePort (LogicalPort
     
    17021718preAdd 0
    17031719posAdd 0
    1704 o 18
     1720o 19
    17051721suid 15,0
    17061722)
    17071723)
    17081724)
    1709 *168 (CptPort
     1725*170 (CptPort
    17101726uid 1121,0
    17111727ps "OnEdgeStrategy"
     
    17381754font "Courier New,8,0"
    17391755)
    1740 xt "44000,14000,62000,14800"
    1741 st "TRG         : IN     STD_LOGIC  ;
    1742 "
     1756xt "44000,14800,61500,15600"
     1757st "TRG        : IN     STD_LOGIC  ;"
    17431758)
    17441759thePort (LogicalPort
     
    17461761n "TRG"
    17471762t "STD_LOGIC"
    1748 o 16
     1763o 17
    17491764suid 16,0
    17501765)
    17511766)
    17521767)
    1753 *169 (CptPort
     1768*171 (CptPort
    17541769uid 1126,0
    17551770ps "OnEdgeStrategy"
     
    17831798font "Courier New,8,0"
    17841799)
    1785 xt "44000,18800,72000,19600"
    1786 st "A_CLK       : OUT    std_logic_vector (3 downto 0) ;
    1787 "
     1800xt "44000,19600,71500,20400"
     1801st "A_CLK      : OUT    std_logic_vector (3 downto 0) ;"
    17881802)
    17891803thePort (LogicalPort
     
    17931807t "std_logic_vector"
    17941808b "(3 downto 0)"
    1795 o 22
     1809o 23
    17961810suid 17,0
    17971811)
    17981812)
    17991813)
    1800 *170 (CptPort
     1814*172 (CptPort
    18011815uid 1166,0
    18021816ps "OnEdgeStrategy"
     
    18301844font "Courier New,8,0"
    18311845)
    1832 xt "44000,30000,62000,30800"
    1833 st "OE_ADC      : OUT    STD_LOGIC  ;
    1834 "
     1846xt "44000,30800,61500,31600"
     1847st "OE_ADC     : OUT    STD_LOGIC  ;"
    18351848)
    18361849thePort (LogicalPort
     
    18411854preAdd 0
    18421855posAdd 0
    1843 o 36
     1856o 37
    18441857suid 18,0
    18451858)
    18461859)
    18471860)
    1848 *171 (CptPort
     1861*173 (CptPort
    18491862uid 1171,0
    18501863ps "OnEdgeStrategy"
     
    18771890font "Courier New,8,0"
    18781891)
    1879 xt "44000,5200,72000,6000"
    1880 st "A_OTR       : IN     std_logic_vector (3 DOWNTO 0) ;
    1881 "
     1892xt "44000,5200,71500,6000"
     1893st "A_OTR      : IN     std_logic_vector (3 DOWNTO 0) ;"
    18821894)
    18831895thePort (LogicalPort
     
    18911903)
    18921904)
    1893 *172 (CptPort
     1905*174 (CptPort
    18941906uid 1176,0
    18951907ps "OnEdgeStrategy"
     
    19221934font "Courier New,8,0"
    19231935)
    1924 xt "44000,2000,72500,2800"
    1925 st "A0_D        : IN     std_logic_vector (11 DOWNTO 0) ;
    1926 "
     1936xt "44000,2000,72000,2800"
     1937st "A0_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
    19271938)
    19281939thePort (LogicalPort
     
    19361947)
    19371948)
    1938 *173 (CptPort
     1949*175 (CptPort
    19391950uid 1181,0
    19401951ps "OnEdgeStrategy"
     
    19671978font "Courier New,8,0"
    19681979)
    1969 xt "44000,2800,72500,3600"
    1970 st "A1_D        : IN     std_logic_vector (11 DOWNTO 0) ;
    1971 "
     1980xt "44000,2800,72000,3600"
     1981st "A1_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
    19721982)
    19731983thePort (LogicalPort
     
    19811991)
    19821992)
    1983 *174 (CptPort
     1993*176 (CptPort
    19841994uid 1186,0
    19851995ps "OnEdgeStrategy"
     
    20122022font "Courier New,8,0"
    20132023)
    2014 xt "44000,3600,72500,4400"
    2015 st "A2_D        : IN     std_logic_vector (11 DOWNTO 0) ;
    2016 "
     2024xt "44000,3600,72000,4400"
     2025st "A2_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
    20172026)
    20182027thePort (LogicalPort
     
    20262035)
    20272036)
    2028 *175 (CptPort
     2037*177 (CptPort
    20292038uid 1191,0
    20302039ps "OnEdgeStrategy"
     
    20572066font "Courier New,8,0"
    20582067)
    2059 xt "44000,4400,72500,5200"
    2060 st "A3_D        : IN     std_logic_vector (11 DOWNTO 0) ;
    2061 "
     2068xt "44000,4400,72000,5200"
     2069st "A3_D       : IN     std_logic_vector (11 DOWNTO 0) ;"
    20622070)
    20632071thePort (LogicalPort
     
    20712079)
    20722080)
    2073 *176 (CptPort
     2081*178 (CptPort
    20742082uid 1227,0
    20752083ps "OnEdgeStrategy"
     
    21032111font "Courier New,8,0"
    21042112)
    2105 xt "44000,19600,62000,20400"
    2106 st "D0_SRCLK    : OUT    STD_LOGIC  ;
    2107 "
     2113xt "44000,20400,61500,21200"
     2114st "D0_SRCLK   : OUT    STD_LOGIC  ;"
    21082115)
    21092116thePort (LogicalPort
     
    21122119n "D0_SRCLK"
    21132120t "STD_LOGIC"
    2114 o 23
     2121o 24
    21152122suid 24,0
    21162123)
    21172124)
    21182125)
    2119 *177 (CptPort
     2126*179 (CptPort
    21202127uid 1232,0
    21212128ps "OnEdgeStrategy"
     
    21492156font "Courier New,8,0"
    21502157)
    2151 xt "44000,20400,62000,21200"
    2152 st "D1_SRCLK    : OUT    STD_LOGIC  ;
    2153 "
     2158xt "44000,21200,61500,22000"
     2159st "D1_SRCLK   : OUT    STD_LOGIC  ;"
    21542160)
    21552161thePort (LogicalPort
     
    21582164n "D1_SRCLK"
    21592165t "STD_LOGIC"
    2160 o 24
     2166o 25
    21612167suid 25,0
    21622168)
    21632169)
    21642170)
    2165 *178 (CptPort
     2171*180 (CptPort
    21662172uid 1237,0
    21672173ps "OnEdgeStrategy"
     
    21952201font "Courier New,8,0"
    21962202)
    2197 xt "44000,21200,62000,22000"
    2198 st "D2_SRCLK    : OUT    STD_LOGIC  ;
    2199 "
     2203xt "44000,22000,61500,22800"
     2204st "D2_SRCLK   : OUT    STD_LOGIC  ;"
    22002205)
    22012206thePort (LogicalPort
     
    22042209n "D2_SRCLK"
    22052210t "STD_LOGIC"
    2206 o 25
     2211o 26
    22072212suid 26,0
    22082213)
    22092214)
    22102215)
    2211 *179 (CptPort
     2216*181 (CptPort
    22122217uid 1242,0
    22132218ps "OnEdgeStrategy"
     
    22412246font "Courier New,8,0"
    22422247)
    2243 xt "44000,22000,62000,22800"
    2244 st "D3_SRCLK    : OUT    STD_LOGIC  ;
    2245 "
     2248xt "44000,22800,61500,23600"
     2249st "D3_SRCLK   : OUT    STD_LOGIC  ;"
    22462250)
    22472251thePort (LogicalPort
     
    22502254n "D3_SRCLK"
    22512255t "STD_LOGIC"
    2252 o 26
     2256o 27
    22532257suid 27,0
    22542258)
    22552259)
    22562260)
    2257 *180 (CptPort
     2261*182 (CptPort
    22582262uid 1282,0
    22592263ps "OnEdgeStrategy"
     
    22862290font "Courier New,8,0"
    22872291)
    2288 xt "44000,6000,62000,6800"
    2289 st "D0_SROUT    : IN     std_logic  ;
    2290 "
     2292xt "44000,6000,61500,6800"
     2293st "D0_SROUT   : IN     std_logic  ;"
    22912294)
    22922295thePort (LogicalPort
     
    22992302)
    23002303)
    2301 *181 (CptPort
     2304*183 (CptPort
    23022305uid 1287,0
    23032306ps "OnEdgeStrategy"
     
    23302333font "Courier New,8,0"
    23312334)
    2332 xt "44000,6800,62000,7600"
    2333 st "D1_SROUT    : IN     std_logic  ;
    2334 "
     2335xt "44000,6800,61500,7600"
     2336st "D1_SROUT   : IN     std_logic  ;"
    23352337)
    23362338thePort (LogicalPort
     
    23432345)
    23442346)
    2345 *182 (CptPort
     2347*184 (CptPort
    23462348uid 1292,0
    23472349ps "OnEdgeStrategy"
     
    23742376font "Courier New,8,0"
    23752377)
    2376 xt "44000,7600,62000,8400"
    2377 st "D2_SROUT    : IN     std_logic  ;
    2378 "
     2378xt "44000,7600,61500,8400"
     2379st "D2_SROUT   : IN     std_logic  ;"
    23792380)
    23802381thePort (LogicalPort
     
    23872388)
    23882389)
    2389 *183 (CptPort
     2390*185 (CptPort
    23902391uid 1297,0
    23912392ps "OnEdgeStrategy"
     
    24182419font "Courier New,8,0"
    24192420)
    2420 xt "44000,8400,62000,9200"
    2421 st "D3_SROUT    : IN     std_logic  ;
    2422 "
     2421xt "44000,8400,61500,9200"
     2422st "D3_SROUT   : IN     std_logic  ;"
    24232423)
    24242424thePort (LogicalPort
     
    24312431)
    24322432)
    2433 *184 (CptPort
     2433*186 (CptPort
    24342434uid 1302,0
    24352435ps "OnEdgeStrategy"
     
    24732473font "Courier New,8,0"
    24742474)
    2475 xt "44000,25200,81500,26000"
    2476 st "D_A         : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0') ;
    2477 "
     2475xt "44000,26000,81000,26800"
     2476st "D_A        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0') ;"
    24782477)
    24792478thePort (LogicalPort
     
    24832482t "std_logic_vector"
    24842483b "(3 DOWNTO 0)"
    2485 o 30
     2484o 31
    24862485suid 32,0
    24872486i "(others => '0')"
     
    24892488)
    24902489)
    2491 *185 (CptPort
     2490*187 (CptPort
    24922491uid 1308,0
    24932492ps "OnEdgeStrategy"
     
    25312530font "Courier New,8,0"
    25322531)
    2533 xt "44000,24400,75500,25200"
    2534 st "DWRITE      : OUT    std_logic                     := '0' ;
    2535 "
     2532xt "44000,25200,75000,26000"
     2533st "DWRITE     : OUT    std_logic                     := '0' ;"
    25362534)
    25372535thePort (LogicalPort
     
    25402538n "DWRITE"
    25412539t "std_logic"
    2542 o 29
     2540o 30
    25432541suid 33,0
    25442542i "'0'"
     
    25462544)
    25472545)
    2548 *186 (CptPort
     2546*188 (CptPort
    25492547uid 1363,0
    25502548ps "OnEdgeStrategy"
     
    25782576font "Courier New,8,0"
    25792577)
    2580 xt "44000,22800,62000,23600"
    2581 st "DAC_CS      : OUT    std_logic  ;
    2582 "
     2578xt "44000,23600,61500,24400"
     2579st "DAC_CS     : OUT    std_logic  ;"
    25832580)
    25842581thePort (LogicalPort
     
    25872584n "DAC_CS"
    25882585t "std_logic"
    2589 o 27
     2586o 28
    25902587suid 34,0
    25912588)
    25922589)
    25932590)
    2594 *187 (CptPort
     2591*189 (CptPort
    25952592uid 1368,0
    25962593ps "OnEdgeStrategy"
     
    26242621font "Courier New,8,0"
    26252622)
    2626 xt "44000,38000,62000,38800"
    2627 st "T0_CS       : OUT    std_logic  ;
    2628 "
     2623xt "44000,38800,61500,39600"
     2624st "T0_CS      : OUT    std_logic  ;"
    26292625)
    26302626thePort (LogicalPort
     
    26332629n "T0_CS"
    26342630t "std_logic"
    2635 o 46
     2631o 47
    26362632suid 35,0
    26372633)
    26382634)
    26392635)
    2640 *188 (CptPort
     2636*190 (CptPort
    26412637uid 1373,0
    26422638ps "OnEdgeStrategy"
     
    26702666font "Courier New,8,0"
    26712667)
    2672 xt "44000,38800,62000,39600"
    2673 st "T1_CS       : OUT    std_logic  ;
    2674 "
     2668xt "44000,39600,61500,40400"
     2669st "T1_CS      : OUT    std_logic  ;"
    26752670)
    26762671thePort (LogicalPort
     
    26792674n "T1_CS"
    26802675t "std_logic"
    2681 o 47
     2676o 48
    26822677suid 36,0
    26832678)
    26842679)
    26852680)
    2686 *189 (CptPort
     2681*191 (CptPort
    26872682uid 1378,0
    26882683ps "OnEdgeStrategy"
     
    27162711font "Courier New,8,0"
    27172712)
    2718 xt "44000,39600,62000,40400"
    2719 st "T2_CS       : OUT    std_logic  ;
    2720 "
     2713xt "44000,40400,61500,41200"
     2714st "T2_CS      : OUT    std_logic  ;"
    27212715)
    27222716thePort (LogicalPort
     
    27252719n "T2_CS"
    27262720t "std_logic"
    2727 o 48
     2721o 49
    27282722suid 37,0
    27292723)
    27302724)
    27312725)
    2732 *190 (CptPort
     2726*192 (CptPort
    27332727uid 1383,0
    27342728ps "OnEdgeStrategy"
     
    27622756font "Courier New,8,0"
    27632757)
    2764 xt "44000,40400,62000,41200"
    2765 st "T3_CS       : OUT    std_logic  ;
    2766 "
     2758xt "44000,41200,61500,42000"
     2759st "T3_CS      : OUT    std_logic  ;"
    27672760)
    27682761thePort (LogicalPort
     
    27712764n "T3_CS"
    27722765t "std_logic"
    2773 o 49
     2766o 50
    27742767suid 38,0
    27752768)
    27762769)
    27772770)
    2778 *191 (CptPort
     2771*193 (CptPort
    27792772uid 1388,0
    27802773ps "OnEdgeStrategy"
     
    28082801font "Courier New,8,0"
    28092802)
    2810 xt "44000,37200,62000,38000"
    2811 st "S_CLK       : OUT    std_logic  ;
    2812 "
     2803xt "44000,38000,61500,38800"
     2804st "S_CLK      : OUT    std_logic  ;"
    28132805)
    28142806thePort (LogicalPort
     
    28172809n "S_CLK"
    28182810t "std_logic"
    2819 o 45
     2811o 46
    28202812suid 39,0
    28212813)
    28222814)
    28232815)
    2824 *192 (CptPort
     2816*194 (CptPort
    28252817uid 1393,0
    28262818ps "OnEdgeStrategy"
     
    28542846font "Courier New,8,0"
    28552847)
    2856 xt "44000,42000,72000,42800"
    2857 st "W_A         : OUT    std_logic_vector (9 DOWNTO 0) ;
    2858 "
     2848xt "44000,42800,71500,43600"
     2849st "W_A        : OUT    std_logic_vector (9 DOWNTO 0) ;"
    28592850)
    28602851thePort (LogicalPort
     
    28642855t "std_logic_vector"
    28652856b "(9 DOWNTO 0)"
    2866 o 51
     2857o 52
    28672858suid 40,0
    28682859)
    28692860)
    28702861)
    2871 *193 (CptPort
     2862*195 (CptPort
    28722863uid 1398,0
    28732864ps "OnEdgeStrategy"
     
    29012892font "Courier New,8,0"
    29022893)
    2903 xt "44000,46800,71500,47600"
    2904 st "W_D         : INOUT  std_logic_vector (15 DOWNTO 0)
    2905 "
     2894xt "44000,47600,71000,48400"
     2895st "W_D        : INOUT  std_logic_vector (15 DOWNTO 0)"
    29062896)
    29072897thePort (LogicalPort
     
    29112901t "std_logic_vector"
    29122902b "(15 DOWNTO 0)"
    2913 o 57
     2903o 58
    29142904suid 41,0
    29152905)
    29162906)
    29172907)
    2918 *194 (CptPort
     2908*196 (CptPort
    29192909uid 1403,0
    29202910ps "OnEdgeStrategy"
     
    29582948font "Courier New,8,0"
    29592949)
    2960 xt "44000,44400,75500,45200"
    2961 st "W_RES       : OUT    std_logic                     := '1' ;
    2962 "
     2950xt "44000,45200,75000,46000"
     2951st "W_RES      : OUT    std_logic                     := '1' ;"
    29632952)
    29642953thePort (LogicalPort
     
    29672956n "W_RES"
    29682957t "std_logic"
    2969 o 54
     2958o 55
    29702959suid 42,0
    29712960i "'1'"
     
    29732962)
    29742963)
    2975 *195 (CptPort
     2964*197 (CptPort
    29762965uid 1409,0
    29772966ps "OnEdgeStrategy"
     
    30153004font "Courier New,8,0"
    30163005)
    3017 xt "44000,43600,75500,44400"
    3018 st "W_RD        : OUT    std_logic                     := '1' ;
    3019 "
     3006xt "44000,44400,75000,45200"
     3007st "W_RD       : OUT    std_logic                     := '1' ;"
    30203008)
    30213009thePort (LogicalPort
     
    30243012n "W_RD"
    30253013t "std_logic"
    3026 o 53
     3014o 54
    30273015suid 43,0
    30283016i "'1'"
     
    30303018)
    30313019)
    3032 *196 (CptPort
     3020*198 (CptPort
    30333021uid 1415,0
    30343022ps "OnEdgeStrategy"
     
    30723060font "Courier New,8,0"
    30733061)
    3074 xt "44000,45200,75500,46000"
    3075 st "W_WR        : OUT    std_logic                     := '1' ;
    3076 "
     3062xt "44000,46000,75000,46800"
     3063st "W_WR       : OUT    std_logic                     := '1' ;"
    30773064)
    30783065thePort (LogicalPort
     
    30813068n "W_WR"
    30823069t "std_logic"
    3083 o 55
     3070o 56
    30843071suid 44,0
    30853072i "'1'"
     
    30873074)
    30883075)
    3089 *197 (CptPort
     3076*199 (CptPort
    30903077uid 1421,0
    30913078ps "OnEdgeStrategy"
     
    31183105font "Courier New,8,0"
    31193106)
    3120 xt "44000,14800,62000,15600"
    3121 st "W_INT       : IN     std_logic  ;
    3122 "
     3107xt "44000,15600,61500,16400"
     3108st "W_INT      : IN     std_logic  ;"
    31233109)
    31243110thePort (LogicalPort
     
    31263112n "W_INT"
    31273113t "std_logic"
    3128 o 17
     3114o 18
    31293115suid 45,0
    31303116)
    31313117)
    31323118)
    3133 *198 (CptPort
     3119*200 (CptPort
    31343120uid 1426,0
    31353121ps "OnEdgeStrategy"
     
    31733159font "Courier New,8,0"
    31743160)
    3175 xt "44000,42800,75500,43600"
    3176 st "W_CS        : OUT    std_logic                     := '1' ;
    3177 "
     3161xt "44000,43600,75000,44400"
     3162st "W_CS       : OUT    std_logic                     := '1' ;"
    31783163)
    31793164thePort (LogicalPort
     
    31823167n "W_CS"
    31833168t "std_logic"
    3184 o 52
     3169o 53
    31853170suid 46,0
    31863171i "'1'"
     
    31883173)
    31893174)
    3190 *199 (CptPort
     3175*201 (CptPort
    31913176uid 1620,0
    31923177ps "OnEdgeStrategy"
     
    32303215font "Courier New,8,0"
    32313216)
    3232 xt "44000,29200,75500,30000"
    3233 st "MOSI        : OUT    std_logic                     := '0' ;
    3234 "
     3217xt "44000,30000,75000,30800"
     3218st "MOSI       : OUT    std_logic                     := '0' ;"
    32353219)
    32363220thePort (LogicalPort
     
    32393223n "MOSI"
    32403224t "std_logic"
    3241 o 35
     3225o 36
    32423226suid 47,0
    32433227i "'0'"
     
    32453229)
    32463230)
    3247 *200 (CptPort
     3231*202 (CptPort
    32483232uid 1626,0
    32493233ps "OnEdgeStrategy"
     
    32773261font "Courier New,8,0"
    32783262)
    3279 xt "44000,46000,62000,46800"
    3280 st "MISO        : INOUT  std_logic  ;
    3281 "
     3263xt "44000,46800,61500,47600"
     3264st "MISO       : INOUT  std_logic  ;"
    32823265)
    32833266thePort (LogicalPort
     
    32883271preAdd 0
    32893272posAdd 0
    3290 o 56
     3273o 57
    32913274suid 48,0
    32923275)
    32933276)
    32943277)
    3295 *201 (CptPort
     3278*203 (CptPort
    32963279uid 1676,0
    32973280ps "OnEdgeStrategy"
     
    33253308font "Courier New,8,0"
    33263309)
    3327 xt "44000,41200,62000,42000"
    3328 st "TRG_V       : OUT    std_logic  ;
    3329 "
     3310xt "44000,42000,61500,42800"
     3311st "TRG_V      : OUT    std_logic  ;"
    33303312)
    33313313thePort (LogicalPort
     
    33343316n "TRG_V"
    33353317t "std_logic"
    3336 o 50
     3318o 51
    33373319suid 49,0
    33383320)
    33393321)
    33403322)
    3341 *202 (CptPort
     3323*204 (CptPort
    33423324uid 1681,0
    33433325ps "OnEdgeStrategy"
     
    33713353font "Courier New,8,0"
    33723354)
    3373 xt "44000,33200,62000,34000"
    3374 st "RS485_C_RE  : OUT    std_logic  ;
    3375 "
     3355xt "44000,34000,61500,34800"
     3356st "RS485_C_RE : OUT    std_logic  ;"
    33763357)
    33773358thePort (LogicalPort
     
    33803361n "RS485_C_RE"
    33813362t "std_logic"
    3382 o 40
     3363o 41
    33833364suid 50,0
    33843365)
    33853366)
    33863367)
    3387 *203 (CptPort
     3368*205 (CptPort
    33883369uid 1686,0
    33893370ps "OnEdgeStrategy"
     
    34173398font "Courier New,8,0"
    34183399)
    3419 xt "44000,31600,62000,32400"
    3420 st "RS485_C_DE  : OUT    std_logic  ;
    3421 "
     3400xt "44000,32400,61500,33200"
     3401st "RS485_C_DE : OUT    std_logic  ;"
    34223402)
    34233403thePort (LogicalPort
     
    34263406n "RS485_C_DE"
    34273407t "std_logic"
    3428 o 38
     3408o 39
    34293409suid 51,0
    34303410)
    34313411)
    34323412)
    3433 *204 (CptPort
     3413*206 (CptPort
    34343414uid 1691,0
    34353415ps "OnEdgeStrategy"
     
    34633443font "Courier New,8,0"
    34643444)
    3465 xt "44000,34800,62000,35600"
    3466 st "RS485_E_RE  : OUT    std_logic  ;
    3467 "
     3445xt "44000,35600,61500,36400"
     3446st "RS485_E_RE : OUT    std_logic  ;"
    34683447)
    34693448thePort (LogicalPort
     
    34723451n "RS485_E_RE"
    34733452t "std_logic"
    3474 o 42
     3453o 43
    34753454suid 52,0
    34763455)
    34773456)
    34783457)
    3479 *205 (CptPort
     3458*207 (CptPort
    34803459uid 1696,0
    34813460ps "OnEdgeStrategy"
     
    35093488font "Courier New,8,0"
    35103489)
    3511 xt "44000,34000,62000,34800"
    3512 st "RS485_E_DE  : OUT    std_logic  ;
    3513 "
     3490xt "44000,34800,61500,35600"
     3491st "RS485_E_DE : OUT    std_logic  ;"
    35143492)
    35153493thePort (LogicalPort
     
    35183496n "RS485_E_DE"
    35193497t "std_logic"
    3520 o 41
     3498o 42
    35213499suid 53,0
    35223500)
    35233501)
    35243502)
    3525 *206 (CptPort
     3503*208 (CptPort
    35263504uid 1701,0
    35273505ps "OnEdgeStrategy"
     
    35653543font "Courier New,8,0"
    35663544)
    3567 xt "44000,23600,75500,24400"
    3568 st "DENABLE     : OUT    std_logic                     := '0' ;
    3569 "
     3545xt "44000,24400,75000,25200"
     3546st "DENABLE    : OUT    std_logic                     := '0' ;"
    35703547)
    35713548thePort (LogicalPort
     
    35743551n "DENABLE"
    35753552t "std_logic"
    3576 o 28
     3553o 29
    35773554suid 54,0
    35783555i "'0'"
     
    35803557)
    35813558)
    3582 *207 (CptPort
     3559*209 (CptPort
    35833560uid 1706,0
    35843561ps "OnEdgeStrategy"
     
    36223599font "Courier New,8,0"
    36233600)
    3624 xt "44000,36400,75500,37200"
    3625 st "SRIN        : OUT    std_logic                     := '0' ;
    3626 "
     3601xt "44000,37200,75000,38000"
     3602st "SRIN       : OUT    std_logic                     := '0' ;"
    36273603)
    36283604thePort (LogicalPort
     
    36313607n "SRIN"
    36323608t "std_logic"
    3633 o 44
     3609o 45
    36343610suid 55,0
    36353611i "'0'"
     
    36373613)
    36383614)
    3639 *208 (CptPort
     3615*210 (CptPort
    36403616uid 1711,0
    36413617ps "OnEdgeStrategy"
     
    36693645font "Courier New,8,0"
    36703646)
    3671 xt "44000,27600,62000,28400"
    3672 st "EE_CS       : OUT    std_logic  ;
    3673 "
     3647xt "44000,28400,61500,29200"
     3648st "EE_CS      : OUT    std_logic  ;"
    36743649)
    36753650thePort (LogicalPort
     
    36783653n "EE_CS"
    36793654t "std_logic"
    3680 o 33
     3655o 34
    36813656suid 56,0
    36823657)
    36833658)
    36843659)
    3685 *209 (CptPort
     3660*211 (CptPort
    36863661uid 2068,0
    36873662ps "OnEdgeStrategy"
     
    37043679)
    37053680xt "28500,91500,33000,92500"
    3706 st "D_T : (7:0)"
     3681st "D_T : (5:0)"
    37073682ju 2
    37083683blo "33000,92300"
     
    37253700font "Courier New,8,0"
    37263701)
    3727 xt "44000,26000,81500,26800"
    3728 st "D_T         : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
    3729 "
     3702xt "44000,26800,81000,27600"
     3703st "D_T        : OUT    std_logic_vector (5 DOWNTO 0) := (OTHERS => '0') ;"
    37303704)
    37313705thePort (LogicalPort
     
    37343708n "D_T"
    37353709t "std_logic_vector"
    3736 b "(7 DOWNTO 0)"
    3737 o 31
     3710b "(5 DOWNTO 0)"
     3711o 32
    37383712suid 61,0
    37393713i "(OTHERS => '0')"
     
    37413715)
    37423716)
    3743 *210 (CptPort
     3717*212 (CptPort
    37443718uid 2919,0
    37453719ps "OnEdgeStrategy"
     
    37723746font "Courier New,8,0"
    37733747)
    3774 xt "44000,9200,72000,10000"
    3775 st "D_PLLLCK    : IN     std_logic_vector (3 DOWNTO 0) ;
    3776 "
     3748xt "44000,9200,71500,10000"
     3749st "D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0) ;"
    37773750)
    37783751thePort (LogicalPort
     
    37863759)
    37873760)
    3788 *211 (CptPort
     3761*213 (CptPort
    37893762uid 2949,0
    37903763ps "OnEdgeStrategy"
     
    38283801font "Courier New,8,0"
    38293802)
    3830 xt "44000,26800,81500,27600"
    3831 st "D_T2        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0') ;
    3832 "
     3803xt "44000,27600,81000,28400"
     3804st "D_T2       : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0') ;"
    38333805)
    38343806thePort (LogicalPort
     
    38383810t "std_logic_vector"
    38393811b "(3 DOWNTO 0)"
    3840 o 32
     3812o 33
    38413813suid 65,0
    38423814i "(others => '0')"
     
    38443816)
    38453817)
    3846 *212 (CptPort
     3818*214 (CptPort
    38473819uid 3026,0
    38483820ps "OnEdgeStrategy"
     
    38863858font "Courier New,8,0"
    38873859)
    3888 xt "44000,17200,81500,18000"
    3889 st "A1_T        : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
    3890 "
     3860xt "44000,18000,81000,18800"
     3861st "A1_T       : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;"
    38913862)
    38923863thePort (LogicalPort
     
    38963867t "std_logic_vector"
    38973868b "(7 DOWNTO 0)"
    3898 o 20
     3869o 21
    38993870suid 66,0
    39003871i "(OTHERS => '0')"
     
    39023873)
    39033874)
    3904 *213 (CptPort
     3875*215 (CptPort
    39053876uid 3456,0
    39063877ps "OnEdgeStrategy"
     
    39443915font "Courier New,8,0"
    39453916)
    3946 xt "44000,16400,81500,17200"
    3947 st "A0_T        : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0') ;
    3948 "
     3917xt "44000,17200,81000,18000"
     3918st "A0_T       : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0') ;"
    39493919)
    39503920thePort (LogicalPort
     
    39543924t "std_logic_vector"
    39553925b "(7 DOWNTO 0)"
    3956 o 19
     3926o 20
    39573927suid 68,0
    39583928i "(others => '0')"
     
    39603930)
    39613931)
    3962 *214 (CptPort
     3932*216 (CptPort
    39633933uid 3581,0
    39643934ps "OnEdgeStrategy"
     
    39913961font "Courier New,8,0"
    39923962)
    3993 xt "44000,11600,62000,12400"
    3994 st "RS485_C_DI  : IN     std_logic  ;
    3995 "
     3963xt "44000,12400,61500,13200"
     3964st "RS485_C_DI : IN     std_logic  ;"
    39963965)
    39973966thePort (LogicalPort
     
    39993968n "RS485_C_DI"
    40003969t "std_logic"
    4001 o 13
     3970o 14
    40023971suid 69,0
    40033972)
    40043973)
    40053974)
    4006 *215 (CptPort
     3975*217 (CptPort
    40073976uid 3586,0
    40083977ps "OnEdgeStrategy"
     
    40364005font "Courier New,8,0"
    40374006)
    4038 xt "44000,32400,62000,33200"
    4039 st "RS485_C_DO  : OUT    std_logic  ;
    4040 "
     4007xt "44000,33200,61500,34000"
     4008st "RS485_C_DO : OUT    std_logic  ;"
    40414009)
    40424010thePort (LogicalPort
     
    40454013n "RS485_C_DO"
    40464014t "std_logic"
    4047 o 39
     4015o 40
    40484016suid 70,0
    40494017)
    40504018)
    40514019)
    4052 *216 (CptPort
     4020*218 (CptPort
    40534021uid 3687,0
    40544022ps "OnEdgeStrategy"
     
    40814049font "Courier New,8,0"
    40824050)
    4083 xt "44000,12400,62000,13200"
    4084 st "RS485_E_DI  : IN     std_logic  ;
    4085 "
     4051xt "44000,13200,61500,14000"
     4052st "RS485_E_DI : IN     std_logic  ;"
    40864053)
    40874054thePort (LogicalPort
     
    40894056n "RS485_E_DI"
    40904057t "std_logic"
    4091 o 14
     4058o 15
    40924059suid 71,0
    40934060)
    40944061)
    40954062)
    4096 *217 (CptPort
     4063*219 (CptPort
    40974064uid 3692,0
    40984065ps "OnEdgeStrategy"
     
    41254092font "Courier New,8,0"
    41264093)
    4127 xt "44000,13200,62000,14000"
    4128 st "RS485_E_DO  : IN     std_logic  ;
    4129 "
     4094xt "44000,14000,61500,14800"
     4095st "RS485_E_DO : IN     std_logic  ;"
    41304096)
    41314097thePort (LogicalPort
     
    41334099n "RS485_E_DO"
    41344100t "std_logic"
    4135 o 15
     4101o 16
    41364102suid 72,0
    41374103)
    41384104)
    41394105)
    4140 *218 (CptPort
     4106*220 (CptPort
    41414107uid 4033,0
    41424108ps "OnEdgeStrategy"
     
    41704136font "Courier New,8,0"
    41714137)
    4172 xt "44000,18000,62000,18800"
    4173 st "AMBER_LED   : OUT    std_logic  ;
    4174 "
     4138xt "44000,18800,61500,19600"
     4139st "AMBER_LED  : OUT    std_logic  ;"
    41754140)
    41764141thePort (LogicalPort
     
    41794144n "AMBER_LED"
    41804145t "std_logic"
    4181 o 21
     4146o 22
    41824147suid 77,0
    41834148)
    41844149)
    41854150)
    4186 *219 (CptPort
     4151*221 (CptPort
    41874152uid 4038,0
    41884153ps "OnEdgeStrategy"
     
    42164181font "Courier New,8,0"
    42174182)
    4218 xt "44000,28400,62000,29200"
    4219 st "GREEN_LED   : OUT    std_logic  ;
    4220 "
     4183xt "44000,29200,61500,30000"
     4184st "GREEN_LED  : OUT    std_logic  ;"
    42214185)
    42224186thePort (LogicalPort
     
    42254189n "GREEN_LED"
    42264190t "std_logic"
    4227 o 34
     4191o 35
    42284192suid 78,0
    42294193)
    42304194)
    42314195)
    4232 *220 (CptPort
     4196*222 (CptPort
    42334197uid 4043,0
    42344198ps "OnEdgeStrategy"
     
    42624226font "Courier New,8,0"
    42634227)
    4264 xt "44000,30800,62000,31600"
    4265 st "RED_LED     : OUT    std_logic  ;
    4266 "
     4228xt "44000,31600,61500,32400"
     4229st "RED_LED    : OUT    std_logic  ;"
    42674230)
    42684231thePort (LogicalPort
     
    42714234n "RED_LED"
    42724235t "std_logic"
    4273 o 37
     4236o 38
    42744237suid 79,0
    42754238)
    42764239)
    42774240)
    4278 *221 (CptPort
    4279 uid 4165,0
    4280 ps "OnEdgeStrategy"
    4281 shape (Triangle
    4282 uid 4166,0
    4283 ro 90
    4284 va (VaSet
    4285 vasetType 1
    4286 fg "0,65535,0"
    4287 )
    4288 xt "14250,53625,15000,54375"
    4289 )
    4290 tg (CPTG
    4291 uid 4167,0
    4292 ps "CptPortTextPlaceStrategy"
    4293 stg "VerticalLayoutStrategy"
    4294 f (Text
    4295 uid 4168,0
    4296 va (VaSet
    4297 )
    4298 xt "16000,53500,23900,54500"
    4299 st "POSITION_ID : (5:0)"
    4300 blo "16000,54300"
    4301 tm "CptPortNameMgr"
    4302 )
    4303 )
    4304 dt (MLText
    4305 uid 4169,0
    4306 va (VaSet
    4307 font "Courier New,8,0"
    4308 )
    4309 xt "44000,10000,73000,10800"
    4310 st "POSITION_ID : IN     std_logic_vector ( 5 DOWNTO 0 ) ;
    4311 "
    4312 )
    4313 thePort (LogicalPort
    4314 decl (Decl
    4315 n "POSITION_ID"
    4316 t "std_logic_vector"
    4317 b "( 5 DOWNTO 0 )"
    4318 o 11
    4319 suid 80,0
    4320 )
    4321 )
    4322 )
    4323 *222 (CptPort
     4241*223 (CptPort
    43244242uid 4264,0
    43254243ps "OnEdgeStrategy"
     
    43524270font "Courier New,8,0"
    43534271)
    4354 xt "44000,10800,62000,11600"
    4355 st "REFCLK      : IN     std_logic  ;
    4356 "
     4272xt "44000,11600,61500,12400"
     4273st "REFCLK     : IN     std_logic  ;"
    43574274)
    43584275thePort (LogicalPort
     
    43604277n "REFCLK"
    43614278t "std_logic"
     4279o 13
     4280suid 81,0
     4281)
     4282)
     4283)
     4284*224 (CptPort
     4285uid 4294,0
     4286ps "OnEdgeStrategy"
     4287shape (Triangle
     4288uid 4295,0
     4289ro 90
     4290va (VaSet
     4291vasetType 1
     4292fg "0,65535,0"
     4293)
     4294xt "14250,57625,15000,58375"
     4295)
     4296tg (CPTG
     4297uid 4296,0
     4298ps "CptPortTextPlaceStrategy"
     4299stg "VerticalLayoutStrategy"
     4300f (Text
     4301uid 4297,0
     4302va (VaSet
     4303)
     4304xt "16000,57500,20700,58500"
     4305st "LINE : (5:0)"
     4306blo "16000,58300"
     4307tm "CptPortNameMgr"
     4308)
     4309)
     4310dt (MLText
     4311uid 4298,0
     4312va (VaSet
     4313font "Courier New,8,0"
     4314)
     4315xt "44000,10800,72500,11600"
     4316st "LINE       : IN     std_logic_vector ( 5 DOWNTO 0 ) ;"
     4317)
     4318thePort (LogicalPort
     4319decl (Decl
     4320n "LINE"
     4321t "std_logic_vector"
     4322b "( 5 DOWNTO 0 )"
    43624323o 12
    4363 suid 81,0
     4324suid 82,0
     4325)
     4326)
     4327)
     4328*225 (CptPort
     4329uid 4324,0
     4330ps "OnEdgeStrategy"
     4331shape (Triangle
     4332uid 4325,0
     4333ro 90
     4334va (VaSet
     4335vasetType 1
     4336fg "0,65535,0"
     4337)
     4338xt "14250,59625,15000,60375"
     4339)
     4340tg (CPTG
     4341uid 4326,0
     4342ps "CptPortTextPlaceStrategy"
     4343stg "VerticalLayoutStrategy"
     4344f (Text
     4345uid 4327,0
     4346va (VaSet
     4347)
     4348xt "16000,59500,21500,60500"
     4349st "D_T_in : (1:0)"
     4350blo "16000,60300"
     4351tm "CptPortNameMgr"
     4352)
     4353)
     4354dt (MLText
     4355uid 4328,0
     4356va (VaSet
     4357font "Courier New,8,0"
     4358)
     4359xt "44000,10000,71500,10800"
     4360st "D_T_in     : IN     std_logic_vector (1 DOWNTO 0) ;"
     4361)
     4362thePort (LogicalPort
     4363decl (Decl
     4364n "D_T_in"
     4365t "std_logic_vector"
     4366b "(1 DOWNTO 0)"
     4367o 11
     4368suid 83,0
    43644369)
    43654370)
     
    44004405)
    44014406)
    4402 gi *223 (GenericInterface
     4407gi *226 (GenericInterface
    44034408uid 13,0
    44044409ps "CenterOffsetStrategy"
     
    44274432)
    44284433)
    4429 *224 (Grouping
     4434*227 (Grouping
    44304435uid 16,0
    44314436optionalChildren [
    4432 *225 (CommentText
     4437*228 (CommentText
    44334438uid 18,0
    44344439shape (Rectangle
     
    44484453bg "0,0,32768"
    44494454)
    4450 xt "36200,48000,45800,49000"
     4455xt "36200,48000,45700,49000"
    44514456st "
    44524457by %user on %dd %month %year
     
    44614466titleBlock 1
    44624467)
    4463 *226 (CommentText
     4468*229 (CommentText
    44644469uid 21,0
    44654470shape (Rectangle
     
    44924497titleBlock 1
    44934498)
    4494 *227 (CommentText
     4499*230 (CommentText
    44954500uid 24,0
    44964501shape (Rectangle
     
    45234528titleBlock 1
    45244529)
    4525 *228 (CommentText
     4530*231 (CommentText
    45264531uid 27,0
    45274532shape (Rectangle
     
    45544559titleBlock 1
    45554560)
    4556 *229 (CommentText
     4561*232 (CommentText
    45574562uid 30,0
    45584563shape (Rectangle
     
    45844589titleBlock 1
    45854590)
    4586 *230 (CommentText
     4591*233 (CommentText
    45874592uid 33,0
    45884593shape (Rectangle
     
    46154620titleBlock 1
    46164621)
    4617 *231 (CommentText
     4622*234 (CommentText
    46184623uid 36,0
    46194624shape (Rectangle
     
    46474652titleBlock 1
    46484653)
    4649 *232 (CommentText
     4654*235 (CommentText
    46504655uid 39,0
    46514656shape (Rectangle
     
    46784683titleBlock 1
    46794684)
    4680 *233 (CommentText
     4685*236 (CommentText
    46814686uid 42,0
    46824687shape (Rectangle
     
    47094714titleBlock 1
    47104715)
    4711 *234 (CommentText
     4716*237 (CommentText
    47124717uid 45,0
    47134718shape (Rectangle
     
    47654770color "26368,26368,26368"
    47664771)
    4767 packageList *235 (PackageList
     4772packageList *238 (PackageList
    47684773uid 48,0
    47694774stg "VerticalLayoutStrategy"
    47704775textVec [
    4771 *236 (Text
     4776*239 (Text
    47724777uid 49,0
    47734778va (VaSet
     
    47784783blo "0,800"
    47794784)
    4780 *237 (MLText
     4785*240 (MLText
    47814786uid 50,0
    47824787va (VaSet
     
    48764881)
    48774882)
    4878 gi *238 (GenericInterface
     4883gi *241 (GenericInterface
    48794884ps "CenterOffsetStrategy"
    48804885matrix (Matrix
     
    49734978)
    49744979)
    4975 DeclarativeBlock *239 (SymDeclBlock
     4980DeclarativeBlock *242 (SymDeclBlock
    49764981uid 1,0
    49774982stg "SymDeclLayoutStrategy"
     
    49995004font "Arial,8,1"
    50005005)
    5001 xt "42000,47600,44400,48600"
     5006xt "42000,48400,44400,49400"
    50025007st "User:"
    5003 blo "42000,48400"
     5008blo "42000,49200"
    50045009)
    50055010internalLabel (Text
     
    50185023font "Courier New,8,0"
    50195024)
    5020 xt "44000,48600,44000,48600"
     5025xt "44000,49400,44000,49400"
    50215026tm "SyDeclarativeTextMgr"
    50225027)
     
    50315036)
    50325037)
    5033 lastUid 4268,0
     5038lastUid 4627,0
    50345039activeModelName "Symbol:CDM"
    50355040)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r10121 r10123  
    302302(vvPair
    303303variable "HDLDir"
    304 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     304value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    305305)
    306306(vvPair
    307307variable "HDSDir"
    308 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     308value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    309309)
    310310(vvPair
    311311variable "SideDataDesignDir"
    312 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
     312value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
    313313)
    314314(vvPair
    315315variable "SideDataUserDir"
    316 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
     316value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
    317317)
    318318(vvPair
    319319variable "SourceDir"
    320 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     320value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    321321)
    322322(vvPair
     
    334334(vvPair
    335335variable "d"
    336 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
     336value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
    337337)
    338338(vvPair
    339339variable "d_logical"
    340 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
     340value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
    341341)
    342342(vvPair
    343343variable "date"
    344 value "26.01.2011"
     344value "27.01.2011"
    345345)
    346346(vvPair
    347347variable "day"
    348 value "Mi"
     348value "Do"
    349349)
    350350(vvPair
    351351variable "day_long"
    352 value "Mittwoch"
     352value "Donnerstag"
    353353)
    354354(vvPair
    355355variable "dd"
    356 value "26"
     356value "27"
    357357)
    358358(vvPair
     
    434434(vvPair
    435435variable "p"
    436 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
     436value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
    437437)
    438438(vvPair
    439439variable "p_logical"
    440 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
     440value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
    441441)
    442442(vvPair
     
    494494(vvPair
    495495variable "time"
    496 value "16:46:14"
     496value "15:46:22"
    497497)
    498498(vvPair
     
    585585font "Courier New,8,0"
    586586)
    587 xt "-102000,126400,-58500,127200"
    588 st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\""
     587xt "-102000,127200,-58500,128000"
     588st "SIGNAL write_ea               : std_logic_vector(0 downto 0)                 := \"0\"
     589"
    589590)
    590591)
     
    603604font "Courier New,8,0"
    604605)
    605 xt "-102000,62400,-62000,63200"
    606 st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     606xt "-102000,63200,-62000,64000"
     607st "SIGNAL addr_out               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     608"
    607609)
    608610)
     
    621623font "Courier New,8,0"
    622624)
    623 xt "-102000,81600,-69500,82400"
    624 st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)"
     625xt "-102000,82400,-69500,83200"
     626st "SIGNAL data_out               : std_logic_vector(63 DOWNTO 0)
     627"
    625628)
    626629)
     
    639642font "Courier New,8,0"
    640643)
    641 xt "-102000,100000,-62000,100800"
    642 st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
     644xt "-102000,100800,-62000,101600"
     645st "SIGNAL ram_addr               : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
     646"
    643647)
    644648)
     
    657661font "Courier New,8,0"
    658662)
    659 xt "-102000,100800,-69500,101600"
    660 st "SIGNAL ram_data               : std_logic_vector(15 downto 0)"
     663xt "-102000,101600,-69500,102400"
     664st "SIGNAL ram_data               : std_logic_vector(15 downto 0)
     665"
    661666)
    662667)
     
    675680font "Courier New,8,0"
    676681)
    677 xt "-102000,54000,-62000,54800"
    678 st "wiz_reset              : std_logic                                    := '1'"
     682xt "-102000,54800,-62000,55600"
     683st "wiz_reset              : std_logic                                    := '1'
     684"
    679685)
    680686)
     
    693699font "Courier New,8,0"
    694700)
    695 xt "-102000,51600,-73500,52400"
    696 st "wiz_addr               : std_logic_vector(9 DOWNTO 0)"
     701xt "-102000,52400,-73500,53200"
     702st "wiz_addr               : std_logic_vector(9 DOWNTO 0)
     703"
    697704)
    698705)
     
    711718font "Courier New,8,0"
    712719)
    713 xt "-102000,56400,-73000,57200"
    714 st "wiz_data               : std_logic_vector(15 DOWNTO 0)"
     720xt "-102000,57200,-73000,58000"
     721st "wiz_data               : std_logic_vector(15 DOWNTO 0)
     722"
    715723)
    716724)
     
    729737font "Courier New,8,0"
    730738)
    731 xt "-102000,52400,-62000,53200"
    732 st "wiz_cs                 : std_logic                                    := '1'"
     739xt "-102000,53200,-62000,54000"
     740st "wiz_cs                 : std_logic                                    := '1'
     741"
    733742)
    734743)
     
    747756font "Courier New,8,0"
    748757)
    749 xt "-102000,54800,-62000,55600"
    750 st "wiz_wr                 : std_logic                                    := '1'"
     758xt "-102000,55600,-62000,56400"
     759st "wiz_wr                 : std_logic                                    := '1'
     760"
    751761)
    752762)
     
    765775font "Courier New,8,0"
    766776)
    767 xt "-102000,53200,-62000,54000"
    768 st "wiz_rd                 : std_logic                                    := '1'"
     777xt "-102000,54000,-62000,54800"
     778st "wiz_rd                 : std_logic                                    := '1'
     779"
    769780)
    770781)
     
    782793font "Courier New,8,0"
    783794)
    784 xt "-102000,25200,-83500,26000"
    785 st "wiz_int                : std_logic"
     795xt "-102000,26000,-83500,26800"
     796st "wiz_int                : std_logic
     797"
    786798)
    787799)
     
    799811sl 0
    800812ro 270
    801 xt "154500,51625,156000,52375"
     813xt "168500,50625,170000,51375"
    802814)
    803815(Line
     
    805817sl 0
    806818ro 270
    807 xt "154000,52000,154500,52000"
    808 pts [
    809 "154000,52000"
    810 "154500,52000"
     819xt "168000,51000,168500,51000"
     820pts [
     821"168000,51000"
     822"168500,51000"
    811823]
    812824)
     
    822834va (VaSet
    823835)
    824 xt "157000,51500,160600,52500"
     836xt "171000,50500,174600,51500"
    825837st "wiz_reset"
    826 blo "157000,52300"
     838blo "171000,51300"
    827839tm "WireNameMgr"
    828840)
     
    842854sl 0
    843855ro 270
    844 xt "154500,59625,156000,60375"
     856xt "168500,58625,170000,59375"
    845857)
    846858(Line
     
    848860sl 0
    849861ro 270
    850 xt "154000,60000,154500,60000"
    851 pts [
    852 "154000,60000"
    853 "154500,60000"
     862xt "168000,59000,168500,59000"
     863pts [
     864"168000,59000"
     865"168500,59000"
    854866]
    855867)
     
    865877va (VaSet
    866878)
    867 xt "157000,59500,163000,60500"
     879xt "171000,58500,177000,59500"
    868880st "wiz_addr : (9:0)"
    869 blo "157000,60300"
     881blo "171000,59300"
    870882tm "WireNameMgr"
    871883)
     
    884896uid 468,0
    885897sl 0
    886 xt "154500,60625,156000,61375"
     898xt "168500,59625,170000,60375"
    887899)
    888900(Line
    889901uid 469,0
    890902sl 0
    891 xt "154000,61000,154500,61000"
    892 pts [
    893 "154000,61000"
    894 "154500,61000"
     903xt "168000,60000,168500,60000"
     904pts [
     905"168000,60000"
     906"168500,60000"
    895907]
    896908)
     
    906918va (VaSet
    907919)
    908 xt "157000,60500,163300,61500"
     920xt "171000,59500,177300,60500"
    909921st "wiz_data : (15:0)"
    910 blo "157000,61300"
     922blo "171000,60300"
    911923tm "WireNameMgr"
    912924)
     
    926938sl 0
    927939ro 270
    928 xt "154500,52625,156000,53375"
     940xt "168500,51625,170000,52375"
    929941)
    930942(Line
     
    932944sl 0
    933945ro 270
    934 xt "154000,53000,154500,53000"
    935 pts [
    936 "154000,53000"
    937 "154500,53000"
     946xt "168000,52000,168500,52000"
     947pts [
     948"168000,52000"
     949"168500,52000"
    938950]
    939951)
     
    950962va (VaSet
    951963)
    952 xt "157000,52500,159700,53500"
     964xt "171000,51500,173700,52500"
    953965st "wiz_cs"
    954 blo "157000,53300"
     966blo "171000,52300"
    955967tm "WireNameMgr"
    956968)
     
    970982sl 0
    971983ro 270
    972 xt "154500,53625,156000,54375"
     984xt "168500,52625,170000,53375"
    973985)
    974986(Line
     
    976988sl 0
    977989ro 270
    978 xt "154000,54000,154500,54000"
    979 pts [
    980 "154000,54000"
    981 "154500,54000"
     990xt "168000,53000,168500,53000"
     991pts [
     992"168000,53000"
     993"168500,53000"
    982994]
    983995)
     
    9941006va (VaSet
    9951007)
    996 xt "157000,53500,159700,54500"
     1008xt "171000,52500,173700,53500"
    9971009st "wiz_wr"
    998 blo "157000,54300"
     1010blo "171000,53300"
    9991011tm "WireNameMgr"
    10001012)
     
    10141026sl 0
    10151027ro 270
    1016 xt "154500,70625,156000,71375"
     1028xt "170500,69625,172000,70375"
    10171029)
    10181030(Line
     
    10201032sl 0
    10211033ro 270
    1022 xt "154000,71000,154500,71000"
    1023 pts [
    1024 "154000,71000"
    1025 "154500,71000"
     1034xt "170000,70000,170500,70000"
     1035pts [
     1036"170000,70000"
     1037"170500,70000"
    10261038]
    10271039)
     
    10371049va (VaSet
    10381050)
    1039 xt "157000,70500,161000,71500"
     1051xt "173000,69500,177000,70500"
    10401052st "led : (7:0)"
    1041 blo "157000,71300"
     1053blo "173000,70300"
    10421054tm "WireNameMgr"
    10431055)
     
    10571069sl 0
    10581070ro 270
    1059 xt "154500,54625,156000,55375"
     1071xt "168500,53625,170000,54375"
    10601072)
    10611073(Line
     
    10631075sl 0
    10641076ro 270
    1065 xt "154000,55000,154500,55000"
    1066 pts [
    1067 "154000,55000"
    1068 "154500,55000"
     1077xt "168000,54000,168500,54000"
     1078pts [
     1079"168000,54000"
     1080"168500,54000"
    10691081]
    10701082)
     
    10811093va (VaSet
    10821094)
    1083 xt "157000,54500,159600,55500"
     1095xt "171000,53500,173600,54500"
    10841096st "wiz_rd"
    1085 blo "157000,55300"
     1097blo "171000,54300"
    10861098tm "WireNameMgr"
    10871099)
     
    11011113sl 0
    11021114ro 90
    1103 xt "154500,55625,156000,56375"
     1115xt "168500,54625,170000,55375"
    11041116)
    11051117(Line
     
    11071119sl 0
    11081120ro 90
    1109 xt "154000,56000,154500,56000"
    1110 pts [
    1111 "154500,56000"
    1112 "154000,56000"
     1121xt "168000,55000,168500,55000"
     1122pts [
     1123"168500,55000"
     1124"168000,55000"
    11131125]
    11141126)
     
    11251137va (VaSet
    11261138)
    1127 xt "157000,55500,159700,56500"
     1139xt "171000,54500,173700,55500"
    11281140st "wiz_int"
    1129 blo "157000,56300"
     1141blo "171000,55300"
    11301142tm "WireNameMgr"
    11311143)
     
    28692881n "board_id"
    28702882t "std_logic_vector"
    2871 b "(3 downto 0)"
    2872 preAdd 0
    2873 posAdd 0
     2883b "(3 DOWNTO 0)"
    28742884o 8
    28752885suid 28,0
     
    28802890font "Courier New,8,0"
    28812891)
    2882 xt "-102000,22800,-73500,23600"
    2883 st "board_id               : std_logic_vector(3 downto 0)"
     2892xt "-102000,23600,-73500,24400"
     2893st "board_id               : std_logic_vector(3 DOWNTO 0)
     2894"
    28842895)
    28852896)
     
    28992910font "Courier New,8,0"
    29002911)
    2901 xt "-102000,24400,-83500,25200"
    2902 st "trigger                : std_logic"
     2912xt "-102000,25200,-83500,26000"
     2913st "trigger                : std_logic
     2914"
    29032915)
    29042916)
     
    29612973fg "0,65535,0"
    29622974)
    2963 xt "127250,51625,128000,52375"
     2975xt "127250,50625,128000,51375"
    29642976)
    29652977tg (CPTG
     
    29712983va (VaSet
    29722984)
    2973 xt "129000,51500,130300,52500"
     2985xt "129000,50500,130300,51500"
    29742986st "clk"
    2975 blo "129000,52300"
     2987blo "129000,51300"
    29762988)
    29772989)
     
    29973009fg "0,65535,0"
    29983010)
    2999 xt "164000,51625,164750,52375"
     3011xt "164000,50625,164750,51375"
    30003012)
    30013013tg (CPTG
     
    30073019va (VaSet
    30083020)
    3009 xt "159400,51500,163000,52500"
     3021xt "159400,50500,163000,51500"
    30103022st "wiz_reset"
    30113023ju 2
    3012 blo "163000,52300"
     3024blo "163000,51300"
    30133025)
    30143026)
     
    30363048fg "0,65535,0"
    30373049)
    3038 xt "164000,59625,164750,60375"
     3050xt "164000,58625,164750,59375"
    30393051)
    30403052tg (CPTG
     
    30463058va (VaSet
    30473059)
    3048 xt "158500,59500,163000,60500"
     3060xt "158500,58500,163000,59500"
    30493061st "addr : (9:0)"
    30503062ju 2
    3051 blo "163000,60300"
     3063blo "163000,59300"
    30523064)
    30533065)
     
    30753087fg "0,65535,0"
    30763088)
    3077 xt "164000,60625,164750,61375"
     3089xt "164000,59625,164750,60375"
    30783090)
    30793091tg (CPTG
     
    30853097va (VaSet
    30863098)
    3087 xt "158200,60500,163000,61500"
     3099xt "158200,59500,163000,60500"
    30883100st "data : (15:0)"
    30893101ju 2
    3090 blo "163000,61300"
     3102blo "163000,60300"
    30913103)
    30923104)
     
    31143126fg "0,65535,0"
    31153127)
    3116 xt "164000,52625,164750,53375"
     3128xt "164000,51625,164750,52375"
    31173129)
    31183130tg (CPTG
     
    31243136va (VaSet
    31253137)
    3126 xt "161800,52500,163000,53500"
     3138xt "161800,51500,163000,52500"
    31273139st "cs"
    31283140ju 2
    3129 blo "163000,53300"
     3141blo "163000,52300"
    31303142)
    31313143)
     
    31533165fg "0,65535,0"
    31543166)
    3155 xt "164000,53625,164750,54375"
     3167xt "164000,52625,164750,53375"
    31563168)
    31573169tg (CPTG
     
    31633175va (VaSet
    31643176)
    3165 xt "161800,53500,163000,54500"
     3177xt "161800,52500,163000,53500"
    31663178st "wr"
    31673179ju 2
    3168 blo "163000,54300"
     3180blo "163000,53300"
    31693181)
    31703182)
     
    31923204fg "0,65535,0"
    31933205)
    3194 xt "164000,54625,164750,55375"
     3206xt "164000,53625,164750,54375"
    31953207)
    31963208tg (CPTG
     
    32023214va (VaSet
    32033215)
    3204 xt "161900,54500,163000,55500"
     3216xt "161900,53500,163000,54500"
    32053217st "rd"
    32063218ju 2
    3207 blo "163000,55300"
     3219blo "163000,54300"
    32083220)
    32093221)
     
    32313243fg "0,65535,0"
    32323244)
    3233 xt "164000,55625,164750,56375"
     3245xt "164000,54625,164750,55375"
    32343246)
    32353247tg (CPTG
     
    32413253va (VaSet
    32423254)
    3243 xt "161800,55500,163000,56500"
     3255xt "161800,54500,163000,55500"
    32443256st "int"
    32453257ju 2
    3246 blo "163000,56300"
     3258blo "163000,55300"
    32473259)
    32483260)
     
    32683280fg "0,65535,0"
    32693281)
    3270 xt "127250,69625,128000,70375"
     3282xt "127250,68625,128000,69375"
    32713283)
    32723284tg (CPTG
     
    32783290va (VaSet
    32793291)
    3280 xt "129000,69500,136900,70500"
     3292xt "129000,68500,136900,69500"
    32813293st "write_length : (16:0)"
    3282 blo "129000,70300"
     3294blo "129000,69300"
    32833295)
    32843296)
     
    33053317fg "0,65535,0"
    33063318)
    3307 xt "127250,70625,128000,71375"
     3319xt "127250,69625,128000,70375"
    33083320)
    33093321tg (CPTG
     
    33153327va (VaSet
    33163328)
    3317 xt "129000,70500,145300,71500"
     3329xt "129000,69500,145300,70500"
    33183330st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
    3319 blo "129000,71300"
     3331blo "129000,70300"
    33203332)
    33213333)
     
    33423354fg "0,65535,0"
    33433355)
    3344 xt "127250,54625,128000,55375"
     3356xt "127250,53625,128000,54375"
    33453357)
    33463358tg (CPTG
     
    33523364va (VaSet
    33533365)
    3354 xt "129000,54500,135500,55500"
     3366xt "129000,53500,135500,54500"
    33553367st "ram_data : (15:0)"
    3356 blo "129000,55300"
     3368blo "129000,54300"
    33573369)
    33583370)
     
    33793391fg "0,65535,0"
    33803392)
    3381 xt "127250,53625,128000,54375"
     3393xt "127250,52625,128000,53375"
    33823394)
    33833395tg (CPTG
     
    33893401va (VaSet
    33903402)
    3391 xt "129000,53500,143400,54500"
     3403xt "129000,52500,143400,53500"
    33923404st "ram_addr : (RAM_ADDR_WIDTH-1:0)"
    3393 blo "129000,54300"
     3405blo "129000,53300"
    33943406)
    33953407)
     
    34173429fg "0,65535,0"
    34183430)
    3419 xt "127250,68625,128000,69375"
     3431xt "127250,67625,128000,68375"
    34203432)
    34213433tg (CPTG
     
    34273439va (VaSet
    34283440)
    3429 xt "129000,68500,133100,69500"
     3441xt "129000,67500,133100,68500"
    34303442st "data_valid"
    3431 blo "129000,69300"
     3443blo "129000,68300"
    34323444)
    34333445)
     
    34533465fg "0,65535,0"
    34543466)
    3455 xt "127250,67625,128000,68375"
     3467xt "127250,66625,128000,67375"
    34563468)
    34573469tg (CPTG
     
    34633475va (VaSet
    34643476)
    3465 xt "129000,67500,130900,68500"
     3477xt "129000,66500,130900,67500"
    34663478st "busy"
    3467 blo "129000,68300"
     3479blo "129000,67300"
    34683480)
    34693481)
     
    34913503fg "0,65535,0"
    34923504)
    3493 xt "127250,71625,128000,72375"
     3505xt "127250,70625,128000,71375"
    34943506)
    34953507tg (CPTG
     
    35013513va (VaSet
    35023514)
    3503 xt "129000,71500,136800,72500"
     3515xt "129000,70500,136800,71500"
    35043516st "fifo_channels : (3:0)"
    3505 blo "129000,72300"
     3517blo "129000,71300"
    35063518)
    35073519)
     
    35273539fg "0,65535,0"
    35283540)
    3529 xt "127250,72625,128000,73375"
     3541xt "127250,71625,128000,72375"
    35303542)
    35313543tg (CPTG
     
    35373549va (VaSet
    35383550)
    3539 xt "129000,72500,134700,73500"
     3551xt "129000,71500,134700,72500"
    35403552st "write_end_flag"
    3541 blo "129000,73300"
     3553blo "129000,72300"
    35423554)
    35433555)
     
    35613573fg "0,65535,0"
    35623574)
    3563 xt "127250,73625,128000,74375"
     3575xt "127250,72625,128000,73375"
    35643576)
    35653577tg (CPTG
     
    35713583va (VaSet
    35723584)
    3573 xt "129000,73500,135800,74500"
     3585xt "129000,72500,135800,73500"
    35743586st "write_header_flag"
    3575 blo "129000,74300"
     3587blo "129000,73300"
    35763588)
    35773589)
     
    35953607fg "0,65535,0"
    35963608)
    3597 xt "164000,70625,164750,71375"
     3609xt "164000,69625,164750,70375"
    35983610)
    35993611tg (CPTG
     
    36053617va (VaSet
    36063618)
    3607 xt "159000,70500,163000,71500"
     3619xt "159000,69500,163000,70500"
    36083620st "led : (7:0)"
    36093621ju 2
    3610 blo "163000,71300"
     3622blo "163000,70300"
    36113623)
    36123624)
     
    36343646fg "0,65535,0"
    36353647)
    3636 xt "127250,63625,128000,64375"
     3648xt "127250,62625,128000,63375"
    36373649)
    36383650tg (CPTG
     
    36443656va (VaSet
    36453657)
    3646 xt "129000,63500,132600,64500"
     3658xt "129000,62500,132600,63500"
    36473659st "s_trigger"
    3648 blo "129000,64300"
     3660blo "129000,63300"
    36493661)
    36503662)
     
    36723684fg "0,65535,0"
    36733685)
    3674 xt "127250,78625,128000,79375"
     3686xt "127250,77625,128000,78375"
    36753687)
    36763688tg (CPTG
     
    36823694va (VaSet
    36833695)
    3684 xt "129000,78500,136000,79500"
     3696xt "129000,77500,136000,78500"
    36853697st "config_addr : (7:0)"
    3686 blo "129000,79300"
     3698blo "129000,78300"
    36873699)
    36883700)
     
    37083720fg "0,65535,0"
    37093721)
    3710 xt "127250,83625,128000,84375"
     3722xt "127250,82625,128000,83375"
    37113723)
    37123724tg (CPTG
     
    37183730va (VaSet
    37193731)
    3720 xt "129000,83500,133800,84500"
     3732xt "129000,82500,133800,83500"
    37213733st "config_busy"
    3722 blo "129000,84300"
     3734blo "129000,83300"
    37233735)
    37243736)
     
    37443756fg "0,65535,0"
    37453757)
    3746 xt "127250,79625,128000,80375"
     3758xt "127250,78625,128000,79375"
    37473759)
    37483760tg (CPTG
     
    37543766va (VaSet
    37553767)
    3756 xt "129000,79500,136700,80500"
     3768xt "129000,78500,136700,79500"
    37573769st "config_data : (15:0)"
    3758 blo "129000,80300"
     3770blo "129000,79300"
    37593771)
    37603772)
     
    37813793fg "0,65535,0"
    37823794)
    3783 xt "127250,60625,128000,61375"
     3795xt "127250,59625,128000,60375"
    37843796)
    37853797tg (CPTG
     
    37913803va (VaSet
    37923804)
    3793 xt "129000,60500,134600,61500"
     3805xt "129000,59500,134600,60500"
    37943806st "config_started"
    3795 blo "129000,61300"
     3807blo "129000,60300"
    37963808)
    37973809)
     
    38153827fg "0,65535,0"
    38163828)
    3817 xt "127250,81625,128000,82375"
     3829xt "127250,80625,128000,81375"
    38183830)
    38193831tg (CPTG
     
    38253837va (VaSet
    38263838)
    3827 xt "129000,81500,134300,82500"
     3839xt "129000,80500,134300,81500"
    38283840st "config_wr_en"
    3829 blo "129000,82300"
     3841blo "129000,81300"
    38303842)
    38313843)
     
    38513863fg "0,65535,0"
    38523864)
    3853 xt "127250,59625,128000,60375"
     3865xt "127250,58625,128000,59375"
    38543866)
    38553867tg (CPTG
     
    38613873va (VaSet
    38623874)
    3863 xt "129000,59500,133600,60500"
     3875xt "129000,58500,133600,59500"
    38643876st "new_config"
    3865 blo "129000,60300"
     3877blo "129000,59300"
    38663878)
    38673879)
     
    38893901fg "0,65535,0"
    38903902)
    3891 xt "127250,82625,128000,83375"
     3903xt "127250,81625,128000,82375"
    38923904)
    38933905tg (CPTG
     
    38993911va (VaSet
    39003912)
    3901 xt "129000,82500,134200,83500"
     3913xt "129000,81500,134200,82500"
    39023914st "config_rd_en"
    3903 blo "129000,83300"
     3915blo "129000,82300"
    39043916)
    39053917)
     
    39263938fg "0,65535,0"
    39273939)
    3928 xt "164000,74625,164750,75375"
     3940xt "164000,73625,164750,74375"
    39293941)
    39303942tg (CPTG
     
    39363948va (VaSet
    39373949)
    3938 xt "160000,74500,163000,75500"
     3950xt "160000,73500,163000,74500"
    39393951st "denable"
    39403952ju 2
    3941 blo "163000,75300"
     3953blo "163000,74300"
    39423954)
    39433955)
     
    39493961eolc "-- default domino wave off"
    39503962posAdd 0
    3951 o 32
     3963o 35
    39523964suid 31,0
    39533965i "'0'"
     
    39653977fg "0,65535,0"
    39663978)
    3967 xt "164000,75625,164750,76375"
     3979xt "164000,74625,164750,75375"
    39683980)
    39693981tg (CPTG
     
    39753987va (VaSet
    39763988)
    3977 xt "157600,75500,163000,76500"
     3989xt "157600,74500,163000,75500"
    39783990st "dwrite_enable"
    39793991ju 2
    3980 blo "163000,76300"
     3992blo "163000,75300"
    39813993)
    39823994)
     
    39894001preAdd 0
    39904002posAdd 0
    3991 o 33
     4003o 36
    39924004suid 32,0
    39934005i "'0'"
     
    40054017fg "0,65535,0"
    40064018)
    4007 xt "127250,74625,128000,75375"
     4019xt "127250,73625,128000,74375"
    40084020)
    40094021tg (CPTG
     
    40154027va (VaSet
    40164028)
    4017 xt "129000,74500,134600,75500"
     4029xt "129000,73500,134600,74500"
    40184030st "data_valid_ack"
    4019 blo "129000,75300"
     4031blo "129000,74300"
    40204032)
    40214033)
     
    40414053fg "0,65535,0"
    40424054)
    4043 xt "164000,76625,164750,77375"
     4055xt "164000,75625,164750,76375"
    40444056)
    40454057tg (CPTG
     
    40514063va (VaSet
    40524064)
    4053 xt "158300,76500,163000,77500"
     4065xt "158300,75500,163000,76500"
    40544066st "sclk_enable"
    40554067ju 2
    4056 blo "163000,77300"
     4068blo "163000,76300"
    40574069)
    40584070)
     
    40644076eolc "-- default DWRITE HIGH."
    40654077posAdd 0
    4066 o 34
     4078o 37
    40674079suid 35,0
    40684080i "'1'"
     
    40804092fg "0,65535,0"
    40814093)
    4082 xt "164000,80625,164750,81375"
     4094xt "164000,79625,164750,80375"
    40834095)
    40844096tg (CPTG
     
    40904102va (VaSet
    40914103)
    4092 xt "158100,80500,163000,81500"
     4104xt "158100,79500,163000,80500"
    40934105st "ps_direction"
    40944106ju 2
    4095 blo "163000,81300"
     4107blo "163000,80300"
    40964108)
    40974109)
     
    41034115eolc "-- default phase shift upwards"
    41044116posAdd 0
    4105 o 35
     4117o 38
    41064118suid 36,0
    41074119i "'1'"
     
    41194131fg "0,65535,0"
    41204132)
    4121 xt "164000,81625,164750,82375"
     4133xt "164000,80625,164750,81375"
    41224134)
    41234135tg (CPTG
     
    41294141va (VaSet
    41304142)
    4131 xt "156000,81500,163000,82500"
     4143xt "156000,80500,163000,81500"
    41324144st "ps_do_phase_shift"
    41334145ju 2
    4134 blo "163000,82300"
     4146blo "163000,81300"
    41354147)
    41364148)
     
    41434155preAdd 0
    41444156posAdd 0
    4145 o 36
     4157o 39
    41464158suid 37,0
    41474159i "'0'"
     
    41594171fg "0,65535,0"
    41604172)
    4161 xt "164000,82625,164750,83375"
     4173xt "164000,81625,164750,82375"
    41624174)
    41634175tg (CPTG
     
    41694181va (VaSet
    41704182)
    4171 xt "159700,82500,163000,83500"
     4183xt "159700,81500,163000,82500"
    41724184st "ps_reset"
    41734185ju 2
    4174 blo "163000,83300"
     4186blo "163000,82300"
    41754187)
    41764188)
     
    41824194eolc "-- pulse this to reset the variable phase shift"
    41834195posAdd 0
    4184 o 37
     4196o 40
    41854197suid 38,0
    41864198i "'0'"
     
    41984210fg "0,65535,0"
    41994211)
    4200 xt "164000,84625,164750,85375"
     4212xt "164000,83625,164750,84375"
    42014213)
    42024214tg (CPTG
     
    42084220va (VaSet
    42094221)
    4210 xt "158000,84500,163000,85500"
     4222xt "158000,83500,163000,84500"
    42114223st "srclk_enable"
    42124224ju 2
    4213 blo "163000,85300"
     4225blo "163000,84300"
    42144226)
    42154227)
     
    42214233eolc "-- default SRCLK on."
    42224234posAdd 0
    4223 o 38
     4235o 41
    42244236suid 39,0
    42254237i "'1'"
     
    42374249fg "0,65535,0"
    42384250)
    4239 xt "127250,84625,128000,85375"
     4251xt "127250,83625,128000,84375"
    42404252)
    42414253tg (CPTG
     
    42474259va (VaSet
    42484260)
    4249 xt "129000,84500,134600,85500"
     4261xt "129000,83500,134600,84500"
    42504262st "config_rw_ack"
    4251 blo "129000,85300"
     4263blo "129000,84300"
    42524264)
    42534265)
     
    42744286fg "0,65535,0"
    42754287)
    4276 xt "127250,85625,128000,86375"
     4288xt "127250,84625,128000,85375"
    42774289)
    42784290tg (CPTG
     
    42844296va (VaSet
    42854297)
    4286 xt "129000,85500,135300,86500"
     4298xt "129000,84500,135300,85500"
    42874299st "config_rw_ready"
    4288 blo "129000,86300"
     4300blo "129000,85300"
    42894301)
    42904302)
     
    43114323fg "0,65535,0"
    43124324)
    4313 xt "164000,88625,164750,89375"
     4325xt "164000,87625,164750,88375"
    43144326)
    43154327tg (CPTG
     
    43214333va (VaSet
    43224334)
    4323 xt "156500,88500,163000,89500"
     4335xt "156500,87500,163000,88500"
    43244336st "socks_connected"
    43254337ju 2
    4326 blo "163000,89300"
     4338blo "163000,88300"
    43274339)
    43284340)
     
    43324344n "socks_connected"
    43334345t "std_logic"
    4334 o 41
     4346o 44
    43354347suid 42,0
    43364348)
     
    43474359fg "0,65535,0"
    43484360)
    4349 xt "164000,89625,164750,90375"
     4361xt "164000,88625,164750,89375"
    43504362)
    43514363tg (CPTG
     
    43574369va (VaSet
    43584370)
    4359 xt "157500,89500,163000,90500"
     4371xt "157500,88500,163000,89500"
    43604372st "socks_waiting"
    43614373ju 2
    4362 blo "163000,90300"
     4374blo "163000,89300"
    43634375)
    43644376)
     
    43694381t "std_logic"
    43704382preAdd 0
    4371 o 40
     4383o 43
    43724384suid 43,0
    43734385)
     
    43844396fg "0,65535,0"
    43854397)
    4386 xt "164000,90625,164750,91375"
     4398xt "164000,89625,164750,90375"
    43874399)
    43884400tg (CPTG
     
    43944406va (VaSet
    43954407)
    4396 xt "157200,90500,163000,91500"
     4408xt "157200,89500,163000,90500"
    43974409st "trigger_enable"
    43984410ju 2
    4399 blo "163000,91300"
     4411blo "163000,90300"
    44004412)
    44014413)
     
    44074419eolc "-- default triggers are NOT accepted"
    44084420posAdd 0
    4409 o 39
     4421o 42
    44104422suid 44,0
    44114423i "'0'"
     
    44234435fg "0,65535,0"
    44244436)
    4425 xt "127250,64625,128000,65375"
     4437xt "127250,63625,128000,64375"
    44264438)
    44274439tg (CPTG
     
    44334445va (VaSet
    44344446)
    4435 xt "129000,64500,135600,65500"
     4447xt "129000,63500,135600,64500"
    44364448st "c_trigger_enable"
    4437 blo "129000,65300"
     4449blo "129000,64300"
    44384450)
    44394451)
     
    44594471fg "0,65535,0"
    44604472)
    4461 xt "127250,65625,128000,66375"
     4473xt "127250,64625,128000,65375"
    44624474)
    44634475tg (CPTG
     
    44694481va (VaSet
    44704482)
    4471 xt "129000,65500,137400,66500"
     4483xt "129000,64500,137400,65500"
    44724484st "c_trigger_mult : (7:0)"
    4473 blo "129000,66300"
     4485blo "129000,65300"
    44744486)
    44754487)
     
    44884500)
    44894501)
     4502*116 (CptPort
     4503uid 13806,0
     4504ps "OnEdgeStrategy"
     4505shape (Triangle
     4506uid 13807,0
     4507ro 90
     4508va (VaSet
     4509vasetType 1
     4510fg "0,65535,0"
     4511)
     4512xt "127250,91625,128000,92375"
     4513)
     4514tg (CPTG
     4515uid 13808,0
     4516ps "CptPortTextPlaceStrategy"
     4517stg "VerticalLayoutStrategy"
     4518f (Text
     4519uid 13809,0
     4520va (VaSet
     4521)
     4522xt "129000,91500,136500,92500"
     4523st "MAC_jumper : (1:0)"
     4524blo "129000,92300"
     4525)
     4526)
     4527thePort (LogicalPort
     4528decl (Decl
     4529n "MAC_jumper"
     4530t "std_logic_vector"
     4531b "(1 downto 0)"
     4532o 32
     4533suid 48,0
     4534)
     4535)
     4536)
     4537*117 (CptPort
     4538uid 13911,0
     4539ps "OnEdgeStrategy"
     4540shape (Triangle
     4541uid 13912,0
     4542ro 90
     4543va (VaSet
     4544vasetType 1
     4545fg "0,65535,0"
     4546)
     4547xt "127250,92625,128000,93375"
     4548)
     4549tg (CPTG
     4550uid 13913,0
     4551ps "CptPortTextPlaceStrategy"
     4552stg "VerticalLayoutStrategy"
     4553f (Text
     4554uid 13914,0
     4555va (VaSet
     4556)
     4557xt "129000,92500,134800,93500"
     4558st "BoardID : (3:0)"
     4559blo "129000,93300"
     4560)
     4561)
     4562thePort (LogicalPort
     4563decl (Decl
     4564n "BoardID"
     4565t "std_logic_vector"
     4566b "(3 downto 0)"
     4567o 33
     4568suid 49,0
     4569)
     4570)
     4571)
     4572*118 (CptPort
     4573uid 13915,0
     4574ps "OnEdgeStrategy"
     4575shape (Triangle
     4576uid 13916,0
     4577ro 90
     4578va (VaSet
     4579vasetType 1
     4580fg "0,65535,0"
     4581)
     4582xt "127250,93625,128000,94375"
     4583)
     4584tg (CPTG
     4585uid 13917,0
     4586ps "CptPortTextPlaceStrategy"
     4587stg "VerticalLayoutStrategy"
     4588f (Text
     4589uid 13918,0
     4590va (VaSet
     4591)
     4592xt "129000,93500,134700,94500"
     4593st "CrateID : (1:0)"
     4594blo "129000,94300"
     4595)
     4596)
     4597thePort (LogicalPort
     4598decl (Decl
     4599n "CrateID"
     4600t "std_logic_vector"
     4601b "(1 downto 0)"
     4602o 34
     4603suid 50,0
     4604)
     4605)
     4606)
    44904607]
    44914608shape (Rectangle
     
    44974614lineWidth 2
    44984615)
    4499 xt "128000,51000,164000,94000"
     4616xt "128000,50000,164000,99000"
    45004617)
    45014618oxt "43000,2000,56000,22000"
     
    45054622stg "VerticalLayoutStrategy"
    45064623textVec [
    4507 *116 (Text
     4624*119 (Text
    45084625uid 1609,0
    45094626va (VaSet
    45104627font "Arial,8,1"
    45114628)
    4512 xt "127700,92000,133900,93000"
     4629xt "132700,99000,138900,100000"
    45134630st "FACT_FAD_lib"
    4514 blo "127700,92800"
     4631blo "132700,99800"
    45154632tm "BdLibraryNameMgr"
    45164633)
    4517 *117 (Text
     4634*120 (Text
    45184635uid 1610,0
    45194636va (VaSet
    45204637font "Arial,8,1"
    45214638)
    4522 xt "127700,93000,133400,94000"
     4639xt "132700,100000,138400,101000"
    45234640st "w5300_modul"
    4524 blo "127700,93800"
     4641blo "132700,100800"
    45254642tm "CptNameMgr"
    45264643)
    4527 *118 (Text
     4644*121 (Text
    45284645uid 1611,0
    45294646va (VaSet
    45304647font "Arial,8,1"
    45314648)
    4532 xt "127700,94000,134400,95000"
     4649xt "132700,101000,139400,102000"
    45334650st "I_main_ethernet"
    4534 blo "127700,94800"
     4651blo "132700,101800"
    45354652tm "InstanceNameMgr"
    45364653)
     
    45474664font "Courier New,8,0"
    45484665)
    4549 xt "128000,50200,155500,51000"
     4666xt "128000,49200,155500,50000"
    45504667st "RAM_ADDR_WIDTH = RAMADDRWIDTH64b+2    ( integer )  "
    45514668)
     
    45674684fg "49152,49152,49152"
    45684685)
    4569 xt "128250,92250,129750,93750"
     4686xt "128250,97250,129750,98750"
    45704687iconName "VhdlFileViewIcon.png"
    45714688iconMaskName "VhdlFileViewIcon.msk"
     
    45784695archFileType "UNKNOWN"
    45794696)
    4580 *119 (Net
     4697*122 (Net
    45814698uid 1680,0
    45824699decl (Decl
    45834700n "crate_id"
    45844701t "std_logic_vector"
    4585 b "(1 downto 0)"
     4702b "(1 DOWNTO 0)"
    45864703o 9
    45874704suid 30,0
     
    45924709font "Courier New,8,0"
    45934710)
    4594 xt "-102000,23600,-73500,24400"
    4595 st "crate_id               : std_logic_vector(1 downto 0)"
    4596 )
    4597 )
    4598 *120 (SaComponent
     4711xt "-102000,24400,-73500,25200"
     4712st "crate_id               : std_logic_vector(1 DOWNTO 0)
     4713"
     4714)
     4715)
     4716*123 (SaComponent
    45994717uid 1768,0
    46004718optionalChildren [
    4601 *121 (CptPort
     4719*124 (CptPort
    46024720uid 1760,0
    46034721ps "OnEdgeStrategy"
     
    46394757)
    46404758)
    4641 *122 (CptPort
     4759*125 (CptPort
    46424760uid 1764,0
    46434761ps "OnEdgeStrategy"
     
    46764794)
    46774795)
    4678 *123 (CptPort
     4796*126 (CptPort
    46794797uid 6207,0
    46804798ps "OnEdgeStrategy"
     
    47284846stg "VerticalLayoutStrategy"
    47294847textVec [
    4730 *124 (Text
     4848*127 (Text
    47314849uid 1771,0
    47324850va (VaSet
     
    47384856tm "BdLibraryNameMgr"
    47394857)
    4740 *125 (Text
     4858*128 (Text
    47414859uid 1772,0
    47424860va (VaSet
     
    47484866tm "CptNameMgr"
    47494867)
    4750 *126 (Text
     4868*129 (Text
    47514869uid 1773,0
    47524870va (VaSet
     
    47964914archFileType "UNKNOWN"
    47974915)
    4798 *127 (Net
     4916*130 (Net
    47994917uid 1981,0
    48004918lang 2
     
    48134931font "Courier New,8,0"
    48144932)
    4815 xt "-102000,118400,-69500,119200"
    4816 st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)"
    4817 )
    4818 )
    4819 *128 (Net
     4933xt "-102000,119200,-69500,120000"
     4934st "SIGNAL trigger_id             : std_logic_vector(47 downto 0)
     4935"
     4936)
     4937)
     4938*131 (Net
    48204939uid 2297,0
    48214940decl (Decl
     
    48334952font "Courier New,8,0"
    48344953)
    4835 xt "-102000,101600,-62000,102400"
    4836 st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    4837 )
    4838 )
    4839 *129 (SaComponent
     4954xt "-102000,102400,-62000,103200"
     4955st "SIGNAL ram_start_addr         : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     4956"
     4957)
     4958)
     4959*132 (SaComponent
    48404960uid 2311,0
    48414961optionalChildren [
    4842 *130 (CptPort
     4962*133 (CptPort
    48434963uid 2307,0
    48444964ps "OnEdgeStrategy"
     
    48815001)
    48825002)
    4883 *131 (CptPort
     5003*134 (CptPort
    48845004uid 2351,0
    48855005ps "OnEdgeStrategy"
     
    49175037)
    49185038)
    4919 *132 (CptPort
     5039*135 (CptPort
    49205040uid 2361,0
    49215041ps "OnEdgeStrategy"
     
    49555075)
    49565076)
    4957 *133 (CptPort
     5077*136 (CptPort
    49585078uid 2365,0
    49595079ps "OnEdgeStrategy"
     
    49915111)
    49925112)
    4993 *134 (CptPort
     5113*137 (CptPort
    49945114uid 2369,0
    49955115ps "OnEdgeStrategy"
     
    50295149)
    50305150)
    5031 *135 (CptPort
     5151*138 (CptPort
    50325152uid 2373,0
    50335153ps "OnEdgeStrategy"
     
    50665186)
    50675187)
    5068 *136 (CptPort
     5188*139 (CptPort
    50695189uid 2377,0
    50705190ps "OnEdgeStrategy"
     
    51055225)
    51065226)
    5107 *137 (CptPort
     5227*140 (CptPort
    51085228uid 2381,0
    51095229ps "OnEdgeStrategy"
     
    51425262)
    51435263)
    5144 *138 (CptPort
     5264*141 (CptPort
    51455265uid 2385,0
    51465266ps "OnEdgeStrategy"
     
    51825302)
    51835303)
    5184 *139 (CptPort
     5304*142 (CptPort
    51855305uid 2389,0
    51865306ps "OnEdgeStrategy"
     
    52235343)
    52245344)
    5225 *140 (CptPort
     5345*143 (CptPort
    52265346uid 2393,0
    52275347ps "OnEdgeStrategy"
     
    52625382)
    52635383)
    5264 *141 (CptPort
     5384*144 (CptPort
    52655385uid 2397,0
    52665386ps "OnEdgeStrategy"
     
    53015421)
    53025422)
    5303 *142 (CptPort
     5423*145 (CptPort
    53045424uid 2401,0
    53055425ps "OnEdgeStrategy"
     
    53405460)
    53415461)
    5342 *143 (CptPort
     5462*146 (CptPort
    53435463uid 2405,0
    53445464ps "OnEdgeStrategy"
     
    53805500)
    53815501)
    5382 *144 (CptPort
     5502*147 (CptPort
    53835503uid 2454,0
    53845504ps "OnEdgeStrategy"
     
    54185538)
    54195539)
    5420 *145 (CptPort
     5540*148 (CptPort
    54215541uid 2628,0
    54225542ps "OnEdgeStrategy"
     
    54575577)
    54585578)
    5459 *146 (CptPort
     5579*149 (CptPort
    54605580uid 5991,0
    54615581ps "OnEdgeStrategy"
     
    54955615)
    54965616)
    5497 *147 (CptPort
     5617*150 (CptPort
    54985618uid 8410,0
    54995619ps "OnEdgeStrategy"
     
    55325652)
    55335653)
    5534 *148 (CptPort
     5654*151 (CptPort
    55355655uid 10232,0
    55365656ps "OnEdgeStrategy"
     
    55905710stg "VerticalLayoutStrategy"
    55915711textVec [
    5592 *149 (Text
     5712*152 (Text
    55935713uid 2314,0
    55945714va (VaSet
     
    56005720tm "BdLibraryNameMgr"
    56015721)
    5602 *150 (Text
     5722*153 (Text
    56035723uid 2315,0
    56045724va (VaSet
     
    56105730tm "CptNameMgr"
    56115731)
    5612 *151 (Text
     5732*154 (Text
    56135733uid 2316,0
    56145734va (VaSet
     
    56695789archFileType "UNKNOWN"
    56705790)
    5671 *152 (Net
     5791*155 (Net
    56725792uid 2468,0
    56735793lang 2
     
    56835803font "Courier New,8,0"
    56845804)
    5685 xt "-102000,120800,-79500,121600"
    5686 st "SIGNAL wiz_busy               : std_logic"
    5687 )
    5688 )
    5689 *153 (Net
     5805xt "-102000,121600,-79500,122400"
     5806st "SIGNAL wiz_busy               : std_logic
     5807"
     5808)
     5809)
     5810*156 (Net
    56905811uid 2474,0
    56915812lang 2
     
    57025823font "Courier New,8,0"
    57035824)
    5704 xt "-102000,123200,-58500,124000"
    5705 st "SIGNAL wiz_write_ea           : std_logic                                    := '0'"
    5706 )
    5707 )
    5708 *154 (Net
     5825xt "-102000,124000,-58500,124800"
     5826st "SIGNAL wiz_write_ea           : std_logic                                    := '0'
     5827"
     5828)
     5829)
     5830*157 (Net
    57095831uid 2480,0
    57105832lang 2
     
    57225844font "Courier New,8,0"
    57235845)
    5724 xt "-102000,125600,-52500,126400"
    5725 st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')"
    5726 )
    5727 )
    5728 *155 (Net
     5846xt "-102000,126400,-52500,127200"
     5847st "SIGNAL wiz_write_length       : std_logic_vector(16 downto 0)                := (others => '0')
     5848"
     5849)
     5850)
     5851*158 (Net
    57295852uid 2486,0
    57305853lang 2
     
    57435866font "Courier New,8,0"
    57445867)
    5745 xt "-102000,122400,-52500,123200"
    5746 st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    5747 )
    5748 )
    5749 *156 (Net
     5868xt "-102000,123200,-52500,124000"
     5869st "SIGNAL wiz_ram_start_addr     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
     5870"
     5871)
     5872)
     5873*159 (Net
    57505874uid 2492,0
    57515875lang 2
     
    57635887font "Courier New,8,0"
    57645888)
    5765 xt "-102000,121600,-52500,122400"
    5766 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')"
    5767 )
    5768 )
    5769 *157 (Net
     5889xt "-102000,122400,-52500,123200"
     5890st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0)                 := (others => '0')
     5891"
     5892)
     5893)
     5894*160 (Net
    57705895uid 2498,0
    57715896lang 2
     
    57825907font "Courier New,8,0"
    57835908)
    5784 xt "-102000,124000,-58500,124800"
    5785 st "SIGNAL wiz_write_end          : std_logic                                    := '0'"
    5786 )
    5787 )
    5788 *158 (Net
     5909xt "-102000,124800,-58500,125600"
     5910st "SIGNAL wiz_write_end          : std_logic                                    := '0'
     5911"
     5912)
     5913)
     5914*161 (Net
    57895915uid 2504,0
    57905916lang 2
     
    58015927font "Courier New,8,0"
    58025928)
    5803 xt "-102000,124800,-58500,125600"
    5804 st "SIGNAL wiz_write_header       : std_logic                                    := '0'"
    5805 )
    5806 )
    5807 *159 (Net
     5929xt "-102000,125600,-58500,126400"
     5930st "SIGNAL wiz_write_header       : std_logic                                    := '0'
     5931"
     5932)
     5933)
     5934*162 (Net
    58085935uid 2574,0
    58095936decl (Decl
     
    58185945font "Courier New,8,0"
    58195946)
    5820 xt "-102000,102400,-79500,103200"
    5821 st "SIGNAL ram_write_ea           : std_logic"
    5822 )
    5823 )
    5824 *160 (Net
     5947xt "-102000,103200,-79500,104000"
     5948st "SIGNAL ram_write_ea           : std_logic
     5949"
     5950)
     5951)
     5952*163 (Net
    58255953uid 2580,0
    58265954decl (Decl
     
    58365964font "Courier New,8,0"
    58375965)
    5838 xt "-102000,103200,-58500,104000"
    5839 st "SIGNAL ram_write_ready        : std_logic                                    := '0'"
    5840 )
    5841 )
    5842 *161 (Net
     5966xt "-102000,104000,-58500,104800"
     5967st "SIGNAL ram_write_ready        : std_logic                                    := '0'
     5968"
     5969)
     5970)
     5971*164 (Net
    58435972uid 2586,0
    58445973decl (Decl
     
    58545983font "Courier New,8,0"
    58555984)
    5856 xt "-102000,74400,-58500,75200"
    5857 st "SIGNAL config_start           : std_logic                                    := '0'"
    5858 )
    5859 )
    5860 *162 (Net
     5985xt "-102000,75200,-58500,76000"
     5986st "SIGNAL config_start           : std_logic                                    := '0'
     5987"
     5988)
     5989)
     5990*165 (Net
    58615991uid 2592,0
    58625992decl (Decl
     
    58716001font "Courier New,8,0"
    58726002)
    5873 xt "-102000,68800,-79500,69600"
    5874 st "SIGNAL config_ready           : std_logic"
    5875 )
    5876 )
    5877 *163 (Net
     6003xt "-102000,69600,-79500,70400"
     6004st "SIGNAL config_ready           : std_logic
     6005"
     6006)
     6007)
     6008*166 (Net
    58786009uid 2598,0
    58796010decl (Decl
     
    58886019font "Courier New,8,0"
    58896020)
    5890 xt "-102000,106400,-78000,107200"
    5891 st "SIGNAL roi_max                : roi_max_type"
    5892 )
    5893 )
    5894 *164 (Net
     6021xt "-102000,107200,-78000,108000"
     6022st "SIGNAL roi_max                : roi_max_type
     6023"
     6024)
     6025)
     6026*167 (Net
    58956027uid 2640,0
    58966028decl (Decl
     
    59066038font "Courier New,8,0"
    59076039)
    5908 xt "-102000,96800,-69500,97600"
    5909 st "SIGNAL package_length         : std_logic_vector(15 downto 0)"
    5910 )
    5911 )
    5912 *165 (Net
     6040xt "-102000,97600,-69500,98400"
     6041st "SIGNAL package_length         : std_logic_vector(15 downto 0)
     6042"
     6043)
     6044)
     6045*168 (Net
    59136046uid 2776,0
    59146047decl (Decl
     
    59246057font "Courier New,8,0"
    59256058)
    5926 xt "-102000,38000,-62000,38800"
    5927 st "adc_oeb                : std_logic                                    := '1'"
    5928 )
    5929 )
    5930 *166 (PortIoOut
     6059xt "-102000,38800,-62000,39600"
     6060st "adc_oeb                : std_logic                                    := '1'
     6061"
     6062)
     6063)
     6064*169 (PortIoOut
    59316065uid 2798,0
    59326066shape (CompositeShape
     
    59736107)
    59746108)
    5975 *167 (PortIoIn
     6109*170 (PortIoIn
    59766110uid 2804,0
    59776111shape (CompositeShape
     
    60186152)
    60196153)
    6020 *168 (Net
     6154*171 (Net
    60216155uid 2924,0
    60226156decl (Decl
     
    60316165font "Courier New,8,0"
    60326166)
    6033 xt "-102000,105600,-77000,106400"
    6034 st "SIGNAL roi_array              : roi_array_type"
    6035 )
    6036 )
    6037 *169 (PortIoIn
     6167xt "-102000,106400,-77000,107200"
     6168st "SIGNAL roi_array              : roi_array_type
     6169"
     6170)
     6171)
     6172*172 (PortIoIn
    60386173uid 2950,0
    60396174shape (CompositeShape
     
    60806215)
    60816216)
    6082 *170 (PortIoIn
     6217*173 (PortIoIn
    60836218uid 2956,0
    60846219shape (CompositeShape
     
    61256260)
    61266261)
    6127 *171 (Grouping
     6262*174 (Grouping
    61286263uid 3137,0
    61296264optionalChildren [
    6130 *172 (CommentText
     6265*175 (CommentText
    61316266uid 3139,0
    61326267shape (Rectangle
     
    61596294titleBlock 1
    61606295)
    6161 *173 (CommentText
     6296*176 (CommentText
    61626297uid 3142,0
    61636298shape (Rectangle
     
    61906325titleBlock 1
    61916326)
    6192 *174 (CommentText
     6327*177 (CommentText
    61936328uid 3145,0
    61946329shape (Rectangle
     
    62216356titleBlock 1
    62226357)
    6223 *175 (CommentText
     6358*178 (CommentText
    62246359uid 3148,0
    62256360shape (Rectangle
     
    62526387titleBlock 1
    62536388)
    6254 *176 (CommentText
     6389*179 (CommentText
    62556390uid 3151,0
    62566391shape (Rectangle
     
    62826417titleBlock 1
    62836418)
    6284 *177 (CommentText
     6419*180 (CommentText
    62856420uid 3154,0
    62866421shape (Rectangle
     
    63136448titleBlock 1
    63146449)
    6315 *178 (CommentText
     6450*181 (CommentText
    63166451uid 3157,0
    63176452shape (Rectangle
     
    63456480titleBlock 1
    63466481)
    6347 *179 (CommentText
     6482*182 (CommentText
    63486483uid 3160,0
    63496484shape (Rectangle
     
    63766511titleBlock 1
    63776512)
    6378 *180 (CommentText
     6513*183 (CommentText
    63796514uid 3163,0
    63806515shape (Rectangle
     
    64076542titleBlock 1
    64086543)
    6409 *181 (CommentText
     6544*184 (CommentText
    64106545uid 3166,0
    64116546shape (Rectangle
     
    64516586oxt "14000,66000,55000,71000"
    64526587)
    6453 *182 (Net
     6588*185 (Net
    64546589uid 3894,0
    64556590decl (Decl
     
    64646599font "Courier New,8,0"
    64656600)
    6466 xt "-102000,28400,-83500,29200"
    6467 st "CLK_25_PS              : std_logic"
    6468 )
    6469 )
    6470 *183 (PortIoOut
     6601xt "-102000,29200,-83500,30000"
     6602st "CLK_25_PS              : std_logic
     6603"
     6604)
     6605)
     6606*186 (PortIoOut
    64716607uid 3978,0
    64726608shape (CompositeShape
     
    65136649)
    65146650)
    6515 *184 (Net
     6651*187 (Net
    65166652uid 4068,0
    65176653decl (Decl
     
    65266662font "Courier New,8,0"
    65276663)
    6528 xt "-102000,29200,-83500,30000"
    6529 st "CLK_50                 : std_logic"
    6530 )
    6531 )
    6532 *185 (Net
     6664xt "-102000,30000,-83500,30800"
     6665st "CLK_50                 : std_logic
     6666"
     6667)
     6668)
     6669*188 (Net
    65336670uid 4204,0
    65346671decl (Decl
     
    65436680font "Courier New,8,0"
    65446681)
    6545 xt "-102000,59200,-79500,60000"
    6546 st "SIGNAL CLK_25                 : std_logic"
    6547 )
    6548 )
    6549 *186 (PortIoOut
     6682xt "-102000,60000,-79500,60800"
     6683st "SIGNAL CLK_25                 : std_logic
     6684"
     6685)
     6686)
     6687*189 (PortIoOut
    65506688uid 4220,0
    65516689shape (CompositeShape
     
    65926730)
    65936731)
    6594 *187 (Net
     6732*190 (Net
    65956733uid 4232,0
    65966734decl (Decl
     
    66066744)
    66076745xt "-102000,17200,-83500,18000"
    6608 st "CLK                    : std_logic"
    6609 )
    6610 )
    6611 *188 (Net
     6746st "CLK                    : std_logic
     6747"
     6748)
     6749)
     6750*191 (Net
    66126751uid 4260,0
    66136752decl (Decl
     
    66236762font "Courier New,8,0"
    66246763)
    6625 xt "-102000,22000,-73500,22800"
    6626 st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)"
    6627 )
    6628 )
    6629 *189 (Net
     6764xt "-102000,22800,-73500,23600"
     6765st "adc_otr_array          : std_logic_vector(3 DOWNTO 0)
     6766"
     6767)
     6768)
     6769*192 (Net
    66306770uid 4270,0
    66316771decl (Decl
     
    66406780font "Courier New,8,0"
    66416781)
    6642 xt "-102000,21200,-78000,22000"
    6643 st "adc_data_array         : adc_data_array_type"
    6644 )
    6645 )
    6646 *190 (PortIoIn
     6782xt "-102000,22000,-78000,22800"
     6783st "adc_data_array         : adc_data_array_type
     6784"
     6785)
     6786)
     6787*193 (PortIoIn
    66476788uid 4307,0
    66486789shape (CompositeShape
     
    66896830)
    66906831)
    6691 *191 (Net
     6832*194 (Net
    66926833uid 4399,0
    66936834decl (Decl
     
    67036844font "Courier New,8,0"
    67046845)
    6705 xt "-102000,86400,-58500,87200"
    6706 st "SIGNAL drs_clk_en             : std_logic                                    := '0'"
    6707 )
    6708 )
    6709 *192 (Net
     6846xt "-102000,87200,-58500,88000"
     6847st "SIGNAL drs_clk_en             : std_logic                                    := '0'
     6848"
     6849)
     6850)
     6851*195 (Net
    67106852uid 4405,0
    67116853decl (Decl
     
    67206862font "Courier New,8,0"
    67216863)
    6722 xt "-102000,92800,-73500,93600"
    6723 st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type"
    6724 )
    6725 )
    6726 *193 (Net
     6864xt "-102000,93600,-73500,94400"
     6865st "SIGNAL drs_s_cell_array       : drs_s_cell_array_type
     6866"
     6867)
     6868)
     6869*196 (Net
    67276870uid 4417,0
    67286871decl (Decl
     
    67386881font "Courier New,8,0"
    67396882)
    6740 xt "-102000,87200,-58500,88000"
    6741 st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'"
    6742 )
    6743 )
    6744 *194 (Net
     6883xt "-102000,88000,-58500,88800"
     6884st "SIGNAL drs_read_s_cell        : std_logic                                    := '0'
     6885"
     6886)
     6887)
     6888*197 (Net
    67456889uid 4535,0
    67466890decl (Decl
     
    67576901font "Courier New,8,0"
    67586902)
    6759 xt "-102000,42000,-56000,42800"
    6760 st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')"
    6761 )
    6762 )
    6763 *195 (Net
     6903xt "-102000,42800,-56000,43600"
     6904st "drs_channel_id         : std_logic_vector(3 downto 0)                 := (others => '0')
     6905"
     6906)
     6907)
     6908*198 (Net
    67646909uid 4543,0
    67656910decl (Decl
     
    67756920font "Courier New,8,0"
    67766921)
    6777 xt "-102000,42800,-62000,43600"
    6778 st "drs_dwrite             : std_logic                                    := '1'"
    6779 )
    6780 )
    6781 *196 (PortIoOut
     6922xt "-102000,43600,-62000,44400"
     6923st "drs_dwrite             : std_logic                                    := '1'
     6924"
     6925)
     6926)
     6927*199 (PortIoOut
    67826928uid 4551,0
    67836929shape (CompositeShape
     
    68246970)
    68256971)
    6826 *197 (PortIoOut
     6972*200 (PortIoOut
    68276973uid 4557,0
    68286974shape (CompositeShape
     
    68697015)
    68707016)
    6871 *198 (Net
     7017*201 (Net
    68727018uid 4669,0
    68737019decl (Decl
     
    68827028font "Courier New,8,0"
    68837029)
    6884 xt "-102000,18000,-83500,18800"
    6885 st "SROUT_in_0             : std_logic"
    6886 )
    6887 )
    6888 *199 (Net
     7030xt "-102000,18800,-83500,19600"
     7031st "SROUT_in_0             : std_logic
     7032"
     7033)
     7034)
     7035*202 (Net
    68897036uid 4677,0
    68907037decl (Decl
     
    68997046font "Courier New,8,0"
    69007047)
    6901 xt "-102000,18800,-83500,19600"
    6902 st "SROUT_in_1             : std_logic"
    6903 )
    6904 )
    6905 *200 (Net
     7048xt "-102000,19600,-83500,20400"
     7049st "SROUT_in_1             : std_logic
     7050"
     7051)
     7052)
     7053*203 (Net
    69067054uid 4685,0
    69077055decl (Decl
     
    69167064font "Courier New,8,0"
    69177065)
    6918 xt "-102000,19600,-83500,20400"
    6919 st "SROUT_in_2             : std_logic"
    6920 )
    6921 )
    6922 *201 (Net
     7066xt "-102000,20400,-83500,21200"
     7067st "SROUT_in_2             : std_logic
     7068"
     7069)
     7070)
     7071*204 (Net
    69237072uid 4693,0
    69247073decl (Decl
     
    69337082font "Courier New,8,0"
    69347083)
    6935 xt "-102000,20400,-83500,21200"
    6936 st "SROUT_in_3             : std_logic"
    6937 )
    6938 )
    6939 *202 (PortIoIn
     7084xt "-102000,21200,-83500,22000"
     7085st "SROUT_in_3             : std_logic
     7086"
     7087)
     7088)
     7089*205 (PortIoIn
    69407090uid 4701,0
    69417091shape (CompositeShape
     
    69827132)
    69837133)
    6984 *203 (PortIoIn
     7134*206 (PortIoIn
    69857135uid 4707,0
    69867136shape (CompositeShape
     
    70277177)
    70287178)
    7029 *204 (PortIoIn
     7179*207 (PortIoIn
    70307180uid 4713,0
    70317181shape (CompositeShape
     
    70727222)
    70737223)
    7074 *205 (PortIoIn
     7224*208 (PortIoIn
    70757225uid 4719,0
    70767226shape (CompositeShape
     
    71177267)
    71187268)
    7119 *206 (Net
     7269*209 (Net
    71207270uid 4741,0
    71217271decl (Decl
     
    71307280font "Courier New,8,0"
    71317281)
    7132 xt "-102000,88000,-79500,88800"
    7133 st "SIGNAL drs_read_s_cell_ready  : std_logic"
    7134 )
    7135 )
    7136 *207 (SaComponent
     7282xt "-102000,88800,-79500,89600"
     7283st "SIGNAL drs_read_s_cell_ready  : std_logic
     7284"
     7285)
     7286)
     7287*210 (SaComponent
    71377288uid 4903,0
    71387289optionalChildren [
    7139 *208 (CptPort
     7290*211 (CptPort
    71407291uid 4867,0
    71417292ps "OnEdgeStrategy"
     
    71707321)
    71717322)
    7172 *209 (CptPort
     7323*212 (CptPort
    71737324uid 4871,0
    71747325ps "OnEdgeStrategy"
     
    72047355)
    72057356)
    7206 *210 (CptPort
     7357*213 (CptPort
    72077358uid 4875,0
    72087359ps "OnEdgeStrategy"
     
    72387389)
    72397390)
    7240 *211 (CptPort
     7391*214 (CptPort
    72417392uid 4879,0
    72427393ps "OnEdgeStrategy"
     
    72717422)
    72727423)
    7273 *212 (CptPort
     7424*215 (CptPort
    72747425uid 4883,0
    72757426ps "OnEdgeStrategy"
     
    73047455)
    73057456)
    7306 *213 (CptPort
     7457*216 (CptPort
    73077458uid 4887,0
    73087459ps "OnEdgeStrategy"
     
    73377488)
    73387489)
    7339 *214 (CptPort
     7490*217 (CptPort
    73407491uid 4891,0
    73417492ps "OnEdgeStrategy"
     
    73707521)
    73717522)
    7372 *215 (CptPort
     7523*218 (CptPort
    73737524uid 4895,0
    73747525ps "OnEdgeStrategy"
     
    74057556)
    74067557)
    7407 *216 (CptPort
     7558*219 (CptPort
    74087559uid 4899,0
    74097560ps "OnEdgeStrategy"
     
    74417592)
    74427593)
    7443 *217 (CptPort
     7594*220 (CptPort
    74447595uid 4938,0
    74457596ps "OnEdgeStrategy"
     
    74767627)
    74777628)
    7478 *218 (CptPort
     7629*221 (CptPort
    74797630uid 4942,0
    74807631ps "OnEdgeStrategy"
     
    75117662)
    75127663)
    7513 *219 (CptPort
     7664*222 (CptPort
    75147665uid 10272,0
    75157666ps "OnEdgeStrategy"
     
    75467697)
    75477698)
    7548 *220 (CptPort
     7699*223 (CptPort
    75497700uid 10276,0
    75507701ps "OnEdgeStrategy"
     
    75817732)
    75827733)
    7583 *221 (CptPort
     7734*224 (CptPort
    75847735uid 10280,0
    75857736ps "OnEdgeStrategy"
     
    76177768)
    76187769)
    7619 *222 (CptPort
     7770*225 (CptPort
    76207771uid 10284,0
    76217772ps "OnEdgeStrategy"
     
    76537804)
    76547805)
    7655 *223 (CptPort
     7806*226 (CptPort
    76567807uid 10288,0
    76577808ps "OnEdgeStrategy"
     
    77047855stg "VerticalLayoutStrategy"
    77057856textVec [
    7706 *224 (Text
     7857*227 (Text
    77077858uid 4906,0
    77087859va (VaSet
     
    77147865tm "BdLibraryNameMgr"
    77157866)
    7716 *225 (Text
     7867*228 (Text
    77177868uid 4907,0
    77187869va (VaSet
     
    77247875tm "CptNameMgr"
    77257876)
    7726 *226 (Text
     7877*229 (Text
    77277878uid 4908,0
    77287879va (VaSet
     
    77717922archFileType "UNKNOWN"
    77727923)
    7773 *227 (Net
     7924*230 (Net
    77747925uid 4946,0
    77757926decl (Decl
     
    77857936font "Courier New,8,0"
    77867937)
    7787 xt "-102000,34800,-62000,35600"
    7788 st "RSRLOAD                : std_logic                                    := '0'"
    7789 )
    7790 )
    7791 *228 (PortIoOut
     7938xt "-102000,35600,-62000,36400"
     7939st "RSRLOAD                : std_logic                                    := '0'
     7940"
     7941)
     7942)
     7943*231 (PortIoOut
    77927944uid 4954,0
    77937945shape (CompositeShape
     
    78347986)
    78357987)
    7836 *229 (Net
     7988*232 (Net
    78377989uid 4960,0
    78387990decl (Decl
     
    78488000font "Courier New,8,0"
    78498001)
    7850 xt "-102000,35600,-62000,36400"
    7851 st "SRCLK                  : std_logic                                    := '0'"
    7852 )
    7853 )
    7854 *230 (PortIoOut
     8002xt "-102000,36400,-62000,37200"
     8003st "SRCLK                  : std_logic                                    := '0'
     8004"
     8005)
     8006)
     8007*233 (PortIoOut
    78558008uid 4968,0
    78568009shape (CompositeShape
     
    78978050)
    78988051)
    7899 *231 (SaComponent
     8052*234 (SaComponent
    79008053uid 5072,0
    79018054optionalChildren [
    7902 *232 (CptPort
     8055*235 (CptPort
    79038056uid 5028,0
    79048057ps "OnEdgeStrategy"
     
    79348087)
    79358088)
    7936 *233 (CptPort
     8089*236 (CptPort
    79378090uid 5032,0
    79388091ps "OnEdgeStrategy"
     
    79708123)
    79718124)
    7972 *234 (CptPort
     8125*237 (CptPort
    79738126uid 5036,0
    79748127ps "OnEdgeStrategy"
     
    80068159)
    80078160)
    8008 *235 (CptPort
     8161*238 (CptPort
    80098162uid 5040,0
    80108163ps "OnEdgeStrategy"
     
    80428195)
    80438196)
    8044 *236 (CptPort
     8197*239 (CptPort
    80458198uid 5044,0
    80468199ps "OnEdgeStrategy"
     
    80798232)
    80808233)
    8081 *237 (CptPort
     8234*240 (CptPort
    80828235uid 5048,0
    80838236ps "OnEdgeStrategy"
     
    81148267)
    81158268)
    8116 *238 (CptPort
     8269*241 (CptPort
    81178270uid 5052,0
    81188271ps "OnEdgeStrategy"
     
    81498302)
    81508303)
    8151 *239 (CptPort
     8304*242 (CptPort
    81528305uid 5056,0
    81538306ps "OnEdgeStrategy"
     
    81848337)
    81858338)
    8186 *240 (CptPort
     8339*243 (CptPort
    81878340uid 5060,0
    81888341ps "OnEdgeStrategy"
     
    82198372)
    82208373)
    8221 *241 (CptPort
     8374*244 (CptPort
    82228375uid 5064,0
    82238376ps "OnEdgeStrategy"
     
    82538406)
    82548407)
    8255 *242 (CptPort
     8408*245 (CptPort
    82568409uid 5068,0
    82578410ps "OnEdgeStrategy"
     
    82888441)
    82898442)
    8290 *243 (CptPort
     8443*246 (CptPort
    82918444uid 5995,0
    82928445ps "OnEdgeStrategy"
     
    83248477)
    83258478)
    8326 *244 (CptPort
     8479*247 (CptPort
    83278480uid 10184,0
    83288481ps "OnEdgeStrategy"
     
    83648517)
    83658518)
    8366 *245 (CptPort
     8519*248 (CptPort
    83678520uid 10188,0
    83688521ps "OnEdgeStrategy"
     
    84218574stg "VerticalLayoutStrategy"
    84228575textVec [
    8423 *246 (Text
     8576*249 (Text
    84248577uid 5075,0
    84258578va (VaSet
     
    84318584tm "BdLibraryNameMgr"
    84328585)
    8433 *247 (Text
     8586*250 (Text
    84348587uid 5076,0
    84358588va (VaSet
     
    84418594tm "CptNameMgr"
    84428595)
    8443 *248 (Text
     8596*251 (Text
    84448597uid 5077,0
    84458598va (VaSet
     
    84878640archFileType "UNKNOWN"
    84888641)
    8489 *249 (Net
     8642*252 (Net
    84908643uid 5088,0
    84918644decl (Decl
     
    85018654font "Courier New,8,0"
    85028655)
    8503 xt "-102000,64800,-70000,65600"
    8504 st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)"
    8505 )
    8506 )
    8507 *250 (Net
     8656xt "-102000,65600,-70000,66400"
     8657st "SIGNAL config_addr            : std_logic_vector(7 DOWNTO 0)
     8658"
     8659)
     8660)
     8661*253 (Net
    85088662uid 5096,0
    85098663decl (Decl
     
    85188672font "Courier New,8,0"
    85198673)
    8520 xt "-102000,67200,-79500,68000"
    8521 st "SIGNAL config_data_valid      : std_logic"
    8522 )
    8523 )
    8524 *251 (Net
     8674xt "-102000,68000,-79500,68800"
     8675st "SIGNAL config_data_valid      : std_logic
     8676"
     8677)
     8678)
     8679*254 (Net
    85258680uid 5104,0
    85268681decl (Decl
     
    85358690font "Courier New,8,0"
    85368691)
    8537 xt "-102000,65600,-79500,66400"
    8538 st "SIGNAL config_busy            : std_logic"
    8539 )
    8540 )
    8541 *252 (Net
     8692xt "-102000,66400,-79500,67200"
     8693st "SIGNAL config_busy            : std_logic
     8694"
     8695)
     8696)
     8697*255 (Net
    85428698uid 5112,0
    85438699decl (Decl
     
    85538709font "Courier New,8,0"
    85548710)
    8555 xt "-102000,66400,-69500,67200"
    8556 st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)"
    8557 )
    8558 )
    8559 *253 (Net
     8711xt "-102000,67200,-69500,68000"
     8712st "SIGNAL config_data            : std_logic_vector(15 DOWNTO 0)
     8713"
     8714)
     8715)
     8716*256 (Net
    85608717uid 5120,0
    85618718decl (Decl
     
    85708727font "Courier New,8,0"
    85718728)
    8572 xt "-102000,80000,-79500,80800"
    8573 st "SIGNAL config_wr_en           : std_logic"
    8574 )
    8575 )
    8576 *254 (Net
     8729xt "-102000,80800,-79500,81600"
     8730st "SIGNAL config_wr_en           : std_logic
     8731"
     8732)
     8733)
     8734*257 (Net
    85778735uid 5128,0
    85788736decl (Decl
     
    85878745font "Courier New,8,0"
    85888746)
    8589 xt "-102000,68000,-79500,68800"
    8590 st "SIGNAL config_rd_en           : std_logic"
    8591 )
    8592 )
    8593 *255 (Net
     8747xt "-102000,68800,-79500,69600"
     8748st "SIGNAL config_rd_en           : std_logic
     8749"
     8750)
     8751)
     8752*258 (Net
    85948753uid 5144,0
    85958754decl (Decl
     
    86048763font "Courier New,8,0"
    86058764)
    8606 xt "-102000,80800,-77000,81600"
    8607 st "SIGNAL dac_array              : dac_array_type"
    8608 )
    8609 )
    8610 *256 (Net
     8765xt "-102000,81600,-77000,82400"
     8766st "SIGNAL dac_array              : dac_array_type
     8767"
     8768)
     8769)
     8770*259 (Net
    86118771uid 5194,0
    86128772decl (Decl
     
    86218781font "Courier New,8,0"
    86228782)
    8623 xt "-102000,75200,-79500,76000"
    8624 st "SIGNAL config_start_cm        : std_logic"
    8625 )
    8626 )
    8627 *257 (Net
     8783xt "-102000,76000,-79500,76800"
     8784st "SIGNAL config_start_cm        : std_logic
     8785"
     8786)
     8787)
     8788*260 (Net
    86288789uid 5196,0
    86298790decl (Decl
     
    86388799font "Courier New,8,0"
    86398800)
    8640 xt "-102000,69600,-79500,70400"
    8641 st "SIGNAL config_ready_cm        : std_logic"
    8642 )
    8643 )
    8644 *258 (Net
     8801xt "-102000,70400,-79500,71200"
     8802st "SIGNAL config_ready_cm        : std_logic
     8803"
     8804)
     8805)
     8806*261 (Net
    86458807uid 5220,0
    86468808decl (Decl
     
    86588820font "Courier New,8,0"
    86598821)
    8660 xt "-102000,44400,-56000,45200"
    8661 st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    8662 )
    8663 )
    8664 *259 (Net
     8822xt "-102000,45200,-56000,46000"
     8823st "led                    : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
     8824"
     8825)
     8826)
     8827*262 (Net
    86658828uid 5472,0
    86668829decl (Decl
     
    86758838font "Courier New,8,0"
    86768839)
    8677 xt "-102000,111200,-79500,112000"
    8678 st "SIGNAL sensor_ready           : std_logic"
    8679 )
    8680 )
    8681 *260 (Net
     8840xt "-102000,112000,-79500,112800"
     8841st "SIGNAL sensor_ready           : std_logic
     8842"
     8843)
     8844)
     8845*263 (Net
    86828846uid 5478,0
    86838847decl (Decl
     
    86928856font "Courier New,8,0"
    86938857)
    8694 xt "-102000,110400,-75500,111200"
    8695 st "SIGNAL sensor_array           : sensor_array_type"
    8696 )
    8697 )
    8698 *261 (Net
     8858xt "-102000,111200,-75500,112000"
     8859st "SIGNAL sensor_array           : sensor_array_type
     8860"
     8861)
     8862)
     8863*264 (Net
    86998864uid 5588,0
    87008865decl (Decl
     
    87098874font "Courier New,8,0"
    87108875)
    8711 xt "-102000,70400,-79500,71200"
    8712 st "SIGNAL config_ready_spi       : std_logic"
    8713 )
    8714 )
    8715 *262 (Net
     8876xt "-102000,71200,-79500,72000"
     8877st "SIGNAL config_ready_spi       : std_logic
     8878"
     8879)
     8880)
     8881*265 (Net
    87168882uid 5632,0
    87178883lang 10
     
    87288894font "Courier New,8,0"
    87298895)
    8730 xt "-102000,61600,-70000,62400"
    8731 st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)"
    8732 )
    8733 )
    8734 *263 (Net
     8896xt "-102000,62400,-70000,63200"
     8897st "SIGNAL adc_otr                : std_logic_vector(3 DOWNTO 0)
     8898"
     8899)
     8900)
     8901*266 (Net
    87358902uid 5640,0
    87368903decl (Decl
     
    87458912font "Courier New,8,0"
    87468913)
    8747 xt "-102000,60800,-74500,61600"
    8748 st "SIGNAL adc_data_array_int     : adc_data_array_type"
    8749 )
    8750 )
    8751 *264 (SaComponent
     8914xt "-102000,61600,-74500,62400"
     8915st "SIGNAL adc_data_array_int     : adc_data_array_type
     8916"
     8917)
     8918)
     8919*267 (SaComponent
    87528920uid 5678,0
    87538921optionalChildren [
    8754 *265 (CptPort
     8922*268 (CptPort
    87558923uid 5658,0
    87568924ps "OnEdgeStrategy"
     
    87878955)
    87888956)
    8789 *266 (CptPort
     8957*269 (CptPort
    87908958uid 5662,0
    87918959ps "OnEdgeStrategy"
     
    88248992)
    88258993)
    8826 *267 (CptPort
     8994*270 (CptPort
    88278995uid 5666,0
    88288996ps "OnEdgeStrategy"
     
    88639031)
    88649032)
    8865 *268 (CptPort
     9033*271 (CptPort
    88669034uid 5670,0
    88679035ps "OnEdgeStrategy"
     
    88999067)
    89009068)
    8901 *269 (CptPort
     9069*272 (CptPort
    89029070uid 5674,0
    89039071ps "OnEdgeStrategy"
     
    89529120stg "VerticalLayoutStrategy"
    89539121textVec [
    8954 *270 (Text
     9122*273 (Text
    89559123uid 5681,0
    89569124va (VaSet
     
    89629130tm "BdLibraryNameMgr"
    89639131)
    8964 *271 (Text
     9132*274 (Text
    89659133uid 5682,0
    89669134va (VaSet
     
    89729140tm "CptNameMgr"
    89739141)
    8974 *272 (Text
     9142*275 (Text
    89759143uid 5683,0
    89769144va (VaSet
     
    90219189archFileType "UNKNOWN"
    90229190)
    9023 *273 (Net
     9191*276 (Net
    90249192uid 5743,0
    90259193decl (Decl
     
    90359203font "Courier New,8,0"
    90369204)
    9037 xt "-102000,76000,-58500,76800"
    9038 st "SIGNAL config_start_spi       : std_logic                                    := '0'"
    9039 )
    9040 )
    9041 *274 (SaComponent
     9205xt "-102000,76800,-58500,77600"
     9206st "SIGNAL config_start_spi       : std_logic                                    := '0'
     9207"
     9208)
     9209)
     9210*277 (SaComponent
    90429211uid 5793,0
    90439212optionalChildren [
    9044 *275 (CptPort
     9213*278 (CptPort
    90459214uid 5753,0
    90469215ps "OnEdgeStrategy"
     
    90779246)
    90789247)
    9079 *276 (CptPort
     9248*279 (CptPort
    90809249uid 5761,0
    90819250ps "OnEdgeStrategy"
     
    91129281)
    91139282)
    9114 *277 (CptPort
     9283*280 (CptPort
    91159284uid 5765,0
    91169285ps "OnEdgeStrategy"
     
    91489317)
    91499318)
    9150 *278 (CptPort
     9319*281 (CptPort
    91519320uid 5769,0
    91529321ps "OnEdgeStrategy"
     
    91839352)
    91849353)
    9185 *279 (CptPort
     9354*282 (CptPort
    91869355uid 5773,0
    91879356ps "OnEdgeStrategy"
     
    92199388)
    92209389)
    9221 *280 (CptPort
     9390*283 (CptPort
    92229391uid 5777,0
    92239392ps "OnEdgeStrategy"
     
    92559424)
    92569425)
    9257 *281 (CptPort
     9426*284 (CptPort
    92589427uid 5781,0
    92599428ps "OnEdgeStrategy"
     
    92909459)
    92919460)
    9292 *282 (CptPort
     9461*285 (CptPort
    92939462uid 5785,0
    92949463ps "OnEdgeStrategy"
     
    93269495)
    93279496)
    9328 *283 (CptPort
     9497*286 (CptPort
    93299498uid 5789,0
    93309499ps "OnEdgeStrategy"
     
    93629531)
    93639532)
    9364 *284 (CptPort
     9533*287 (CptPort
    93659534uid 5986,0
    93669535ps "OnEdgeStrategy"
     
    93999568)
    94009569)
    9401 *285 (CptPort
     9570*288 (CptPort
    94029571uid 6154,0
    94039572ps "OnEdgeStrategy"
     
    94359604)
    94369605)
    9437 *286 (CptPort
     9606*289 (CptPort
    94389607uid 6317,0
    94399608ps "OnEdgeStrategy"
     
    94899658stg "VerticalLayoutStrategy"
    94909659textVec [
    9491 *287 (Text
     9660*290 (Text
    94929661uid 5796,0
    94939662va (VaSet
     
    94999668tm "BdLibraryNameMgr"
    95009669)
    9501 *288 (Text
     9670*291 (Text
    95029671uid 5797,0
    95039672va (VaSet
     
    95099678tm "CptNameMgr"
    95109679)
    9511 *289 (Text
     9680*292 (Text
    95129681uid 5798,0
    95139682va (VaSet
     
    95559724archFileType "UNKNOWN"
    95569725)
    9557 *290 (Net
     9726*293 (Net
    95589727uid 5811,0
    95599728decl (Decl
     
    95689737font "Courier New,8,0"
    95699738)
    9570 xt "-102000,48400,-83500,49200"
    9571 st "sclk                   : std_logic"
    9572 )
    9573 )
    9574 *291 (Net
     9739xt "-102000,49200,-83500,50000"
     9740st "sclk                   : std_logic
     9741"
     9742)
     9743)
     9744*294 (Net
    95759745uid 5819,0
    95769746decl (Decl
     
    95879757font "Courier New,8,0"
    95889758)
    9589 xt "-102000,55600,-83500,56400"
    9590 st "sio                    : std_logic"
    9591 )
    9592 )
    9593 *292 (Net
     9759xt "-102000,56400,-83500,57200"
     9760st "sio                    : std_logic
     9761"
     9762)
     9763)
     9764*295 (Net
    95949765uid 5827,0
    95959766decl (Decl
     
    96049775font "Courier New,8,0"
    96059776)
    9606 xt "-102000,40400,-83500,41200"
    9607 st "dac_cs                 : std_logic"
    9608 )
    9609 )
    9610 *293 (Net
     9777xt "-102000,41200,-83500,42000"
     9778st "dac_cs                 : std_logic
     9779"
     9780)
     9781)
     9782*296 (Net
    96119783uid 5835,0
    96129784decl (Decl
     
    96229794font "Courier New,8,0"
    96239795)
    9624 xt "-102000,49200,-73500,50000"
    9625 st "sensor_cs              : std_logic_vector(3 DOWNTO 0)"
    9626 )
    9627 )
    9628 *294 (PortIoOut
     9796xt "-102000,50000,-73500,50800"
     9797st "sensor_cs              : std_logic_vector(3 DOWNTO 0)
     9798"
     9799)
     9800)
     9801*297 (PortIoOut
    96299802uid 5843,0
    96309803shape (CompositeShape
     
    96719844)
    96729845)
    9673 *295 (PortIoInOut
     9846*298 (PortIoInOut
    96749847uid 5849,0
    96759848shape (CompositeShape
     
    97169889)
    97179890)
    9718 *296 (PortIoOut
     9891*299 (PortIoOut
    97199892uid 5855,0
    97209893shape (CompositeShape
     
    97619934)
    97629935)
    9763 *297 (PortIoOut
     9936*300 (PortIoOut
    97649937uid 5861,0
    97659938shape (CompositeShape
     
    98069979)
    98079980)
    9808 *298 (Net
     9981*301 (Net
    98099982uid 5948,0
    98109983decl (Decl
     
    98209993font "Courier New,8,0"
    98219994)
    9822 xt "-102000,96000,-58500,96800"
    9823 st "SIGNAL new_config             : std_logic                                    := '0'"
    9824 )
    9825 )
    9826 *299 (Net
     9995xt "-102000,96800,-58500,97600"
     9996st "SIGNAL new_config             : std_logic                                    := '0'
     9997"
     9998)
     9999)
     10000*302 (Net
    982710001uid 5960,0
    982810002decl (Decl
     
    983710011font "Courier New,8,0"
    983810012)
    9839 xt "-102000,76800,-79500,77600"
    9840 st "SIGNAL config_started         : std_logic"
    9841 )
    9842 )
    9843 *300 (Net
     10013xt "-102000,77600,-79500,78400"
     10014st "SIGNAL config_started         : std_logic
     10015"
     10016)
     10017)
     10018*303 (Net
    984410019uid 6012,0
    984510020decl (Decl
     
    985510030font "Courier New,8,0"
    985610031)
    9857 xt "-102000,79200,-58500,80000"
    9858 st "SIGNAL config_started_spi     : std_logic                                    := '0'"
    9859 )
    9860 )
    9861 *301 (Net
     10032xt "-102000,80000,-58500,80800"
     10033st "SIGNAL config_started_spi     : std_logic                                    := '0'
     10034"
     10035)
     10036)
     10037*304 (Net
    986210038uid 6014,0
    986310039decl (Decl
     
    987310049font "Courier New,8,0"
    987410050)
    9875 xt "-102000,77600,-58500,78400"
    9876 st "SIGNAL config_started_cu      : std_logic                                    := '0'"
    9877 )
    9878 )
    9879 *302 (Net
     10051xt "-102000,78400,-58500,79200"
     10052st "SIGNAL config_started_cu      : std_logic                                    := '0'
     10053"
     10054)
     10055)
     10056*305 (Net
    988010057uid 6016,0
    988110058decl (Decl
     
    989010067font "Courier New,8,0"
    989110068)
    9892 xt "-102000,78400,-79500,79200"
    9893 st "SIGNAL config_started_mm      : std_logic"
    9894 )
    9895 )
    9896 *303 (Net
     10069xt "-102000,79200,-79500,80000"
     10070st "SIGNAL config_started_mm      : std_logic
     10071"
     10072)
     10073)
     10074*306 (Net
    989710075uid 6158,0
    989810076decl (Decl
     
    990810086font "Courier New,8,0"
    990910087)
    9910 xt "-102000,45200,-62000,46000"
    9911 st "mosi                   : std_logic                                    := '0'"
    9912 )
    9913 )
    9914 *304 (PortIoOut
     10088xt "-102000,46000,-62000,46800"
     10089st "mosi                   : std_logic                                    := '0'
     10090"
     10091)
     10092)
     10093*307 (PortIoOut
    991510094uid 6166,0
    991610095shape (CompositeShape
     
    995710136)
    995810137)
    9959 *305 (Net
     10138*308 (Net
    996010139uid 6360,0
    996110140decl (Decl
     
    997310152font "Courier New,8,0"
    997410153)
    9975 xt "-102000,41200,-48500,42000"
    9976 st "denable                : std_logic                                    := '0' -- default domino wave off"
    9977 )
    9978 )
    9979 *306 (PortIoOut
     10154xt "-102000,42000,-48500,42800"
     10155st "denable                : std_logic                                    := '0' -- default domino wave off
     10156"
     10157)
     10158)
     10159*309 (PortIoOut
    998010160uid 6368,0
    998110161shape (CompositeShape
     
    999010170sl 0
    999110171ro 270
    9992 xt "154500,74625,156000,75375"
     10172xt "169500,73625,171000,74375"
    999310173)
    999410174(Line
     
    999610176sl 0
    999710177ro 270
    9998 xt "154000,75000,154500,75000"
    9999 pts [
    10000 "154000,75000"
    10001 "154500,75000"
     10178xt "169000,74000,169500,74000"
     10179pts [
     10180"169000,74000"
     10181"169500,74000"
    1000210182]
    1000310183)
     
    1001410194va (VaSet
    1001510195)
    10016 xt "157000,74500,160000,75500"
     10196xt "172000,73500,175000,74500"
    1001710197st "denable"
    10018 blo "157000,75300"
     10198blo "172000,74300"
    1001910199tm "WireNameMgr"
    1002010200)
    1002110201)
    1002210202)
    10023 *307 (Net
     10203*310 (Net
    1002410204uid 6450,0
    1002510205decl (Decl
     
    1003510215font "Courier New,8,0"
    1003610216)
    10037 xt "-102000,95200,-58500,96000"
    10038 st "SIGNAL dwrite_enable          : std_logic                                    := '1'"
    10039 )
    10040 )
    10041 *308 (MWC
     10217xt "-102000,96000,-58500,96800"
     10218st "SIGNAL dwrite_enable          : std_logic                                    := '1'
     10219"
     10220)
     10221)
     10222*311 (MWC
    1004210223uid 6529,0
    1004310224optionalChildren [
    10044 *309 (CptPort
     10225*312 (CptPort
    1004510226uid 6501,0
    1004610227optionalChildren [
    10047 *310 (Line
     10228*313 (Line
    1004810229uid 6505,0
    1004910230layer 5
     
    1005810239]
    1005910240)
    10060 *311 (Property
     10241*314 (Property
    1006110242uid 6506,0
    1006210243pclass "_MW_GEOM_"
     
    1010310284)
    1010410285)
    10105 *312 (CptPort
     10286*315 (CptPort
    1010610287uid 6507,0
    1010710288optionalChildren [
    10108 *313 (Line
     10289*316 (Line
    1010910290uid 6511,0
    1011010291layer 5
     
    1015810339)
    1015910340)
    10160 *314 (CptPort
     10341*317 (CptPort
    1016110342uid 6512,0
    1016210343optionalChildren [
    10163 *315 (Line
     10344*318 (Line
    1016410345uid 6516,0
    1016510346layer 5
     
    1021310394)
    1021410395)
    10215 *316 (CommentGraphic
     10396*319 (CommentGraphic
    1021610397uid 6517,0
    1021710398optionalChildren [
    10218 *317 (Property
     10399*320 (Property
    1021910400uid 6519,0
    1022010401pclass "_MW_GEOM_"
     
    1024010421oxt "11000,10000,11000,10000"
    1024110422)
    10242 *318 (CommentGraphic
     10423*321 (CommentGraphic
    1024310424uid 6520,0
    1024410425optionalChildren [
    10245 *319 (Property
     10426*322 (Property
    1024610427uid 6522,0
    1024710428pclass "_MW_GEOM_"
     
    1026710448oxt "11000,6000,11000,6000"
    1026810449)
    10269 *320 (Grouping
     10450*323 (Grouping
    1027010451uid 6523,0
    1027110452optionalChildren [
    10272 *321 (CommentGraphic
     10453*324 (CommentGraphic
    1027310454uid 6525,0
    1027410455shape (PolyLine2D
     
    1029110472oxt "9000,6000,11000,10000"
    1029210473)
    10293 *322 (CommentGraphic
     10474*325 (CommentGraphic
    1029410475uid 6527,0
    1029510476shape (Arc2D
     
    1034410525stg "VerticalLayoutStrategy"
    1034510526textVec [
    10346 *323 (Text
     10527*326 (Text
    1034710528uid 6532,0
    1034810529va (VaSet
     
    1035410535blo "3500,59300"
    1035510536)
    10356 *324 (Text
     10537*327 (Text
    1035710538uid 6533,0
    1035810539va (VaSet
     
    1036310544blo "3500,60300"
    1036410545)
    10365 *325 (Text
     10546*328 (Text
    1036610547uid 6534,0
    1036710548va (VaSet
     
    1040810589)
    1040910590)
    10410 *326 (Net
     10591*329 (Net
    1041110592uid 6544,0
    1041210593decl (Decl
     
    1042210603font "Courier New,8,0"
    1042310604)
    10424 xt "-102000,94400,-58500,95200"
    10425 st "SIGNAL dwrite                 : std_logic                                    := '1'"
    10426 )
    10427 )
    10428 *327 (SaComponent
     10605xt "-102000,95200,-58500,96000"
     10606st "SIGNAL dwrite                 : std_logic                                    := '1'
     10607"
     10608)
     10609)
     10610*330 (SaComponent
    1042910611uid 8277,0
    1043010612optionalChildren [
    10431 *328 (CptPort
     10613*331 (CptPort
    1043210614uid 8246,0
    1043310615ps "OnEdgeStrategy"
     
    1046610648)
    1046710649)
    10468 *329 (CptPort
     10650*332 (CptPort
    1046910651uid 8250,0
    1047010652ps "OnEdgeStrategy"
     
    1050410686)
    1050510687)
    10506 *330 (CptPort
     10688*333 (CptPort
    1050710689uid 8254,0
    1050810690ps "OnEdgeStrategy"
     
    1054210724)
    1054310725)
    10544 *331 (CptPort
     10726*334 (CptPort
    1054510727uid 8258,0
    1054610728ps "OnEdgeStrategy"
     
    1058010762)
    1058110763)
    10582 *332 (CptPort
     10764*335 (CptPort
    1058310765uid 8262,0
    1058410766ps "OnEdgeStrategy"
     
    1061810800)
    1061910801)
    10620 *333 (CptPort
     10802*336 (CptPort
    1062110803uid 8266,0
    1062210804ps "OnEdgeStrategy"
     
    1065710839)
    1065810840)
    10659 *334 (CptPort
     10841*337 (CptPort
    1066010842uid 8270,0
    1066110843ps "OnEdgeStrategy"
     
    1071410896stg "VerticalLayoutStrategy"
    1071510897textVec [
    10716 *335 (Text
     10898*338 (Text
    1071710899uid 8280,0
    1071810900va (VaSet
     
    1072410906tm "BdLibraryNameMgr"
    1072510907)
    10726 *336 (Text
     10908*339 (Text
    1072710909uid 8281,0
    1072810910va (VaSet
     
    1073410916tm "CptNameMgr"
    1073510917)
    10736 *337 (Text
     10918*340 (Text
    1073710919uid 8282,0
    1073810920va (VaSet
     
    1078210964archFileType "UNKNOWN"
    1078310965)
    10784 *338 (Net
     10966*341 (Net
    1078510967uid 8414,0
    1078610968lang 2
     
    1079610978font "Courier New,8,0"
    1079710979)
    10798 xt "-102000,120000,-79500,120800"
    10799 st "SIGNAL wiz_ack                : std_logic"
    10800 )
    10801 )
    10802 *339 (Net
     10980xt "-102000,120800,-79500,121600"
     10981st "SIGNAL wiz_ack                : std_logic
     10982"
     10983)
     10984)
     10985*342 (Net
    1080310986uid 8508,0
    1080410987decl (Decl
     
    1081510998font "Courier New,8,0"
    1081610999)
    10817 xt "-102000,84000,-52500,84800"
    10818 st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
    10819 )
    10820 )
    10821 *340 (Net
     11000xt "-102000,84800,-52500,85600"
     11001st "SIGNAL drs_address            : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     11002"
     11003)
     11004)
     11005*343 (Net
    1082211006uid 8516,0
    1082311007decl (Decl
     
    1083211016font "Courier New,8,0"
    1083311017)
    10834 xt "-102000,84800,-79500,85600"
    10835 st "SIGNAL drs_address_mode       : std_logic"
    10836 )
    10837 )
    10838 *341 (MWC
     11018xt "-102000,85600,-79500,86400"
     11019st "SIGNAL drs_address_mode       : std_logic
     11020"
     11021)
     11022)
     11023*344 (MWC
    1083911024uid 8562,0
    1084011025optionalChildren [
    10841 *342 (CptPort
     11026*345 (CptPort
    1084211027uid 8524,0
    1084311028optionalChildren [
    10844 *343 (Line
     11029*346 (Line
    1084511030uid 8528,0
    1084611031layer 5
     
    1090611091)
    1090711092)
    10908 *344 (CptPort
     11093*347 (CptPort
    1090911094uid 8529,0
    1091011095optionalChildren [
    10911 *345 (Line
     11096*348 (Line
    1091211097uid 8533,0
    1091311098layer 5
     
    1092311108]
    1092411109)
    10925 *346 (Property
     11110*349 (Property
    1092611111uid 8534,0
    1092711112pclass "_MW_GEOM_"
     
    1097811163)
    1097911164)
    10980 *347 (CptPort
     11165*350 (CptPort
    1098111166uid 8535,0
    1098211167optionalChildren [
    10983 *348 (Line
     11168*351 (Line
    1098411169uid 8539,0
    1098511170layer 5
     
    1104511230)
    1104611231)
    11047 *349 (CptPort
     11232*352 (CptPort
    1104811233uid 8540,0
    1104911234optionalChildren [
    11050 *350 (Line
     11235*353 (Line
    1105111236uid 8544,0
    1105211237layer 5
     
    1110811293)
    1110911294)
    11110 *351 (CommentGraphic
     11295*354 (CommentGraphic
    1111111296uid 8545,0
    1111211297shape (CustomPolygon
     
    1113111316oxt "7000,7000,9000,11000"
    1113211317)
    11133 *352 (CommentGraphic
     11318*355 (CommentGraphic
    1113411319uid 8547,0
    1113511320optionalChildren [
    11136 *353 (Property
     11321*356 (Property
    1113711322uid 8549,0
    1113811323pclass "_MW_GEOM_"
     
    1115811343oxt "9000,7000,9000,7000"
    1115911344)
    11160 *354 (CommentGraphic
     11345*357 (CommentGraphic
    1116111346uid 8550,0
    1116211347optionalChildren [
    11163 *355 (Property
     11348*358 (Property
    1116411349uid 8552,0
    1116511350pclass "_MW_GEOM_"
     
    1118511370oxt "9000,11000,9000,11000"
    1118611371)
    11187 *356 (CommentText
     11372*359 (CommentText
    1118811373uid 8553,0
    1118911374shape (Rectangle
     
    1121611401)
    1121711402)
    11218 *357 (CommentText
     11403*360 (CommentText
    1121911404uid 8556,0
    1122011405shape (Rectangle
     
    1124811433)
    1124911434)
    11250 *358 (CommentText
     11435*361 (CommentText
    1125111436uid 8559,0
    1125211437shape (Rectangle
     
    1129911484stg "VerticalLayoutStrategy"
    1130011485textVec [
    11301 *359 (Text
     11486*362 (Text
    1130211487uid 8565,0
    1130311488va (VaSet
     
    1130911494blo "-30650,102900"
    1131011495)
    11311 *360 (Text
     11496*363 (Text
    1131211497uid 8566,0
    1131311498va (VaSet
     
    1131811503blo "-30650,103900"
    1131911504)
    11320 *361 (Text
     11505*364 (Text
    1132111506uid 8567,0
    1132211507va (VaSet
     
    1136411549)
    1136511550)
    11366 *362 (Net
     11551*365 (Net
    1136711552uid 8583,0
    1136811553decl (Decl
     
    1137911564font "Courier New,8,0"
    1138011565)
    11381 xt "-102000,85600,-52500,86400"
    11382 st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')"
    11383 )
    11384 )
    11385 *363 (MWC
     11566xt "-102000,86400,-52500,87200"
     11567st "SIGNAL drs_channel_internal   : std_logic_vector(3 DOWNTO 0)                 := (others => '0')
     11568"
     11569)
     11570)
     11571*366 (MWC
    1138611572uid 8721,0
    1138711573optionalChildren [
    11388 *364 (CptPort
     11574*367 (CptPort
    1138911575uid 8693,0
    1139011576optionalChildren [
    11391 *365 (Line
     11577*368 (Line
    1139211578uid 8697,0
    1139311579layer 5
     
    1140211588]
    1140311589)
    11404 *366 (Property
     11590*369 (Property
    1140511591uid 8698,0
    1140611592pclass "_MW_GEOM_"
     
    1144611632)
    1144711633)
    11448 *367 (CptPort
     11634*370 (CptPort
    1144911635uid 8699,0
    1145011636optionalChildren [
    11451 *368 (Line
     11637*371 (Line
    1145211638uid 8703,0
    1145311639layer 5
     
    1150011686)
    1150111687)
    11502 *369 (CptPort
     11688*372 (CptPort
    1150311689uid 8704,0
    1150411690optionalChildren [
    11505 *370 (Line
     11691*373 (Line
    1150611692uid 8708,0
    1150711693layer 5
     
    1155411740)
    1155511741)
    11556 *371 (CommentGraphic
     11742*374 (CommentGraphic
    1155711743uid 8709,0
    1155811744optionalChildren [
    11559 *372 (Property
     11745*375 (Property
    1156011746uid 8711,0
    1156111747pclass "_MW_GEOM_"
     
    1158111767oxt "11000,6000,11000,6000"
    1158211768)
    11583 *373 (CommentGraphic
     11769*376 (CommentGraphic
    1158411770uid 8712,0
    1158511771optionalChildren [
    11586 *374 (Property
     11772*377 (Property
    1158711773uid 8714,0
    1158811774pclass "_MW_GEOM_"
     
    1160811794oxt "11000,10000,11000,10000"
    1160911795)
    11610 *375 (Grouping
     11796*378 (Grouping
    1161111797uid 8715,0
    1161211798optionalChildren [
    11613 *376 (CommentGraphic
     11799*379 (CommentGraphic
    1161411800uid 8717,0
    1161511801shape (PolyLine2D
     
    1163211818oxt "9000,6000,11000,10000"
    1163311819)
    11634 *377 (CommentGraphic
     11820*380 (CommentGraphic
    1163511821uid 8719,0
    1163611822shape (Arc2D
     
    1168511871stg "VerticalLayoutStrategy"
    1168611872textVec [
    11687 *378 (Text
     11873*381 (Text
    1168811874uid 8724,0
    1168911875va (VaSet
     
    1169511881blo "-11500,113300"
    1169611882)
    11697 *379 (Text
     11883*382 (Text
    1169811884uid 8725,0
    1169911885va (VaSet
     
    1170411890blo "-11500,114300"
    1170511891)
    11706 *380 (Text
     11892*383 (Text
    1170711893uid 8726,0
    1170811894va (VaSet
     
    1174911935)
    1175011936)
    11751 *381 (Net
     11937*384 (Net
    1175211938uid 8730,0
    1175311939decl (Decl
     
    1176211948font "Courier New,8,0"
    1176311949)
    11764 xt "-102000,108800,-79500,109600"
    11765 st "SIGNAL sclk1                  : std_logic"
    11766 )
    11767 )
    11768 *382 (Net
     11950xt "-102000,109600,-79500,110400"
     11951st "SIGNAL sclk1                  : std_logic
     11952"
     11953)
     11954)
     11955*385 (Net
    1176911956uid 8746,0
    1177011957decl (Decl
     
    1177911966font "Courier New,8,0"
    1178011967)
    11781 xt "-102000,109600,-79500,110400"
    11782 st "SIGNAL sclk_enable            : std_logic"
    11783 )
    11784 )
    11785 *383 (Net
     11968xt "-102000,110400,-79500,111200"
     11969st "SIGNAL sclk_enable            : std_logic
     11970"
     11971)
     11972)
     11973*386 (Net
    1178611974uid 9004,0
    1178711975decl (Decl
     
    1179711985font "Courier New,8,0"
    1179811986)
    11799 xt "-102000,37200,-62000,38000"
    11800 st "adc_clk_en             : std_logic                                    := '0'"
    11801 )
    11802 )
    11803 *384 (PortIoOut
     11987xt "-102000,38000,-62000,38800"
     11988st "adc_clk_en             : std_logic                                    := '0'
     11989"
     11990)
     11991)
     11992*387 (PortIoOut
    1180411993uid 9012,0
    1180511994shape (CompositeShape
     
    1184612035)
    1184712036)
    11848 *385 (SaComponent
     12037*388 (SaComponent
    1184912038uid 9175,0
    1185012039optionalChildren [
    11851 *386 (CptPort
     12040*389 (CptPort
    1185212041uid 9120,0
    1185312042ps "OnEdgeStrategy"
     
    1188612075)
    1188712076)
    11888 *387 (CptPort
     12077*390 (CptPort
    1188912078uid 9124,0
    1189012079ps "OnEdgeStrategy"
     
    1192312112)
    1192412113)
    11925 *388 (CptPort
     12114*391 (CptPort
    1192612115uid 9128,0
    1192712116ps "OnEdgeStrategy"
     
    1195812147)
    1195912148)
    11960 *389 (CptPort
     12149*392 (CptPort
    1196112150uid 9132,0
    1196212151ps "OnEdgeStrategy"
     
    1200812197)
    1200912198)
    12010 *390 (CptPort
     12199*393 (CptPort
    1201112200uid 9137,0
    1201212201ps "OnEdgeStrategy"
     
    1205912248)
    1206012249)
    12061 *391 (CptPort
     12250*394 (CptPort
    1206212251uid 9142,0
    1206312252ps "OnEdgeStrategy"
     
    1211012299)
    1211112300)
    12112 *392 (CptPort
     12301*395 (CptPort
    1211312302uid 9147,0
    1211412303ps "OnEdgeStrategy"
     
    1214912338)
    1215012339)
    12151 *393 (CptPort
     12340*396 (CptPort
    1215212341uid 9155,0
    1215312342ps "OnEdgeStrategy"
     
    1218612375)
    1218712376)
    12188 *394 (CptPort
     12377*397 (CptPort
    1218912378uid 9163,0
    1219012379ps "OnEdgeStrategy"
     
    1222312412)
    1222412413)
    12225 *395 (CptPort
     12414*398 (CptPort
    1222612415uid 9167,0
    1222712416ps "OnEdgeStrategy"
     
    1226012449)
    1226112450)
    12262 *396 (CptPort
     12451*399 (CptPort
    1226312452uid 9171,0
    1226412453ps "OnEdgeStrategy"
     
    1229712486)
    1229812487)
    12299 *397 (CptPort
     12488*400 (CptPort
    1230012489uid 9211,0
    1230112490ps "OnEdgeStrategy"
     
    1233412523)
    1233512524)
    12336 *398 (CptPort
     12525*401 (CptPort
    1233712526uid 9215,0
    1233812527ps "OnEdgeStrategy"
     
    1236912558)
    1237012559)
    12371 *399 (CptPort
     12560*402 (CptPort
    1237212561uid 9219,0
    1237312562ps "OnEdgeStrategy"
     
    1240412593)
    1240512594)
    12406 *400 (CptPort
     12595*403 (CptPort
    1240712596uid 10030,0
    1240812597ps "OnEdgeStrategy"
     
    1245612645stg "VerticalLayoutStrategy"
    1245712646textVec [
    12458 *401 (Text
     12647*404 (Text
    1245912648uid 9178,0
    1246012649va (VaSet
     
    1246612655tm "BdLibraryNameMgr"
    1246712656)
    12468 *402 (Text
     12657*405 (Text
    1246912658uid 9179,0
    1247012659va (VaSet
     
    1247612665tm "CptNameMgr"
    1247712666)
    12478 *403 (Text
     12667*406 (Text
    1247912668uid 9180,0
    1248012669va (VaSet
     
    1252312712archFileType "UNKNOWN"
    1252412713)
    12525 *404 (Net
     12714*407 (Net
    1252612715uid 9231,0
    1252712716decl (Decl
     
    1253912728font "Courier New,8,0"
    1254012729)
    12541 xt "-102000,97600,-43000,98400"
    12542 st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards"
    12543 )
    12544 )
    12545 *405 (Net
     12730xt "-102000,98400,-43000,99200"
     12731st "SIGNAL ps_direction           : std_logic                                    := '1' -- default phase shift upwards
     12732"
     12733)
     12734)
     12735*408 (Net
    1254612736uid 9239,0
    1254712737decl (Decl
     
    1256012750font "Courier New,8,0"
    1256112751)
    12562 xt "-102000,98400,-42000,99200"
    12563 st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once"
    12564 )
    12565 )
    12566 *406 (Net
     12752xt "-102000,99200,-42000,100000"
     12753st "SIGNAL ps_do_phase_shift      : std_logic                                    := '0' --pulse this to phase shift once
     12754"
     12755)
     12756)
     12757*409 (Net
    1256712758uid 9267,0
    1256812759decl (Decl
     
    1257712768font "Courier New,8,0"
    1257812769)
    12579 xt "-102000,30800,-83500,31600"
    12580 st "LOCKED_extraOUT        : std_logic"
    12581 )
    12582 )
    12583 *407 (PortIoOut
     12770xt "-102000,31600,-83500,32400"
     12771st "LOCKED_extraOUT        : std_logic
     12772"
     12773)
     12774)
     12775*410 (PortIoOut
    1258412776uid 9275,0
    1258512777shape (CompositeShape
     
    1262512817)
    1262612818)
    12627 *408 (Net
     12819*411 (Net
    1262812820uid 9281,0
    1262912821decl (Decl
     
    1263812830font "Courier New,8,0"
    1263912831)
    12640 xt "-102000,32400,-83500,33200"
    12641 st "PSDONE_extraOUT        : std_logic"
    12642 )
    12643 )
    12644 *409 (PortIoOut
     12832xt "-102000,33200,-83500,34000"
     12833st "PSDONE_extraOUT        : std_logic
     12834"
     12835)
     12836)
     12837*412 (PortIoOut
    1264512838uid 9289,0
    1264612839shape (CompositeShape
     
    1268612879)
    1268712880)
    12688 *410 (Net
     12881*413 (Net
    1268912882uid 9295,0
    1269012883decl (Decl
     
    1269912892font "Courier New,8,0"
    1270012893)
    12701 xt "-102000,33200,-83500,34000"
    12702 st "PSINCDEC_OUT           : std_logic"
    12703 )
    12704 )
    12705 *411 (PortIoOut
     12894xt "-102000,34000,-83500,34800"
     12895st "PSINCDEC_OUT           : std_logic
     12896"
     12897)
     12898)
     12899*414 (PortIoOut
    1270612900uid 9303,0
    1270712901shape (CompositeShape
     
    1274712941)
    1274812942)
    12749 *412 (Net
     12943*415 (Net
    1275012944uid 9323,0
    1275112945decl (Decl
     
    1276012954font "Courier New,8,0"
    1276112955)
    12762 xt "-102000,31600,-83500,32400"
    12763 st "PSCLK_OUT              : std_logic"
    12764 )
    12765 )
    12766 *413 (PortIoOut
     12956xt "-102000,32400,-83500,33200"
     12957st "PSCLK_OUT              : std_logic
     12958"
     12959)
     12960)
     12961*416 (PortIoOut
    1276712962uid 9331,0
    1276812963shape (CompositeShape
     
    1280813003)
    1280913004)
    12810 *414 (Net
     13005*417 (Net
    1281113006uid 9351,0
    1281213007decl (Decl
     
    1282313018font "Courier New,8,0"
    1282413019)
    12825 xt "-102000,30000,-83500,30800"
    12826 st "DCM_locked             : std_logic"
    12827 )
    12828 )
    12829 *415 (PortIoOut
     13020xt "-102000,30800,-83500,31600"
     13021st "DCM_locked             : std_logic
     13022"
     13023)
     13024)
     13025*418 (PortIoOut
    1283013026uid 9359,0
    1283113027shape (CompositeShape
     
    1287113067)
    1287213068)
    12873 *416 (Net
     13069*419 (Net
    1287413070uid 9365,0
    1287513071decl (Decl
     
    1288813084font "Courier New,8,0"
    1288913085)
    12890 xt "-102000,46000,-56000,46800"
    12891 st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')"
    12892 )
    12893 )
    12894 *417 (PortIoOut
     13086xt "-102000,46800,-56000,47600"
     13087st "offset                 : std_logic_vector(7 downto 0)                 := (OTHERS => '0')
     13088"
     13089)
     13090)
     13091*420 (PortIoOut
    1289513092uid 9374,0
    1289613093shape (CompositeShape
     
    1293613133)
    1293713134)
    12938 *418 (Net
     13135*421 (Net
    1293913136uid 9380,0
    1294013137decl (Decl
     
    1295313150font "Courier New,8,0"
    1295413151)
    12955 xt "-102000,50000,-62000,51600"
     13152xt "-102000,50800,-62000,52400"
    1295613153st "-- status:
    12957 shifting               : std_logic                                    := '0'"
    12958 )
    12959 )
    12960 *419 (PortIoOut
     13154shifting               : std_logic                                    := '0'
     13155"
     13156)
     13157)
     13158*422 (PortIoOut
    1296113159uid 9389,0
    1296213160shape (CompositeShape
     
    1300213200)
    1300313201)
    13004 *420 (Net
     13202*423 (Net
    1300513203uid 9395,0
    1300613204decl (Decl
     
    1301813216font "Courier New,8,0"
    1301913217)
    13020 xt "-102000,46800,-62000,47600"
    13021 st "ready                  : std_logic                                    := '0'"
    13022 )
    13023 )
    13024 *421 (PortIoOut
     13218xt "-102000,47600,-62000,48400"
     13219st "ready                  : std_logic                                    := '0'
     13220"
     13221)
     13222)
     13223*424 (PortIoOut
    1302513224uid 9404,0
    1302613225shape (CompositeShape
     
    1306613265)
    1306713266)
    13068 *422 (MWC
     13267*425 (MWC
    1306913268uid 9472,0
    1307013269optionalChildren [
    13071 *423 (CptPort
     13270*426 (CptPort
    1307213271uid 9481,0
    1307313272optionalChildren [
    13074 *424 (Line
     13273*427 (Line
    1307513274uid 9486,0
    1307613275layer 5
     
    1313313332)
    1313413333)
    13135 *425 (CptPort
     13334*428 (CptPort
    1313613335uid 9487,0
    1313713336optionalChildren [
    13138 *426 (Line
     13337*429 (Line
    1313913338uid 9492,0
    1314013339layer 5
     
    1319713396)
    1319813397)
    13199 *427 (CommentGraphic
     13398*430 (CommentGraphic
    1320013399uid 9493,0
    1320113400shape (PolyLine2D
     
    1321813417oxt "6000,6000,7000,7000"
    1321913418)
    13220 *428 (CommentGraphic
     13419*431 (CommentGraphic
    1322113420uid 9495,0
    1322213421shape (PolyLine2D
     
    1323913438oxt "6000,7000,7000,8000"
    1324013439)
    13241 *429 (CommentGraphic
     13440*432 (CommentGraphic
    1324213441uid 9497,0
    1324313442shape (PolyLine2D
     
    1326013459oxt "6988,7329,7988,7329"
    1326113460)
    13262 *430 (CommentGraphic
     13461*433 (CommentGraphic
    1326313462uid 9499,0
    1326413463shape (PolyLine2D
     
    1327913478oxt "8000,7000,9000,7000"
    1328013479)
    13281 *431 (CommentGraphic
     13480*434 (CommentGraphic
    1328213481uid 9501,0
    1328313482shape (PolyLine2D
     
    1332013519stg "VerticalLayoutStrategy"
    1332113520textVec [
    13322 *432 (Text
     13521*435 (Text
    1332313522uid 9475,0
    1332413523va (VaSet
     
    1333013529blo "-2650,-7100"
    1333113530)
    13332 *433 (Text
     13531*436 (Text
    1333313532uid 9476,0
    1333413533va (VaSet
     
    1333913538blo "-2650,-6100"
    1334013539)
    13341 *434 (Text
     13540*437 (Text
    1334213541uid 9477,0
    1334313542va (VaSet
     
    1338413583)
    1338513584)
    13386 *435 (PortIoOut
     13585*438 (PortIoOut
    1338713586uid 9545,0
    1338813587shape (CompositeShape
     
    1342813627)
    1342913628)
    13430 *436 (Net
     13629*439 (Net
    1343113630uid 9551,0
    1343213631decl (Decl
     
    1344113640font "Courier New,8,0"
    1344213641)
    13443 xt "-102000,34000,-83500,34800"
    13444 st "PS_DIR_IN              : std_logic"
    13445 )
    13446 )
    13447 *437 (MWC
     13642xt "-102000,34800,-83500,35600"
     13643st "PS_DIR_IN              : std_logic
     13644"
     13645)
     13646)
     13647*440 (MWC
    1344813648uid 9662,0
    1344913649optionalChildren [
    13450 *438 (CptPort
     13650*441 (CptPort
    1345113651uid 9642,0
    1345213652optionalChildren [
    13453 *439 (Line
     13653*442 (Line
    1345413654uid 9646,0
    1345513655layer 5
     
    1351013710)
    1351113711)
    13512 *440 (CptPort
     13712*443 (CptPort
    1351313713uid 9647,0
    1351413714optionalChildren [
    13515 *441 (Line
     13715*444 (Line
    1351613716uid 9651,0
    1351713717layer 5
     
    1357513775)
    1357613776)
    13577 *442 (CommentGraphic
     13777*445 (CommentGraphic
    1357813778uid 9652,0
    1357913779shape (PolyLine2D
     
    1359613796oxt "6000,6000,7000,7000"
    1359713797)
    13598 *443 (CommentGraphic
     13798*446 (CommentGraphic
    1359913799uid 9654,0
    1360013800shape (PolyLine2D
     
    1361713817oxt "6000,7000,7000,8000"
    1361813818)
    13619 *444 (CommentGraphic
     13819*447 (CommentGraphic
    1362013820uid 9656,0
    1362113821shape (PolyLine2D
     
    1363813838oxt "6988,7329,7988,7329"
    1363913839)
    13640 *445 (CommentGraphic
     13840*448 (CommentGraphic
    1364113841uid 9658,0
    1364213842shape (PolyLine2D
     
    1365713857oxt "8000,7000,9000,7000"
    1365813858)
    13659 *446 (CommentGraphic
     13859*449 (CommentGraphic
    1366013860uid 9660,0
    1366113861shape (PolyLine2D
     
    1369813898stg "VerticalLayoutStrategy"
    1369913899textVec [
    13700 *447 (Text
     13900*450 (Text
    1370113901uid 9665,0
    1370213902va (VaSet
     
    1370813908blo "28350,900"
    1370913909)
    13710 *448 (Text
     13910*451 (Text
    1371113911uid 9666,0
    1371213912va (VaSet
     
    1371713917blo "28350,1900"
    1371813918)
    13719 *449 (Text
     13919*452 (Text
    1372013920uid 9667,0
    1372113921va (VaSet
     
    1376213962)
    1376313963)
    13764 *450 (MWC
     13964*453 (MWC
    1376513965uid 9679,0
    1376613966optionalChildren [
    13767 *451 (CptPort
     13967*454 (CptPort
    1376813968uid 9688,0
    1376913969optionalChildren [
    13770 *452 (Line
     13970*455 (Line
    1377113971uid 9693,0
    1377213972layer 5
     
    1382614026)
    1382714027)
    13828 *453 (CptPort
     14028*456 (CptPort
    1382914029uid 9694,0
    1383014030optionalChildren [
    13831 *454 (Line
     14031*457 (Line
    1383214032uid 9699,0
    1383314033layer 5
     
    1389014090)
    1389114091)
    13892 *455 (CommentGraphic
     14092*458 (CommentGraphic
    1389314093uid 9700,0
    1389414094shape (PolyLine2D
     
    1391114111oxt "6000,6000,7000,7000"
    1391214112)
    13913 *456 (CommentGraphic
     14113*459 (CommentGraphic
    1391414114uid 9702,0
    1391514115shape (PolyLine2D
     
    1393214132oxt "6000,7000,7000,8000"
    1393314133)
    13934 *457 (CommentGraphic
     14134*460 (CommentGraphic
    1393514135uid 9704,0
    1393614136shape (PolyLine2D
     
    1395314153oxt "6988,7329,7988,7329"
    1395414154)
    13955 *458 (CommentGraphic
     14155*461 (CommentGraphic
    1395614156uid 9706,0
    1395714157shape (PolyLine2D
     
    1397214172oxt "8000,7000,9000,7000"
    1397314173)
    13974 *459 (CommentGraphic
     14174*462 (CommentGraphic
    1397514175uid 9708,0
    1397614176shape (PolyLine2D
     
    1401314213stg "VerticalLayoutStrategy"
    1401414214textVec [
    14015 *460 (Text
     14215*463 (Text
    1401614216uid 9682,0
    1401714217va (VaSet
     
    1402314223blo "28350,5900"
    1402414224)
    14025 *461 (Text
     14225*464 (Text
    1402614226uid 9683,0
    1402714227va (VaSet
     
    1403214232blo "28350,6900"
    1403314233)
    14034 *462 (Text
     14234*465 (Text
    1403514235uid 9684,0
    1403614236va (VaSet
     
    1407714277)
    1407814278)
    14079 *463 (MWC
     14279*466 (MWC
    1408014280uid 9710,0
    1408114281optionalChildren [
    14082 *464 (CptPort
     14282*467 (CptPort
    1408314283uid 9719,0
    1408414284optionalChildren [
    14085 *465 (Line
     14285*468 (Line
    1408614286uid 9724,0
    1408714287layer 5
     
    1414114341)
    1414214342)
    14143 *466 (CptPort
     14343*469 (CptPort
    1414414344uid 9725,0
    1414514345optionalChildren [
    14146 *467 (Line
     14346*470 (Line
    1414714347uid 9730,0
    1414814348layer 5
     
    1420514405)
    1420614406)
    14207 *468 (CommentGraphic
     14407*471 (CommentGraphic
    1420814408uid 9731,0
    1420914409shape (PolyLine2D
     
    1422614426oxt "6000,6000,7000,7000"
    1422714427)
    14228 *469 (CommentGraphic
     14428*472 (CommentGraphic
    1422914429uid 9733,0
    1423014430shape (PolyLine2D
     
    1424714447oxt "6000,7000,7000,8000"
    1424814448)
    14249 *470 (CommentGraphic
     14449*473 (CommentGraphic
    1425014450uid 9735,0
    1425114451shape (PolyLine2D
     
    1426814468oxt "6988,7329,7988,7329"
    1426914469)
    14270 *471 (CommentGraphic
     14470*474 (CommentGraphic
    1427114471uid 9737,0
    1427214472shape (PolyLine2D
     
    1428714487oxt "8000,7000,9000,7000"
    1428814488)
    14289 *472 (CommentGraphic
     14489*475 (CommentGraphic
    1429014490uid 9739,0
    1429114491shape (PolyLine2D
     
    1432814528stg "VerticalLayoutStrategy"
    1432914529textVec [
    14330 *473 (Text
     14530*476 (Text
    1433114531uid 9713,0
    1433214532va (VaSet
     
    1433814538blo "28350,9900"
    1433914539)
    14340 *474 (Text
     14540*477 (Text
    1434114541uid 9714,0
    1434214542va (VaSet
     
    1434714547blo "28350,10900"
    1434814548)
    14349 *475 (Text
     14549*478 (Text
    1435014550uid 9715,0
    1435114551va (VaSet
     
    1439214592)
    1439314593)
    14394 *476 (PortIoOut
     14594*479 (PortIoOut
    1439514595uid 9761,0
    1439614596shape (CompositeShape
     
    1443614636)
    1443714637)
    14438 *477 (Net
     14638*480 (Net
    1443914639uid 9767,0
    1444014640decl (Decl
     
    1444914649font "Courier New,8,0"
    1445014650)
    14451 xt "-102000,27600,-83500,28400"
    14452 st "CLK50_OUT              : std_logic"
    14453 )
    14454 )
    14455 *478 (PortIoOut
     14651xt "-102000,28400,-83500,29200"
     14652st "CLK50_OUT              : std_logic
     14653"
     14654)
     14655)
     14656*481 (PortIoOut
    1445614657uid 9777,0
    1445714658shape (CompositeShape
     
    1449714698)
    1449814699)
    14499 *479 (Net
     14700*482 (Net
    1450014701uid 9783,0
    1450114702decl (Decl
     
    1451014711font "Courier New,8,0"
    1451114712)
    14512 xt "-102000,26000,-83500,26800"
    14513 st "CLK25_OUT              : std_logic"
    14514 )
    14515 )
    14516 *480 (PortIoOut
     14713xt "-102000,26800,-83500,27600"
     14714st "CLK25_OUT              : std_logic
     14715"
     14716)
     14717)
     14718*483 (PortIoOut
    1451714719uid 9793,0
    1451814720shape (CompositeShape
     
    1455814760)
    1455914761)
    14560 *481 (Net
     14762*484 (Net
    1456114763uid 9799,0
    1456214764decl (Decl
     
    1457114773font "Courier New,8,0"
    1457214774)
    14573 xt "-102000,26800,-83500,27600"
    14574 st "CLK25_PSOUT            : std_logic"
    14575 )
    14576 )
    14577 *482 (Net
     14775xt "-102000,27600,-83500,28400"
     14776st "CLK25_PSOUT            : std_logic
     14777"
     14778)
     14779)
     14780*485 (Net
    1457814781uid 9941,0
    1457914782decl (Decl
     
    1459114794font "Courier New,8,0"
    1459214795)
    14593 xt "-102000,99200,-34500,100000"
    14594 st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    14595 )
    14596 )
    14597 *483 (Net
     14796xt "-102000,100000,-34500,100800"
     14797st "SIGNAL ps_reset               : std_logic                                    := '0' -- pulse this to reset the variable phase shift
     14798"
     14799)
     14800)
     14801*486 (Net
    1459814802uid 9949,0
    1459914803decl (Decl
     
    1460914813font "Courier New,8,0"
    1461014814)
    14611 xt "-102000,113600,-58500,114400"
    14612 st "SIGNAL srclk_enable           : std_logic                                    := '0'"
    14613 )
    14614 )
    14615 *484 (MWC
     14815xt "-102000,114400,-58500,115200"
     14816st "SIGNAL srclk_enable           : std_logic                                    := '0'
     14817"
     14818)
     14819)
     14820*487 (MWC
    1461614821uid 9957,0
    1461714822optionalChildren [
    14618 *485 (CptPort
     14823*488 (CptPort
    1461914824uid 9966,0
    1462014825optionalChildren [
    14621 *486 (Line
     14826*489 (Line
    1462214827uid 9970,0
    1462314828layer 5
     
    1463214837]
    1463314838)
    14634 *487 (Property
     14839*490 (Property
    1463514840uid 9971,0
    1463614841pclass "_MW_GEOM_"
     
    1467614881)
    1467714882)
    14678 *488 (CptPort
     14883*491 (CptPort
    1467914884uid 9972,0
    1468014885optionalChildren [
    14681 *489 (Line
     14886*492 (Line
    1468214887uid 9976,0
    1468314888layer 5
     
    1473014935)
    1473114936)
    14732 *490 (CptPort
     14937*493 (CptPort
    1473314938uid 9977,0
    1473414939optionalChildren [
    14735 *491 (Line
     14940*494 (Line
    1473614941uid 9981,0
    1473714942layer 5
     
    1478414989)
    1478514990)
    14786 *492 (CommentGraphic
     14991*495 (CommentGraphic
    1478714992uid 9982,0
    1478814993optionalChildren [
    14789 *493 (Property
     14994*496 (Property
    1479014995uid 9984,0
    1479114996pclass "_MW_GEOM_"
     
    1481115016oxt "11000,10000,11000,10000"
    1481215017)
    14813 *494 (CommentGraphic
     15018*497 (CommentGraphic
    1481415019uid 9985,0
    1481515020optionalChildren [
    14816 *495 (Property
     15021*498 (Property
    1481715022uid 9987,0
    1481815023pclass "_MW_GEOM_"
     
    1483815043oxt "11000,6000,11000,6000"
    1483915044)
    14840 *496 (Grouping
     15045*499 (Grouping
    1484115046uid 9988,0
    1484215047optionalChildren [
    14843 *497 (CommentGraphic
     15048*500 (CommentGraphic
    1484415049uid 9990,0
    1484515050shape (PolyLine2D
     
    1486215067oxt "9000,6000,11000,10000"
    1486315068)
    14864 *498 (CommentGraphic
     15069*501 (CommentGraphic
    1486515070uid 9992,0
    1486615071shape (Arc2D
     
    1491515120stg "VerticalLayoutStrategy"
    1491615121textVec [
    14917 *499 (Text
     15122*502 (Text
    1491815123uid 9960,0
    1491915124va (VaSet
     
    1492515130blo "-29500,53300"
    1492615131)
    14927 *500 (Text
     15132*503 (Text
    1492815133uid 9961,0
    1492915134va (VaSet
     
    1493415139blo "-29500,54300"
    1493515140)
    14936 *501 (Text
     15141*504 (Text
    1493715142uid 9962,0
    1493815143va (VaSet
     
    1497915184)
    1498015185)
    14981 *502 (Net
     15186*505 (Net
    1498215187uid 10008,0
    1498315188decl (Decl
     
    1499315198font "Courier New,8,0"
    1499415199)
    14995 xt "-102000,60000,-58500,60800"
    14996 st "SIGNAL SRCLK1                 : std_logic                                    := '0'"
    14997 )
    14998 )
    14999 *503 (Net
     15200xt "-102000,60800,-58500,61600"
     15201st "SIGNAL SRCLK1                 : std_logic                                    := '0'
     15202"
     15203)
     15204)
     15205*506 (Net
    1500015206uid 10192,0
    1500115207decl (Decl
     
    1501415220font "Courier New,8,0"
    1501515221)
    15016 xt "-102000,71200,-58500,72800"
     15222xt "-102000,72000,-58500,73600"
    1501715223st "-- --
    15018 SIGNAL config_rw_ack          : std_logic                                    := '0'"
    15019 )
    15020 )
    15021 *504 (Net
     15224SIGNAL config_rw_ack          : std_logic                                    := '0'
     15225"
     15226)
     15227)
     15228*507 (Net
    1502215229uid 10200,0
    1502315230decl (Decl
     
    1503615243font "Courier New,8,0"
    1503715244)
    15038 xt "-102000,72800,-58500,74400"
     15245xt "-102000,73600,-58500,75200"
    1503915246st "-- --
    15040 SIGNAL config_rw_ready        : std_logic                                    := '0'"
    15041 )
    15042 )
    15043 *505 (Net
     15247SIGNAL config_rw_ready        : std_logic                                    := '0'
     15248"
     15249)
     15250)
     15251*508 (Net
    1504415252uid 10264,0
    1504515253decl (Decl
     
    1505415262font "Courier New,8,0"
    1505515263)
    15056 xt "-102000,107200,-79500,108000"
    15057 st "SIGNAL s_trigger              : std_logic"
    15058 )
    15059 )
    15060 *506 (Net
     15264xt "-102000,108000,-79500,108800"
     15265st "SIGNAL s_trigger              : std_logic
     15266"
     15267)
     15268)
     15269*509 (Net
    1506115270uid 10296,0
    1506215271decl (Decl
     
    1507115280font "Courier New,8,0"
    1507215281)
    15073 xt "-102000,116000,-79500,116800"
    15074 st "SIGNAL start_srin_write_8b    : std_logic"
    15075 )
    15076 )
    15077 *507 (Net
     15282xt "-102000,116800,-79500,117600"
     15283st "SIGNAL start_srin_write_8b    : std_logic
     15284"
     15285)
     15286)
     15287*510 (Net
    1507815288uid 10302,0
    1507915289decl (Decl
     
    1508915299font "Courier New,8,0"
    1509015300)
    15091 xt "-102000,114400,-58500,115200"
    15092 st "SIGNAL srin_write_ack         : std_logic                                    := '0'"
    15093 )
    15094 )
    15095 *508 (Net
     15301xt "-102000,115200,-58500,116000"
     15302st "SIGNAL srin_write_ack         : std_logic                                    := '0'
     15303"
     15304)
     15305)
     15306*511 (Net
    1509615307uid 10308,0
    1509715308decl (Decl
     
    1510715318font "Courier New,8,0"
    1510815319)
    15109 xt "-102000,115200,-58500,116000"
    15110 st "SIGNAL srin_write_ready       : std_logic                                    := '0'"
    15111 )
    15112 )
    15113 *509 (Net
     15320xt "-102000,116000,-58500,116800"
     15321st "SIGNAL srin_write_ready       : std_logic                                    := '0'
     15322"
     15323)
     15324)
     15325*512 (Net
    1511415326uid 10314,0
    1511515327decl (Decl
     
    1512615338font "Courier New,8,0"
    1512715339)
    15128 xt "-102000,93600,-52500,94400"
    15129 st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')"
    15130 )
    15131 )
    15132 *510 (Net
     15340xt "-102000,94400,-52500,95200"
     15341st "SIGNAL drs_srin_data          : std_logic_vector(7 downto 0)                 := (others => '0')
     15342"
     15343)
     15344)
     15345*513 (Net
    1513315346uid 10320,0
    1513415347decl (Decl
     
    1514415357font "Courier New,8,0"
    1514515358)
    15146 xt "-102000,36400,-62000,37200"
    15147 st "SRIN_out               : std_logic                                    := '0'"
    15148 )
    15149 )
    15150 *511 (PortIoOut
     15359xt "-102000,37200,-62000,38000"
     15360st "SRIN_out               : std_logic                                    := '0'
     15361"
     15362)
     15363)
     15364*514 (PortIoOut
    1515115365uid 10328,0
    1515215366shape (CompositeShape
     
    1519315407)
    1519415408)
    15195 *512 (MWC
     15409*515 (MWC
    1519615410uid 10380,0
    1519715411optionalChildren [
    15198 *513 (CptPort
     15412*516 (CptPort
    1519915413uid 10344,0
    1520015414optionalChildren [
    15201 *514 (Line
     15415*517 (Line
    1520215416uid 10348,0
    1520315417layer 5
     
    1525115465)
    1525215466)
    15253 *515 (CptPort
     15467*518 (CptPort
    1525415468uid 10349,0
    1525515469optionalChildren [
    15256 *516 (Property
     15470*519 (Property
    1525715471uid 10353,0
    1525815472pclass "_MW_GEOM_"
     
    1526015474ptn "String"
    1526115475)
    15262 *517 (Line
     15476*520 (Line
    1526315477uid 10354,0
    1526415478layer 5
     
    1531415528)
    1531515529)
    15316 *518 (CptPort
     15530*521 (CptPort
    1531715531uid 10355,0
    1531815532optionalChildren [
    15319 *519 (Line
     15533*522 (Line
    1532015534uid 10359,0
    1532115535layer 5
     
    1536715581)
    1536815582)
    15369 *520 (CommentGraphic
     15583*523 (CommentGraphic
    1537015584uid 10360,0
    1537115585shape (Arc2D
     
    1538815602oxt "7000,6003,11000,8000"
    1538915603)
    15390 *521 (CommentGraphic
     15604*524 (CommentGraphic
    1539115605uid 10362,0
    1539215606shape (Arc2D
     
    1540915623oxt "6996,8005,11000,10000"
    1541015624)
    15411 *522 (Grouping
     15625*525 (Grouping
    1541215626uid 10364,0
    1541315627optionalChildren [
    15414 *523 (CommentGraphic
     15628*526 (CommentGraphic
    1541515629uid 10366,0
    1541615630optionalChildren [
    15417 *524 (Property
     15631*527 (Property
    1541815632uid 10368,0
    1541915633pclass "_MW_GEOM_"
     
    1544615660oxt "7000,6000,11000,9998"
    1544715661)
    15448 *525 (CommentGraphic
     15662*528 (CommentGraphic
    1544915663uid 10369,0
    1545015664optionalChildren [
    15451 *526 (Property
     15665*529 (Property
    1545215666uid 10371,0
    1545315667pclass "_MW_GEOM_"
     
    1549115705oxt "7000,6000,11000,10000"
    1549215706)
    15493 *527 (CommentGraphic
     15707*530 (CommentGraphic
    1549415708uid 10372,0
    1549515709shape (PolyLine2D
     
    1551015724oxt "11000,8000,11000,8000"
    1551115725)
    15512 *528 (CommentGraphic
     15726*531 (CommentGraphic
    1551315727uid 10374,0
    1551415728optionalChildren [
    15515 *529 (Property
     15729*532 (Property
    1551615730uid 10376,0
    1551715731pclass "_MW_GEOM_"
     
    1553715751oxt "7000,6000,7000,6000"
    1553815752)
    15539 *530 (CommentGraphic
     15753*533 (CommentGraphic
    1554015754uid 10377,0
    1554115755optionalChildren [
    15542 *531 (Property
     15756*534 (Property
    1554315757uid 10379,0
    1554415758pclass "_MW_GEOM_"
     
    1558315797stg "VerticalLayoutStrategy"
    1558415798textVec [
    15585 *532 (Text
     15799*535 (Text
    1558615800uid 10383,0
    1558715801va (VaSet
     
    1559315807blo "-46500,67300"
    1559415808)
    15595 *533 (Text
     15809*536 (Text
    1559615810uid 10384,0
    1559715811va (VaSet
     
    1560215816blo "-46500,68300"
    1560315817)
    15604 *534 (Text
     15818*537 (Text
    1560515819uid 10385,0
    1560615820va (VaSet
     
    1564715861)
    1564815862)
    15649 *535 (Net
     15863*538 (Net
    1565015864uid 10449,0
    1565115865decl (Decl
     
    1566215876font "Courier New,8,0"
    1566315877)
    15664 xt "-102000,119200,-79500,120000"
    15665 st "SIGNAL trigger_out            : std_logic"
    15666 )
    15667 )
    15668 *536 (Net
     15878xt "-102000,120000,-79500,120800"
     15879st "SIGNAL trigger_out            : std_logic
     15880"
     15881)
     15882)
     15883*539 (Net
    1566915884uid 10465,0
    1567015885lang 2
     
    1568415899font "Courier New,8,0"
    1568515900)
    15686 xt "-102000,104000,-58500,105600"
     15901xt "-102000,104800,-58500,106400"
    1568715902st "-- --
    15688 SIGNAL ram_write_ready_ack    : std_logic                                    := '0'"
    15689 )
    15690 )
    15691 *537 (Net
     15903SIGNAL ram_write_ready_ack    : std_logic                                    := '0'
     15904"
     15905)
     15906)
     15907*540 (Net
    1569215908uid 10627,0
    1569315909decl (Decl
     
    1570215918font "Courier New,8,0"
    1570315919)
    15704 xt "-102000,112000,-79500,112800"
    15705 st "SIGNAL socks_connected        : std_logic"
    15706 )
    15707 )
    15708 *538 (Net
     15920xt "-102000,112800,-79500,113600"
     15921st "SIGNAL socks_connected        : std_logic
     15922"
     15923)
     15924)
     15925*541 (Net
    1570915926uid 10635,0
    1571015927decl (Decl
     
    1571915936font "Courier New,8,0"
    1572015937)
    15721 xt "-102000,112800,-79500,113600"
    15722 st "SIGNAL socks_waiting          : std_logic"
    15723 )
    15724 )
    15725 *539 (Net
     15938xt "-102000,113600,-79500,114400"
     15939st "SIGNAL socks_waiting          : std_logic
     15940"
     15941)
     15942)
     15943*542 (Net
    1572615944uid 10721,0
    1572715945decl (Decl
     
    1573615954font "Courier New,8,0"
    1573715955)
    15738 xt "-102000,43600,-83500,44400"
    15739 st "green                  : std_logic"
    15740 )
    15741 )
    15742 *540 (PortIoOut
     15956xt "-102000,44400,-83500,45200"
     15957st "green                  : std_logic
     15958"
     15959)
     15960)
     15961*543 (PortIoOut
    1574315962uid 10729,0
    1574415963shape (CompositeShape
     
    1578416003)
    1578516004)
    15786 *541 (Net
     16005*544 (Net
    1578716006uid 10735,0
    1578816007decl (Decl
     
    1579716016font "Courier New,8,0"
    1579816017)
    15799 xt "-102000,39600,-83500,40400"
    15800 st "amber                  : std_logic"
    15801 )
    15802 )
    15803 *542 (PortIoOut
     16018xt "-102000,40400,-83500,41200"
     16019st "amber                  : std_logic
     16020"
     16021)
     16022)
     16023*545 (PortIoOut
    1580416024uid 10743,0
    1580516025shape (CompositeShape
     
    1584516065)
    1584616066)
    15847 *543 (Net
     16067*546 (Net
    1584816068uid 10749,0
    1584916069decl (Decl
     
    1585816078font "Courier New,8,0"
    1585916079)
    15860 xt "-102000,47600,-83500,48400"
    15861 st "red                    : std_logic"
    15862 )
    15863 )
    15864 *544 (PortIoOut
     16080xt "-102000,48400,-83500,49200"
     16081st "red                    : std_logic
     16082"
     16083)
     16084)
     16085*547 (PortIoOut
    1586516086uid 10757,0
    1586616087shape (CompositeShape
     
    1590616127)
    1590716128)
    15908 *545 (SaComponent
     16129*548 (SaComponent
    1590916130uid 11209,0
    1591016131optionalChildren [
    15911 *546 (CptPort
     16132*549 (CptPort
    1591216133uid 11181,0
    1591316134ps "OnEdgeStrategy"
     
    1594216163)
    1594316164)
    15944 *547 (CptPort
     16165*550 (CptPort
    1594516166uid 11185,0
    1594616167ps "OnEdgeStrategy"
     
    1597716198)
    1597816199)
    15979 *548 (CptPort
     16200*551 (CptPort
    1598016201uid 11189,0
    1598116202ps "OnEdgeStrategy"
     
    1601216233)
    1601316234)
    16014 *549 (CptPort
     16235*552 (CptPort
    1601516236uid 11193,0
    1601616237ps "OnEdgeStrategy"
     
    1604716268)
    1604816269)
    16049 *550 (CptPort
     16270*553 (CptPort
    1605016271uid 11197,0
    1605116272ps "OnEdgeStrategy"
     
    1608016301)
    1608116302)
    16082 *551 (CptPort
     16303*554 (CptPort
    1608316304uid 11201,0
    1608416305ps "OnEdgeStrategy"
     
    1611316334)
    1611416335)
    16115 *552 (CptPort
     16336*555 (CptPort
    1611616337uid 11205,0
    1611716338ps "OnEdgeStrategy"
     
    1614616367)
    1614716368)
    16148 *553 (CptPort
     16369*556 (CptPort
    1614916370uid 12693,0
    1615016371ps "OnEdgeStrategy"
     
    1619816419stg "VerticalLayoutStrategy"
    1619916420textVec [
    16200 *554 (Text
     16421*557 (Text
    1620116422uid 11212,0
    1620216423va (VaSet
     
    1620816429tm "BdLibraryNameMgr"
    1620916430)
    16210 *555 (Text
     16431*558 (Text
    1621116432uid 11213,0
    1621216433va (VaSet
     
    1621816439tm "CptNameMgr"
    1621916440)
    16220 *556 (Text
     16441*559 (Text
    1622116442uid 11214,0
    1622216443va (VaSet
     
    1628516506archFileType "UNKNOWN"
    1628616507)
    16287 *557 (Net
     16508*560 (Net
    1628816509uid 11403,0
    1628916510decl (Decl
     
    1629816519font "Courier New,8,0"
    1629916520)
    16300 xt "-102000,92000,-79500,92800"
    16301 st "SIGNAL drs_readout_started    : std_logic"
    16302 )
    16303 )
    16304 *558 (Net
     16521xt "-102000,92800,-79500,93600"
     16522st "SIGNAL drs_readout_started    : std_logic
     16523"
     16524)
     16525)
     16526*561 (Net
    1630516527uid 11856,0
    1630616528decl (Decl
     
    1631516537font "Courier New,8,0"
    1631616538)
    16317 xt "-102000,117600,-79500,118400"
    16318 st "SIGNAL trigger_enable         : std_logic"
    16319 )
    16320 )
    16321 *559 (MWC
     16539xt "-102000,118400,-79500,119200"
     16540st "SIGNAL trigger_enable         : std_logic
     16541"
     16542)
     16543)
     16544*562 (MWC
    1632216545uid 12295,0
    1632316546optionalChildren [
    16324 *560 (CptPort
     16547*563 (CptPort
    1632516548uid 12267,0
    1632616549optionalChildren [
    16327 *561 (Line
     16550*564 (Line
    1632816551uid 12271,0
    1632916552layer 5
     
    1633816561]
    1633916562)
    16340 *562 (Property
     16563*565 (Property
    1634116564uid 12272,0
    1634216565pclass "_MW_GEOM_"
     
    1638516608)
    1638616609)
    16387 *563 (CptPort
     16610*566 (CptPort
    1638816611uid 12273,0
    1638916612optionalChildren [
    16390 *564 (Line
     16613*567 (Line
    1639116614uid 12277,0
    1639216615layer 5
     
    1644016663)
    1644116664)
    16442 *565 (CptPort
     16665*568 (CptPort
    1644316666uid 12278,0
    1644416667optionalChildren [
    16445 *566 (Line
     16668*569 (Line
    1644616669uid 12282,0
    1644716670layer 5
     
    1649316716)
    1649416717)
    16495 *567 (CommentGraphic
     16718*570 (CommentGraphic
    1649616719uid 12283,0
    1649716720optionalChildren [
    16498 *568 (Property
     16721*571 (Property
    1649916722uid 12285,0
    1650016723pclass "_MW_GEOM_"
     
    1652016743oxt "7000,10000,7000,10000"
    1652116744)
    16522 *569 (CommentGraphic
     16745*572 (CommentGraphic
    1652316746uid 12286,0
    1652416747optionalChildren [
    16525 *570 (Property
     16748*573 (Property
    1652616749uid 12288,0
    1652716750pclass "_MW_GEOM_"
     
    1654716770oxt "7000,6000,7000,6000"
    1654816771)
    16549 *571 (Grouping
     16772*574 (Grouping
    1655016773uid 12289,0
    1655116774optionalChildren [
    16552 *572 (CommentGraphic
     16775*575 (CommentGraphic
    1655316776uid 12291,0
    1655416777shape (PolyLine2D
     
    1657116794oxt "7000,6000,9000,10000"
    1657216795)
    16573 *573 (CommentGraphic
     16796*576 (CommentGraphic
    1657416797uid 12293,0
    1657516798shape (Arc2D
     
    1662416847stg "VerticalLayoutStrategy"
    1662516848textVec [
    16626 *574 (Text
     16849*577 (Text
    1662716850uid 12298,0
    1662816851va (VaSet
     
    1663416857blo "-40500,70300"
    1663516858)
    16636 *575 (Text
     16859*578 (Text
    1663716860uid 12299,0
    1663816861va (VaSet
     
    1664316866blo "-40500,71300"
    1664416867)
    16645 *576 (Text
     16868*579 (Text
    1664616869uid 12300,0
    1664716870va (VaSet
     
    1668816911)
    1668916912)
    16690 *577 (Net
     16913*580 (Net
    1669116914uid 12304,0
    1669216915decl (Decl
     
    1670316926font "Courier New,8,0"
    1670416927)
    16705 xt "-102000,82400,-79500,83200"
    16706 st "SIGNAL dout                   : std_logic"
    16707 )
    16708 )
    16709 *578 (SaComponent
     16928xt "-102000,83200,-79500,84000"
     16929st "SIGNAL dout                   : std_logic
     16930"
     16931)
     16932)
     16933*581 (SaComponent
    1671016934uid 12625,0
    1671116935optionalChildren [
    16712 *579 (CptPort
     16936*582 (CptPort
    1671316937uid 12605,0
    1671416938ps "OnEdgeStrategy"
     
    1674316967)
    1674416968)
    16745 *580 (CptPort
     16969*583 (CptPort
    1674616970uid 12609,0
    1674716971ps "OnEdgeStrategy"
     
    1677917003)
    1678017004)
    16781 *581 (CptPort
     17005*584 (CptPort
    1678217006uid 12613,0
    1678317007ps "OnEdgeStrategy"
     
    1681417038)
    1681517039)
    16816 *582 (CptPort
     17040*585 (CptPort
    1681717041uid 12617,0
    1681817042ps "OnEdgeStrategy"
     
    1684817072)
    1684917073)
    16850 *583 (CptPort
     17074*586 (CptPort
    1685117075uid 12621,0
    1685217076ps "OnEdgeStrategy"
     
    1688417108)
    1688517109)
    16886 *584 (CptPort
     17110*587 (CptPort
    1688717111uid 12673,0
    1688817112ps "OnEdgeStrategy"
     
    1693417158stg "VerticalLayoutStrategy"
    1693517159textVec [
    16936 *585 (Text
     17160*588 (Text
    1693717161uid 12628,0
    1693817162va (VaSet
     
    1694417168tm "BdLibraryNameMgr"
    1694517169)
    16946 *586 (Text
     17170*589 (Text
    1694717171uid 12629,0
    1694817172va (VaSet
     
    1695417178tm "CptNameMgr"
    1695517179)
    16956 *587 (Text
     17180*590 (Text
    1695717181uid 12630,0
    1695817182va (VaSet
     
    1700117225archFileType "UNKNOWN"
    1700217226)
    17003 *588 (Net
     17227*591 (Net
    1700417228uid 12641,0
    1700517229decl (Decl
     
    1701617240font "Courier New,8,0"
    1701717241)
    17018 xt "-102000,83200,-79500,84000"
    17019 st "SIGNAL dout1                  : std_logic"
    17020 )
    17021 )
    17022 *589 (Net
     17242xt "-102000,84000,-79500,84800"
     17243st "SIGNAL dout1                  : std_logic
     17244"
     17245)
     17246)
     17247*592 (Net
    1702317248uid 12647,0
    1702417249decl (Decl
     
    1703817263font "Courier New,8,0"
    1703917264)
    17040 xt "-102000,88800,-58500,91200"
     17265xt "-102000,89600,-58500,92000"
    1704117266st "-- --
    1704217267--      drs_dwrite : out std_logic := '1';
    17043 SIGNAL drs_readout_ready      : std_logic                                    := '0'"
    17044 )
    17045 )
    17046 *590 (Net
     17268SIGNAL drs_readout_ready      : std_logic                                    := '0'
     17269"
     17270)
     17271)
     17272*593 (Net
    1704717273uid 12653,0
    1704817274decl (Decl
     
    1705717283font "Courier New,8,0"
    1705817284)
    17059 xt "-102000,91200,-79500,92000"
    17060 st "SIGNAL drs_readout_ready_ack  : std_logic"
    17061 )
    17062 )
    17063 *591 (Net
     17285xt "-102000,92000,-79500,92800"
     17286st "SIGNAL drs_readout_ready_ack  : std_logic
     17287"
     17288)
     17289)
     17290*594 (Net
    1706417291uid 12705,0
    1706517292decl (Decl
     
    1707417301font "Courier New,8,0"
    1707517302)
    17076 xt "-102000,38800,-83500,39600"
    17077 st "additional_flasher_out : std_logic"
    17078 )
    17079 )
    17080 *592 (PortIoOut
     17303xt "-102000,39600,-83500,40400"
     17304st "additional_flasher_out : std_logic
     17305"
     17306)
     17307)
     17308*595 (PortIoOut
    1708117309uid 12713,0
    1708217310shape (CompositeShape
     
    1712217350)
    1712317351)
    17124 *593 (SaComponent
     17352*596 (SaComponent
    1712517353uid 13117,0
    1712617354optionalChildren [
    17127 *594 (CptPort
     17355*597 (CptPort
    1712817356uid 13101,0
    1712917357ps "OnEdgeStrategy"
     
    1715917387)
    1716017388)
    17161 *595 (CptPort
     17389*598 (CptPort
    1716217390uid 13105,0
    1716317391ps "OnEdgeStrategy"
     
    1719317421)
    1719417422)
    17195 *596 (CptPort
     17423*599 (CptPort
    1719617424uid 13109,0
    1719717425ps "OnEdgeStrategy"
     
    1722817456)
    1722917457)
    17230 *597 (CptPort
     17458*600 (CptPort
    1723117459uid 13113,0
    1723217460ps "OnEdgeStrategy"
     
    1727917507stg "VerticalLayoutStrategy"
    1728017508textVec [
    17281 *598 (Text
     17509*601 (Text
    1728217510uid 13120,0
    1728317511va (VaSet
     
    1728917517tm "BdLibraryNameMgr"
    1729017518)
    17291 *599 (Text
     17519*602 (Text
    1729217520uid 13121,0
    1729317521va (VaSet
     
    1729917527tm "CptNameMgr"
    1730017528)
    17301 *600 (Text
     17529*603 (Text
    1730217530uid 13122,0
    1730317531va (VaSet
     
    1732217550)
    1732317551xt "84000,57200,111500,58000"
    17324 st "MINIMAL_TRIGGER_WAIT_TIME = 250000    ( integer ) 
    17325 "
     17552st "MINIMAL_TRIGGER_WAIT_TIME = 250000    ( integer )  "
    1732617553)
    1732717554header ""
     
    1735317580archFileType "UNKNOWN"
    1735417581)
    17355 *601 (Net
     17582*604 (Net
    1735617583uid 13157,0
    1735717584decl (Decl
     
    1736717594font "Courier New,8,0"
    1736817595)
    17369 xt "-102000,63200,-58500,64000"
    17370 st "SIGNAL c_trigger_enable       : std_logic                                    := '0'"
    17371 )
    17372 )
    17373 *602 (Net
     17596xt "-102000,64000,-58500,64800"
     17597st "SIGNAL c_trigger_enable       : std_logic                                    := '0'
     17598"
     17599)
     17600)
     17601*605 (Net
    1737417602uid 13163,0
    1737517603decl (Decl
     
    1738817616font "Courier New,8,0"
    1738917617)
    17390 xt "-102000,64000,-42000,64800"
    17391 st "SIGNAL c_trigger_mult         : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '1') --subject to changes"
    17392 )
    17393 )
    17394 *603 (Net
     17618xt "-102000,64800,-42000,65600"
     17619st "SIGNAL c_trigger_mult         : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '1') --subject to changes
     17620"
     17621)
     17622)
     17623*606 (Net
    1739517624uid 13206,0
    1739617625decl (Decl
     
    1740517634font "Courier New,8,0"
    1740617635)
    17407 xt "-102000,108000,-79500,108800"
    17408 st "SIGNAL s_trigger_0            : std_logic"
    17409 )
    17410 )
    17411 *604 (Net
     17636xt "-102000,108800,-79500,109600"
     17637st "SIGNAL s_trigger_0            : std_logic
     17638"
     17639)
     17640)
     17641*607 (Net
    1741217642uid 13208,0
    1741317643decl (Decl
     
    1742217652font "Courier New,8,0"
    1742317653)
    17424 xt "-102000,116800,-79500,117600"
    17425 st "SIGNAL trigger1               : std_logic"
    17426 )
    17427 )
    17428 *605 (MWC
     17654xt "-102000,117600,-79500,118400"
     17655st "SIGNAL trigger1               : std_logic
     17656"
     17657)
     17658)
     17659*608 (MWC
    1742917660uid 13266,0
    1743017661optionalChildren [
    17431 *606 (CptPort
     17662*609 (CptPort
    1743217663uid 13230,0
    1743317664optionalChildren [
    17434 *607 (Line
     17665*610 (Line
    1743517666uid 13234,0
    1743617667layer 5
     
    1748317714)
    1748417715)
    17485 *608 (CptPort
     17716*611 (CptPort
    1748617717uid 13235,0
    1748717718optionalChildren [
    17488 *609 (Property
     17719*612 (Property
    1748917720uid 13239,0
    1749017721pclass "_MW_GEOM_"
     
    1749217723ptn "String"
    1749317724)
    17494 *610 (Line
     17725*613 (Line
    1749517726uid 13240,0
    1749617727layer 5
     
    1754317774)
    1754417775)
    17545 *611 (CptPort
     17776*614 (CptPort
    1754617777uid 13241,0
    1754717778optionalChildren [
    17548 *612 (Line
     17779*615 (Line
    1754917780uid 13245,0
    1755017781layer 5
     
    1759717828)
    1759817829)
    17599 *613 (CommentGraphic
     17830*616 (CommentGraphic
    1760017831uid 13246,0
    1760117832shape (Arc2D
     
    1761817849oxt "7000,6003,11000,8000"
    1761917850)
    17620 *614 (CommentGraphic
     17851*617 (CommentGraphic
    1762117852uid 13248,0
    1762217853shape (Arc2D
     
    1763917870oxt "7000,8005,11004,10000"
    1764017871)
    17641 *615 (Grouping
     17872*618 (Grouping
    1764217873uid 13250,0
    1764317874optionalChildren [
    17644 *616 (CommentGraphic
     17875*619 (CommentGraphic
    1764517876uid 13252,0
    1764617877optionalChildren [
    17647 *617 (Property
     17878*620 (Property
    1764817879uid 13254,0
    1764917880pclass "_MW_GEOM_"
     
    1767617907oxt "7000,6000,11000,9998"
    1767717908)
    17678 *618 (CommentGraphic
     17909*621 (CommentGraphic
    1767917910uid 13255,0
    1768017911optionalChildren [
    17681 *619 (Property
     17912*622 (Property
    1768217913uid 13257,0
    1768317914pclass "_MW_GEOM_"
     
    1772117952oxt "7000,6000,11000,10000"
    1772217953)
    17723 *620 (CommentGraphic
     17954*623 (CommentGraphic
    1772417955uid 13258,0
    1772517956shape (PolyLine2D
     
    1774017971oxt "7000,8000,7000,8000"
    1774117972)
    17742 *621 (CommentGraphic
     17973*624 (CommentGraphic
    1774317974uid 13260,0
    1774417975optionalChildren [
    17745 *622 (Property
     17976*625 (Property
    1774617977uid 13262,0
    1774717978pclass "_MW_GEOM_"
     
    1776717998oxt "11000,6000,11000,6000"
    1776817999)
    17769 *623 (CommentGraphic
     18000*626 (CommentGraphic
    1777018001uid 13263,0
    1777118002optionalChildren [
    17772 *624 (Property
     18003*627 (Property
    1777318004uid 13265,0
    1777418005pclass "_MW_GEOM_"
     
    1781318044stg "VerticalLayoutStrategy"
    1781418045textVec [
    17815 *625 (Text
     18046*628 (Text
    1781618047uid 13269,0
    1781718048va (VaSet
     
    1782318054blo "77500,59300"
    1782418055)
    17825 *626 (Text
     18056*629 (Text
    1782618057uid 13270,0
    1782718058va (VaSet
     
    1783218063blo "77500,60300"
    1783318064)
    17834 *627 (Text
     18065*630 (Text
    1783518066uid 13271,0
    1783618067va (VaSet
     
    1787718108)
    1787818109)
    17879 *628 (Wire
     18110*631 (PortIoIn
     18111uid 13689,0
     18112shape (CompositeShape
     18113uid 13690,0
     18114va (VaSet
     18115vasetType 1
     18116fg "0,0,32768"
     18117)
     18118optionalChildren [
     18119(Pentagon
     18120uid 13691,0
     18121sl 0
     18122ro 270
     18123xt "125000,91625,126500,92375"
     18124)
     18125(Line
     18126uid 13692,0
     18127sl 0
     18128ro 270
     18129xt "126500,92000,127000,92000"
     18130pts [
     18131"126500,92000"
     18132"127000,92000"
     18133]
     18134)
     18135]
     18136)
     18137stc 0
     18138sf 1
     18139tg (WTG
     18140uid 13693,0
     18141ps "PortIoTextPlaceStrategy"
     18142stg "STSignalDisplayStrategy"
     18143f (Text
     18144uid 13694,0
     18145va (VaSet
     18146)
     18147xt "121100,91500,124000,92500"
     18148st "D_T_in"
     18149ju 2
     18150blo "124000,92300"
     18151tm "WireNameMgr"
     18152)
     18153)
     18154)
     18155*632 (Net
     18156uid 13701,0
     18157decl (Decl
     18158n "D_T_in"
     18159t "std_logic_vector"
     18160b "(1 DOWNTO 0)"
     18161o 130
     18162suid 281,0
     18163)
     18164declText (MLText
     18165uid 13702,0
     18166va (VaSet
     18167font "Courier New,8,0"
     18168)
     18169xt "-102000,18000,-73500,18800"
     18170st "D_T_in                 : std_logic_vector(1 DOWNTO 0)
     18171"
     18172)
     18173)
     18174*633 (Wire
    1788018175uid 322,0
    1788118176shape (OrthoPolyLine
     
    1789318188)
    1789418189start &26
    17895 end &331
     18190end &334
    1789618191sat 32
    1789718192eat 32
     
    1791618211on &2
    1791718212)
    17918 *629 (Wire
     18213*634 (Wire
    1791918214uid 328,0
    1792018215shape (OrthoPolyLine
     
    1793218227)
    1793318228start &25
    17934 end &330
     18229end &333
    1793518230sat 32
    1793618231eat 32
     
    1795518250on &3
    1795618251)
    17957 *630 (Wire
     18252*635 (Wire
    1795818253uid 334,0
    1795918254shape (OrthoPolyLine
     
    1797118266)
    1797218267start &24
    17973 end &329
     18268end &332
    1797418269sat 32
    1797518270eat 32
     
    1799418289on &4
    1799518290)
    17996 *631 (Wire
     18291*636 (Wire
    1799718292uid 364,0
    1799818293shape (OrthoPolyLine
     
    1800218297lineWidth 2
    1800318298)
    18004 xt "91750,49000,127250,54000"
    18005 pts [
    18006 "127250,54000"
    18007 "123000,54000"
     18299xt "91750,49000,127250,53000"
     18300pts [
     18301"127250,53000"
     18302"123000,53000"
    1800818303"123000,49000"
    1800918304"91750,49000"
     
    1801118306)
    1801218307start &86
    18013 end &333
     18308end &336
    1801418309sat 32
    1801518310eat 32
     
    1803418329on &5
    1803518330)
    18036 *632 (Wire
     18331*637 (Wire
    1803718332uid 370,0
    1803818333shape (OrthoPolyLine
     
    1804218337lineWidth 2
    1804318338)
    18044 xt "91750,50000,127250,55000"
    18045 pts [
    18046 "127250,55000"
    18047 "124000,55000"
    18048 "124000,50000"
     18339xt "91750,50000,127250,54000"
     18340pts [
     18341"127250,54000"
     18342"122000,54000"
     18343"122000,50000"
    1804918344"91750,50000"
    1805018345]
    1805118346)
    1805218347start &85
    18053 end &334
     18348end &337
    1805418349sat 32
    1805518350eat 32
     
    1807418369on &6
    1807518370)
    18076 *633 (Wire
     18371*638 (Wire
    1807718372uid 376,0
    1807818373shape (OrthoPolyLine
     
    1808118376vasetType 3
    1808218377)
    18083 xt "154000,52000,164750,52000"
    18084 pts [
    18085 "164750,52000"
    18086 "159000,52000"
    18087 "154000,52000"
     18378xt "164750,51000,168000,51000"
     18379pts [
     18380"164750,51000"
     18381"168000,51000"
    1808818382]
    1808918383)
     
    1810518399isHidden 1
    1810618400)
    18107 xt "166000,51000,169600,52000"
     18401xt "183000,50000,186600,51000"
    1810818402st "wiz_reset"
    18109 blo "166000,51800"
     18403blo "183000,50800"
    1811018404tm "WireNameMgr"
    1811118405)
     
    1811318407on &7
    1811418408)
    18115 *634 (Wire
     18409*639 (Wire
    1811618410uid 384,0
    1811718411shape (OrthoPolyLine
     
    1812118415lineWidth 2
    1812218416)
    18123 xt "154000,60000,164750,60000"
    18124 pts [
    18125 "164750,60000"
    18126 "159000,60000"
    18127 "154000,60000"
     18417xt "164750,59000,168000,59000"
     18418pts [
     18419"164750,59000"
     18420"168000,59000"
    1812818421]
    1812918422)
     
    1814618439isHidden 1
    1814718440)
    18148 xt "166000,59000,169400,60000"
     18441xt "182000,58000,185400,59000"
    1814918442st "wiz_addr"
    18150 blo "166000,59800"
     18443blo "182000,58800"
    1815118444tm "WireNameMgr"
    1815218445)
     
    1815418447on &8
    1815518448)
    18156 *635 (Wire
     18449*640 (Wire
    1815718450uid 392,0
    1815818451shape (OrthoPolyLine
     
    1816218455lineWidth 2
    1816318456)
    18164 xt "154000,61000,164750,61000"
    18165 pts [
    18166 "164750,61000"
    18167 "159000,61000"
    18168 "154000,61000"
     18457xt "164750,60000,168000,60000"
     18458pts [
     18459"164750,60000"
     18460"168000,60000"
    1816918461]
    1817018462)
     
    1818718479isHidden 1
    1818818480)
    18189 xt "166000,60000,169300,61000"
     18481xt "181000,59000,184300,60000"
    1819018482st "wiz_data"
    18191 blo "166000,60800"
     18483blo "181000,59800"
    1819218484tm "WireNameMgr"
    1819318485)
     
    1819518487on &9
    1819618488)
    18197 *636 (Wire
     18489*641 (Wire
    1819818490uid 400,0
    1819918491shape (OrthoPolyLine
     
    1820218494vasetType 3
    1820318495)
    18204 xt "154000,53000,164750,53000"
    18205 pts [
    18206 "164750,53000"
    18207 "159000,53000"
    18208 "154000,53000"
     18496xt "164750,52000,168000,52000"
     18497pts [
     18498"164750,52000"
     18499"168000,52000"
    1820918500]
    1821018501)
     
    1822618517isHidden 1
    1822718518)
    18228 xt "166000,52000,168700,53000"
     18519xt "182000,51000,184700,52000"
    1822918520st "wiz_cs"
    18230 blo "166000,52800"
     18521blo "182000,51800"
    1823118522tm "WireNameMgr"
    1823218523)
     
    1823418525on &10
    1823518526)
    18236 *637 (Wire
     18527*642 (Wire
    1823718528uid 408,0
    1823818529shape (OrthoPolyLine
     
    1824118532vasetType 3
    1824218533)
    18243 xt "154000,54000,164750,54000"
    18244 pts [
    18245 "164750,54000"
    18246 "159000,54000"
    18247 "154000,54000"
     18534xt "164750,53000,168000,53000"
     18535pts [
     18536"164750,53000"
     18537"168000,53000"
    1824818538]
    1824918539)
     
    1826518555isHidden 1
    1826618556)
    18267 xt "166000,53000,168700,54000"
     18557xt "182000,52000,184700,53000"
    1826818558st "wiz_wr"
    18269 blo "166000,53800"
     18559blo "182000,52800"
    1827018560tm "WireNameMgr"
    1827118561)
     
    1827318563on &11
    1827418564)
    18275 *638 (Wire
     18565*643 (Wire
    1827618566uid 424,0
    1827718567shape (OrthoPolyLine
     
    1828018570vasetType 3
    1828118571)
    18282 xt "154000,55000,164750,55000"
    18283 pts [
    18284 "164750,55000"
    18285 "159000,55000"
    18286 "154000,55000"
     18572xt "164750,54000,168000,54000"
     18573pts [
     18574"164750,54000"
     18575"168000,54000"
    1828718576]
    1828818577)
     
    1830418593isHidden 1
    1830518594)
    18306 xt "166000,54000,168600,55000"
     18595xt "182000,53000,184600,54000"
    1830718596st "wiz_rd"
    18308 blo "166000,54800"
     18597blo "182000,53800"
    1830918598tm "WireNameMgr"
    1831018599)
     
    1831218601on &12
    1831318602)
    18314 *639 (Wire
     18603*644 (Wire
    1831518604uid 432,0
    1831618605shape (OrthoPolyLine
     
    1831918608vasetType 3
    1832018609)
    18321 xt "154000,56000,164750,56000"
    18322 pts [
    18323 "154000,56000"
    18324 "159000,56000"
    18325 "164750,56000"
     18610xt "164750,55000,168000,55000"
     18611pts [
     18612"168000,55000"
     18613"164750,55000"
    1832618614]
    1832718615)
     
    1834318631isHidden 1
    1834418632)
    18345 xt "166000,55000,168700,56000"
     18633xt "181000,54000,183700,55000"
    1834618634st "wiz_int"
    18347 blo "166000,55800"
     18635blo "181000,54800"
    1834818636tm "WireNameMgr"
    1834918637)
     
    1835118639on &13
    1835218640)
    18353 *640 (Wire
     18641*645 (Wire
    1835418642uid 1411,0
    1835518643shape (OrthoPolyLine
     
    1836518653]
    1836618654)
    18367 start &169
     18655start &172
    1836818656end &28
    1836918657sat 32
     
    1839018678on &71
    1839118679)
    18392 *641 (Wire
     18680*646 (Wire
    1839318681uid 1425,0
    1839418682shape (OrthoPolyLine
     
    1840418692)
    1840518693start &73
    18406 end &513
     18694end &516
    1840718695es 0
    1840818696sat 32
     
    1842918717on &72
    1843018718)
    18431 *642 (Wire
     18719*647 (Wire
    1843218720uid 1682,0
    1843318721shape (OrthoPolyLine
     
    1844318731]
    1844418732)
    18445 start &170
     18733start &173
    1844618734end &31
    1844718735sat 32
     
    1846618754)
    1846718755)
    18468 on &119
    18469 )
    18470 *643 (Wire
     18756on &122
     18757)
     18758*648 (Wire
    1847118759uid 1983,0
    1847218760shape (OrthoPolyLine
     
    1848418772]
    1848518773)
    18486 start &121
     18774start &124
    1848718775end &29
    1848818776sat 32
     
    1850518793)
    1850618794)
    18507 on &127
    18508 )
    18509 *644 (Wire
     18795on &130
     18796)
     18797*649 (Wire
    1851018798uid 2299,0
    1851118799shape (OrthoPolyLine
     
    1852318811]
    1852418812)
    18525 start &130
     18813start &133
    1852618814end &27
    1852718815sat 32
     
    1854518833)
    1854618834)
    18547 on &128
    18548 )
    18549 *645 (Wire
     18835on &131
     18836)
     18837*650 (Wire
    1855018838uid 2470,0
    1855118839shape (OrthoPolyLine
     
    1855418842vasetType 3
    1855518843)
    18556 xt "103750,68000,127250,68000"
     18844xt "103750,67000,127250,68000"
    1855718845pts [
    1855818846"103750,68000"
    18559 "127250,68000"
    18560 ]
    18561 )
    18562 start &137
     18847"118000,68000"
     18848"118000,67000"
     18849"127250,67000"
     18850]
     18851)
     18852start &140
    1856318853end &88
    1856418854sat 32
     
    1858118871)
    1858218872)
    18583 on &152
    18584 )
    18585 *646 (Wire
     18873on &155
     18874)
     18875*651 (Wire
    1858618876uid 2476,0
    1858718877shape (OrthoPolyLine
     
    1859018880vasetType 3
    1859118881)
    18592 xt "103750,69000,127250,69000"
     18882xt "103750,68000,127250,69000"
    1859318883pts [
    1859418884"103750,69000"
    18595 "127250,69000"
    18596 ]
    18597 )
    18598 start &140
     18885"124000,69000"
     18886"124000,68000"
     18887"127250,68000"
     18888]
     18889)
     18890start &143
    1859918891end &87
    1860018892sat 32
     
    1861718909)
    1861818910)
    18619 on &153
    18620 )
    18621 *647 (Wire
     18911on &156
     18912)
     18913*652 (Wire
    1862218914uid 2482,0
    1862318915shape (OrthoPolyLine
     
    1862718919lineWidth 2
    1862818920)
    18629 xt "103750,70000,127250,70000"
     18921xt "103750,69000,127250,76000"
    1863018922pts [
    1863118923"103750,70000"
    18632 "127250,70000"
    18633 ]
    18634 )
    18635 start &143
     18924"107000,70000"
     18925"107000,76000"
     18926"125000,76000"
     18927"125000,69000"
     18928"127250,69000"
     18929]
     18930)
     18931start &146
    1863618932end &83
    1863718933sat 32
     
    1865518951)
    1865618952)
    18657 on &154
    18658 )
    18659 *648 (Wire
     18953on &157
     18954)
     18955*653 (Wire
    1866018956uid 2488,0
    1866118957shape (OrthoPolyLine
     
    1866518961lineWidth 2
    1866618962)
    18667 xt "103750,71000,127250,71000"
     18963xt "103750,70000,127250,71000"
    1866818964pts [
    1866918965"103750,71000"
    18670 "127250,71000"
    18671 ]
    18672 )
    18673 start &139
     18966"124000,71000"
     18967"124000,70000"
     18968"127250,70000"
     18969]
     18970)
     18971start &142
    1867418972end &84
    1867518973sat 32
     
    1869318991)
    1869418992)
    18695 on &155
    18696 )
    18697 *649 (Wire
     18993on &158
     18994)
     18995*654 (Wire
    1869818996uid 2494,0
    1869918997shape (OrthoPolyLine
     
    1870319001lineWidth 2
    1870419002)
    18705 xt "103750,72000,127250,72000"
     19003xt "103750,71000,127250,77000"
    1870619004pts [
    1870719005"103750,72000"
    18708 "127250,72000"
    18709 ]
    18710 )
    18711 start &138
     19006"106000,72000"
     19007"106000,77000"
     19008"126000,77000"
     19009"126000,71000"
     19010"127250,71000"
     19011]
     19012)
     19013start &141
    1871219014end &89
    1871319015sat 32
     
    1873119033)
    1873219034)
    18733 on &156
    18734 )
    18735 *650 (Wire
     19035on &159
     19036)
     19037*655 (Wire
    1873619038uid 2500,0
    1873719039shape (OrthoPolyLine
     
    1874019042vasetType 3
    1874119043)
    18742 xt "103750,73000,127250,73000"
     19044xt "103750,72000,127250,73000"
    1874319045pts [
    1874419046"103750,73000"
    18745 "127250,73000"
    18746 ]
    18747 )
    18748 start &141
     19047"124000,73000"
     19048"124000,72000"
     19049"127250,72000"
     19050]
     19051)
     19052start &144
    1874919053end &90
    1875019054sat 32
     
    1876719071)
    1876819072)
    18769 on &157
    18770 )
    18771 *651 (Wire
     19073on &160
     19074)
     19075*656 (Wire
    1877219076uid 2506,0
    1877319077shape (OrthoPolyLine
     
    1877619080vasetType 3
    1877719081)
    18778 xt "103750,74000,127250,74000"
     19082xt "103750,73000,127250,74000"
    1877919083pts [
    1878019084"103750,74000"
    18781 "127250,74000"
    18782 ]
    18783 )
    18784 start &142
     19085"111000,74000"
     19086"111000,73000"
     19087"127250,73000"
     19088]
     19089)
     19090start &145
    1878519091end &91
    1878619092sat 32
     
    1880319109)
    1880419110)
    18805 on &158
    18806 )
    18807 *652 (Wire
     19111on &161
     19112)
     19113*657 (Wire
    1880819114uid 2576,0
    1880919115shape (OrthoPolyLine
     
    1882119127)
    1882219128start &32
    18823 end &134
     19129end &137
    1882419130sat 32
    1882519131eat 32
     
    1884119147)
    1884219148)
    18843 on &159
    18844 )
    18845 *653 (Wire
     19149on &162
     19150)
     19151*658 (Wire
    1884619152uid 2582,0
    1884719153shape (OrthoPolyLine
     
    1885919165)
    1886019166start &33
    18861 end &135
     19167end &138
    1886219168sat 32
    1886319169eat 32
     
    1887919185)
    1888019186)
    18881 on &160
    18882 )
    18883 *654 (Wire
     19187on &163
     19188)
     19189*659 (Wire
    1888419190uid 2588,0
    1888519191shape (OrthoPolyLine
     
    1889719203)
    1889819204start &51
    18899 end &133
     19205end &136
    1890019206ss 0
    1890119207sat 32
     
    1891819224)
    1891919225)
    18920 on &161
    18921 )
    18922 *655 (Wire
     19226on &164
     19227)
     19228*660 (Wire
    1892319229uid 2594,0
    1892419230shape (OrthoPolyLine
     
    1893619242)
    1893719243start &47
    18938 end &132
     19244end &135
    1893919245sat 32
    1894019246eat 32
     
    1895619262)
    1895719263)
    18958 on &162
    18959 )
    18960 *656 (Wire
     19264on &165
     19265)
     19266*661 (Wire
    1896119267uid 2600,0
    1896219268shape (OrthoPolyLine
     
    1897419280)
    1897519281start &34
    18976 end &136
     19282end &139
    1897719283sat 32
    1897819284eat 32
     
    1899419300)
    1899519301)
    18996 on &163
    18997 )
    18998 *657 (Wire
     19302on &166
     19303)
     19304*662 (Wire
    1899919305uid 2642,0
    1900019306shape (OrthoPolyLine
     
    1901319319)
    1901419320start &36
    19015 end &145
     19321end &148
    1901619322sat 32
    1901719323eat 32
     
    1903419340)
    1903519341)
    19036 on &164
    19037 )
    19038 *658 (Wire
     19342on &167
     19343)
     19344*663 (Wire
    1903919345uid 2778,0
    1904019346shape (OrthoPolyLine
     
    1905019356)
    1905119357start &37
    19052 end &166
     19358end &169
    1905319359sat 32
    1905419360eat 32
     
    1907219378)
    1907319379)
    19074 on &165
    19075 )
    19076 *659 (Wire
     19380on &168
     19381)
     19382*664 (Wire
    1907719383uid 2786,0
    1907819384shape (OrthoPolyLine
     
    1908819394]
    1908919395)
    19090 start &167
    19091 end &268
     19396start &170
     19397end &271
    1909219398sat 32
    1909319399eat 32
     
    1911219418)
    1911319419)
    19114 on &188
    19115 )
    19116 *660 (Wire
     19420on &191
     19421)
     19422*665 (Wire
    1911719423uid 3888,0
    1911819424optionalChildren [
    19119 *661 (BdJunction
     19425*666 (BdJunction
    1912019426uid 4230,0
    1912119427ps "OnConnectorStrategy"
     
    1912919435)
    1913019436)
    19131 *662 (BdJunction
     19437*667 (BdJunction
    1913219438uid 4244,0
    1913319439ps "OnConnectorStrategy"
     
    1913719443vasetType 1
    1913819444)
    19139 xt "108600,51600,109400,52400"
     19445xt "108600,18600,109400,19400"
    1914019446radius 400
    1914119447)
    1914219448)
    19143 *663 (BdJunction
     19449*668 (BdJunction
    1914419450uid 9677,0
    1914519451ps "OnConnectorStrategy"
     
    1916019466lineColor "0,0,65535"
    1916119467)
    19162 xt "-1250,19000,127250,52000"
     19468xt "-1250,19000,127250,51000"
    1916319469pts [
    1916419470"-1250,19000"
    19165 "106000,19000"
    19166 "106000,52000"
    19167 "127250,52000"
    19168 ]
    19169 )
    19170 start &386
     19471"124000,19000"
     19472"124000,51000"
     19473"127250,51000"
     19474]
     19475)
     19476start &389
    1917119477end &75
    1917219478sat 32
     
    1919019496)
    1919119497)
    19192 on &184
    19193 )
    19194 *664 (Wire
     19498on &187
     19499)
     19500*669 (Wire
    1919519501uid 3984,0
    1919619502optionalChildren [
    19197 *665 (BdJunction
     19503*670 (BdJunction
    1919819504uid 9751,0
    1919919505ps "OnConnectorStrategy"
     
    1922219528]
    1922319529)
    19224 start &183
    19225 end &397
     19530start &186
     19531end &400
    1922619532sat 32
    1922719533eat 32
     
    1924519551)
    1924619552)
    19247 on &182
    19248 )
    19249 *666 (Wire
     19553on &185
     19554)
     19555*671 (Wire
    1925019556uid 4042,0
    1925119557shape (OrthoPolyLine
     
    1926119567)
    1926219568start &1
    19263 end &388
     19569end &391
    1926419570sat 32
    1926519571eat 32
     
    1928319589)
    1928419590)
    19285 on &187
    19286 )
    19287 *667 (Wire
     19591on &190
     19592)
     19593*672 (Wire
    1928819594uid 4226,0
    1928919595shape (OrthoPolyLine
     
    1930019606]
    1930119607)
    19302 start &186
    19303 end &661
     19608start &189
     19609end &666
    1930419610sat 32
    1930519611eat 32
     
    1932319629)
    1932419630)
    19325 on &184
    19326 )
    19327 *668 (Wire
     19631on &187
     19632)
     19633*673 (Wire
    1932819634uid 4240,0
    1932919635shape (OrthoPolyLine
     
    1933319639lineColor "0,0,65535"
    1933419640)
    19335 xt "91750,44000,109000,52000"
     19641xt "91750,19000,109000,44000"
    1933619642pts [
    1933719643"91750,44000"
    1933819644"109000,44000"
    19339 "109000,52000"
    19340 ]
    19341 )
    19342 start &332
    19343 end &662
     19645"109000,19000"
     19646]
     19647)
     19648start &335
     19649end &667
    1934419650sat 32
    1934519651eat 32
     
    1936219668)
    1936319669)
    19364 on &184
    19365 )
    19366 *669 (Wire
     19670on &187
     19671)
     19672*674 (Wire
    1936719673uid 4272,0
    1936819674shape (OrthoPolyLine
     
    1937719683]
    1937819684)
    19379 start &190
    19380 end &265
     19685start &193
     19686end &268
    1938119687sat 32
    1938219688eat 32
     
    1940019706)
    1940119707)
    19402 on &189
    19403 )
    19404 *670 (Wire
     19708on &192
     19709)
     19710*675 (Wire
    1940519711uid 4401,0
    1940619712shape (OrthoPolyLine
     
    1941819724)
    1941919725start &40
    19420 end &209
     19726end &212
    1942119727sat 32
    1942219728eat 32
     
    1943819744)
    1943919745)
    19440 on &191
    19441 )
    19442 *671 (Wire
     19746on &194
     19747)
     19748*676 (Wire
    1944319749uid 4407,0
    1944419750shape (OrthoPolyLine
     
    1945619762)
    1945719763start &43
    19458 end &215
     19764end &218
    1945919765sat 32
    1946019766eat 32
     
    1947619782)
    1947719783)
    19478 on &192
    19479 )
    19480 *672 (Wire
     19784on &195
     19785)
     19786*677 (Wire
    1948119787uid 4419,0
    1948219788shape (OrthoPolyLine
     
    1949419800)
    1949519801start &41
    19496 end &210
     19802end &213
    1949719803sat 32
    1949819804eat 32
     
    1951419820)
    1951519821)
    19516 on &193
    19517 )
    19518 *673 (Wire
     19822on &196
     19823)
     19824*678 (Wire
    1951919825uid 4537,0
    1952019826shape (OrthoPolyLine
     
    1953019836]
    1953119837)
    19532 start &344
    19533 end &196
     19838start &347
     19839end &199
    1953419840sat 32
    1953519841eat 32
     
    1955419860)
    1955519861)
    19556 on &194
    19557 )
    19558 *674 (Wire
     19862on &197
     19863)
     19864*679 (Wire
    1955919865uid 4545,0
    1956019866shape (OrthoPolyLine
     
    1956919875]
    1957019876)
    19571 start &309
    19572 end &197
     19877start &312
     19878end &200
    1957319879sat 32
    1957419880eat 32
     
    1959119897)
    1959219898)
    19593 on &195
    19594 )
    19595 *675 (Wire
     19899on &198
     19900)
     19901*680 (Wire
    1959619902uid 4671,0
    1959719903shape (OrthoPolyLine
     
    1960619912]
    1960719913)
    19608 start &202
    19609 end &211
     19914start &205
     19915end &214
    1961019916sat 32
    1961119917eat 32
     
    1962919935)
    1963019936)
    19631 on &198
    19632 )
    19633 *676 (Wire
     19937on &201
     19938)
     19939*681 (Wire
    1963419940uid 4679,0
    1963519941shape (OrthoPolyLine
     
    1964419950]
    1964519951)
    19646 start &203
    19647 end &212
     19952start &206
     19953end &215
    1964819954sat 32
    1964919955eat 32
     
    1966719973)
    1966819974)
    19669 on &199
    19670 )
    19671 *677 (Wire
     19975on &202
     19976)
     19977*682 (Wire
    1967219978uid 4687,0
    1967319979shape (OrthoPolyLine
     
    1968219988]
    1968319989)
    19684 start &204
    19685 end &213
     19990start &207
     19991end &216
    1968619992sat 32
    1968719993eat 32
     
    1970520011)
    1970620012)
    19707 on &200
    19708 )
    19709 *678 (Wire
     20013on &203
     20014)
     20015*683 (Wire
    1971020016uid 4695,0
    1971120017shape (OrthoPolyLine
     
    1972020026]
    1972120027)
    19722 start &205
    19723 end &214
     20028start &208
     20029end &217
    1972420030sat 32
    1972520031eat 32
     
    1974320049)
    1974420050)
    19745 on &201
    19746 )
    19747 *679 (Wire
     20051on &204
     20052)
     20053*684 (Wire
    1974820054uid 4743,0
    1974920055shape (OrthoPolyLine
     
    1976020066]
    1976120067)
    19762 start &216
     20068start &219
    1976320069end &42
    1976420070sat 32
     
    1978120087)
    1978220088)
    19783 on &206
    19784 )
    19785 *680 (Wire
     20089on &209
     20090)
     20091*685 (Wire
    1978620092uid 4757,0
    1978720093optionalChildren [
    19788 *681 (BdJunction
     20094*686 (BdJunction
    1978920095uid 6076,0
    1979020096ps "OnConnectorStrategy"
     
    1981320119]
    1981420120)
    19815 start &208
    19816 end *682 (BdJunction
     20121start &211
     20122end *687 (BdJunction
    1981720123uid 6080,0
    1981820124ps "OnConnectorStrategy"
     
    1984620152)
    1984720153)
    19848 on &185
    19849 )
    19850 *683 (Wire
     20154on &188
     20155)
     20156*688 (Wire
    1985120157uid 4948,0
    1985220158shape (OrthoPolyLine
     
    1986120167]
    1986220168)
    19863 start &217
    19864 end &228
     20169start &220
     20170end &231
    1986520171sat 32
    1986620172eat 32
     
    1988420190)
    1988520191)
    19886 on &227
    19887 )
    19888 *684 (Wire
     20192on &230
     20193)
     20194*689 (Wire
    1988920195uid 4962,0
    1989020196shape (OrthoPolyLine
     
    1989920205]
    1990020206)
    19901 start &485
    19902 end &230
     20207start &488
     20208end &233
    1990320209sat 32
    1990420210eat 32
     
    1992220228)
    1992320229)
    19924 on &229
    19925 )
    19926 *685 (Wire
     20230on &232
     20231)
     20232*690 (Wire
    1992720233uid 5090,0
    1992820234shape (OrthoPolyLine
     
    1993220238lineWidth 2
    1993320239)
    19934 xt "92750,79000,127250,100000"
    19935 pts [
    19936 "127250,79000"
    19937 "120000,79000"
    19938 "120000,100000"
     20240xt "92750,78000,127250,100000"
     20241pts [
     20242"127250,78000"
     20243"110000,78000"
     20244"110000,100000"
    1993920245"92750,100000"
    1994020246]
    1994120247)
    1994220248start &94
    19943 end &233
     20249end &236
    1994420250sat 32
    1994520251eat 32
     
    1995520261va (VaSet
    1995620262)
    19957 xt "120000,78000,127000,79000"
     20263xt "120000,77000,127000,78000"
    1995820264st "config_addr : (7:0)"
    19959 blo "120000,78800"
     20265blo "120000,77800"
    1996020266tm "WireNameMgr"
    1996120267)
    1996220268)
    19963 on &249
    19964 )
    19965 *686 (Wire
     20269on &252
     20270)
     20271*691 (Wire
    1996620272uid 5098,0
    1996720273shape (OrthoPolyLine
     
    1997620282]
    1997720283)
    19978 start &234
     20284start &237
    1997920285sat 32
    1998020286eat 16
     
    1999520301)
    1999620302)
    19997 on &250
    19998 )
    19999 *687 (Wire
     20303on &253
     20304)
     20305*692 (Wire
    2000020306uid 5106,0
    2000120307shape (OrthoPolyLine
     
    2000420310vasetType 3
    2000520311)
    20006 xt "92750,84000,127250,106000"
     20312xt "92750,83000,127250,106000"
    2000720313pts [
    2000820314"92750,106000"
    20009 "124000,106000"
    20010 "124000,84000"
    20011 "127250,84000"
    20012 ]
    20013 )
    20014 start &235
     20315"105000,106000"
     20316"105000,83000"
     20317"127250,83000"
     20318]
     20319)
     20320start &238
    2001520321end &95
    2001620322sat 32
     
    2003220338)
    2003320339)
    20034 on &251
    20035 )
    20036 *688 (Wire
     20340on &254
     20341)
     20342*693 (Wire
    2003720343uid 5114,0
    2003820344shape (OrthoPolyLine
     
    2004220348lineWidth 2
    2004320349)
    20044 xt "92750,80000,127250,101000"
     20350xt "92750,79000,127250,101000"
    2004520351pts [
    2004620352"92750,101000"
    20047 "121000,101000"
    20048 "121000,80000"
    20049 "127250,80000"
    20050 ]
    20051 )
    20052 start &236
     20353"108000,101000"
     20354"108000,79000"
     20355"127250,79000"
     20356]
     20357)
     20358start &239
    2005320359end &96
    2005420360sat 32
     
    2007120377)
    2007220378)
    20073 on &252
    20074 )
    20075 *689 (Wire
     20379on &255
     20380)
     20381*694 (Wire
    2007620382uid 5122,0
    2007720383shape (OrthoPolyLine
     
    2008020386vasetType 3
    2008120387)
    20082 xt "92750,82000,127250,104000"
    20083 pts [
    20084 "127250,82000"
    20085 "122000,82000"
    20086 "122000,104000"
     20388xt "92750,81000,127250,104000"
     20389pts [
     20390"127250,81000"
     20391"107000,81000"
     20392"107000,104000"
    2008720393"92750,104000"
    2008820394]
    2008920395)
    2009020396start &98
    20091 end &238
     20397end &241
    2009220398sat 32
    2009320399eat 32
     
    2010220408va (VaSet
    2010320409)
    20104 xt "122000,81000,127300,82000"
     20410xt "122000,80000,127300,81000"
    2010520411st "config_wr_en"
    20106 blo "122000,81800"
     20412blo "122000,80800"
    2010720413tm "WireNameMgr"
    2010820414)
    2010920415)
    20110 on &253
    20111 )
    20112 *690 (Wire
     20416on &256
     20417)
     20418*695 (Wire
    2011320419uid 5130,0
    2011420420shape (OrthoPolyLine
     
    2011720423vasetType 3
    2011820424)
    20119 xt "92750,83000,127250,105000"
    20120 pts [
    20121 "127250,83000"
    20122 "123000,83000"
    20123 "123000,105000"
     20425xt "92750,82000,127250,105000"
     20426pts [
     20427"127250,82000"
     20428"106000,82000"
     20429"106000,105000"
    2012420430"92750,105000"
    2012520431]
    2012620432)
    2012720433start &100
    20128 end &240
     20434end &243
    2012920435sat 32
    2013020436eat 32
     
    2013920445va (VaSet
    2014020446)
    20141 xt "122000,82000,127200,83000"
     20447xt "122000,81000,127200,82000"
    2014220448st "config_rd_en"
    20143 blo "122000,82800"
     20449blo "122000,81800"
    2014420450tm "WireNameMgr"
    2014520451)
    2014620452)
    20147 on &254
    20148 )
    20149 *691 (Wire
     20453on &257
     20454)
     20455*696 (Wire
    2015020456uid 5138,0
    2015120457optionalChildren [
    20152 *692 (BdJunction
     20458*697 (BdJunction
    2015320459uid 5400,0
    2015420460ps "OnConnectorStrategy"
     
    2017620482]
    2017720483)
    20178 start &237
     20484start &240
    2017920485end &35
    2018020486ss 0
     
    2019820504)
    2019920505)
    20200 on &168
    20201 )
    20202 *693 (Wire
     20506on &171
     20507)
     20508*698 (Wire
    2020320509uid 5146,0
    2020420510shape (OrthoPolyLine
     
    2021320519]
    2021420520)
    20215 start &239
    20216 end &276
     20521start &242
     20522end &279
    2021720523es 0
    2021820524sat 32
     
    2023420540)
    2023520541)
    20236 on &255
    20237 )
    20238 *694 (Wire
     20542on &258
     20543)
     20544*699 (Wire
    2023920545uid 5168,0
    2024020546shape (OrthoPolyLine
     
    2024920555]
    2025020556)
    20251 start &692
    20252 end &144
     20557start &697
     20558end &147
    2025320559sat 32
    2025420560eat 32
     
    2027020576)
    2027120577)
    20272 on &168
    20273 )
    20274 *695 (Wire
     20578on &171
     20579)
     20580*700 (Wire
    2027520581uid 5184,0
    2027620582shape (OrthoPolyLine
     
    2028720593]
    2028820594)
    20289 start &241
     20595start &244
    2029020596end &46
    2029120597sat 32
     
    2030720613)
    2030820614)
    20309 on &256
    20310 )
    20311 *696 (Wire
     20615on &259
     20616)
     20617*701 (Wire
    2031220618uid 5190,0
    2031320619shape (OrthoPolyLine
     
    2032420630]
    2032520631)
    20326 start &242
     20632start &245
    2032720633end &45
    2032820634sat 32
     
    2034420650)
    2034520651)
    20346 on &257
    20347 )
    20348 *697 (Wire
     20652on &260
     20653)
     20654*702 (Wire
    2034920655uid 5222,0
    2035020656shape (OrthoPolyLine
     
    2035420660lineWidth 2
    2035520661)
    20356 xt "154000,71000,164750,71000"
    20357 pts [
    20358 "164750,71000"
    20359 "159000,71000"
    20360 "154000,71000"
     20662xt "164750,70000,170000,70000"
     20663pts [
     20664"164750,70000"
     20665"170000,70000"
    2036120666]
    2036220667)
     
    2037920684isHidden 1
    2038020685)
    20381 xt "166750,70000,168150,71000"
     20686xt "182750,69000,184150,70000"
    2038220687st "led"
    20383 blo "166750,70800"
     20688blo "182750,69800"
    2038420689tm "WireNameMgr"
    2038520690)
    2038620691)
    20387 on &258
    20388 )
    20389 *698 (Wire
     20692on &261
     20693)
     20694*703 (Wire
    2039020695uid 5404,0
    2039120696shape (OrthoPolyLine
     
    2040220707]
    2040320708)
    20404 start &277
     20709start &280
    2040520710end &48
    2040620711sat 32
     
    2042220727)
    2042320728)
    20424 on &261
    20425 )
    20426 *699 (Wire
     20729on &264
     20730)
     20731*704 (Wire
    2042720732uid 5474,0
    2042820733shape (OrthoPolyLine
     
    2043920744]
    2044020745)
    20441 start &280
     20746start &283
    2044220747end &50
    2044320748sat 32
     
    2045920764)
    2046020765)
    20461 on &259
    20462 )
    20463 *700 (Wire
     20766on &262
     20767)
     20768*705 (Wire
    2046420769uid 5480,0
    2046520770shape (OrthoPolyLine
     
    2047620781]
    2047720782)
    20478 start &279
     20783start &282
    2047920784end &49
    2048020785sat 32
     
    2049620801)
    2049720802)
    20498 on &260
    20499 )
    20500 *701 (Wire
     20803on &263
     20804)
     20805*706 (Wire
    2050120806uid 5582,0
    2050220807shape (OrthoPolyLine
     
    2051220817]
    2051320818)
    20514 end &232
     20819end &235
    2051520820sat 16
    2051620821eat 32
     
    2053120836)
    2053220837)
    20533 on &184
    20534 )
    20535 *702 (Wire
     20838on &187
     20839)
     20840*707 (Wire
    2053620841uid 5602,0
    2053720842optionalChildren [
    20538 &682
    20539 *703 (BdJunction
     20843&687
     20844*708 (BdJunction
    2054020845uid 6086,0
    2054120846ps "OnConnectorStrategy"
     
    2056720872)
    2056820873start &23
    20569 end &328
     20874end &331
    2057020875sat 32
    2057120876eat 32
     
    2058820893)
    2058920894)
    20590 on &185
    20591 )
    20592 *704 (Wire
     20895on &188
     20896)
     20897*709 (Wire
    2059320898uid 5626,0
    2059420899shape (OrthoPolyLine
     
    2060420909)
    2060520910start &44
    20606 end &266
     20911end &269
    2060720912sat 32
    2060820913eat 32
     
    2062420929)
    2062520930)
    20626 on &263
    20627 )
    20628 *705 (Wire
     20931on &266
     20932)
     20933*710 (Wire
    2062920934uid 5634,0
    2063020935shape (OrthoPolyLine
     
    2064120946)
    2064220947start &38
    20643 end &267
     20948end &270
    2064420949sat 32
    2064520950eat 32
     
    2066220967)
    2066320968)
    20664 on &262
    20665 )
    20666 *706 (Wire
     20969on &265
     20970)
     20971*711 (Wire
    2066720972uid 5646,0
    2066820973shape (OrthoPolyLine
     
    2067820983]
    2067920984)
    20680 end &269
     20985end &272
    2068120986sat 16
    2068220987eat 32
     
    2069821003)
    2069921004)
    20700 on &182
    20701 )
    20702 *707 (Wire
     21005on &185
     21006)
     21007*712 (Wire
    2070321008uid 5745,0
    2070421009shape (OrthoPolyLine
     
    2071621021)
    2071721022start &52
    20718 end &278
     21023end &281
    2071921024sat 32
    2072021025eat 32
     
    2073621041)
    2073721042)
    20738 on &273
    20739 )
    20740 *708 (Wire
     21043on &276
     21044)
     21045*713 (Wire
    2074121046uid 5805,0
    2074221047shape (OrthoPolyLine
     
    2075121056]
    2075221057)
    20753 end &283
     21058end &286
    2075421059sat 16
    2075521060eat 32
     
    2077021075)
    2077121076)
    20772 on &184
    20773 )
    20774 *709 (Wire
     21077on &187
     21078)
     21079*714 (Wire
    2077521080uid 5813,0
    2077621081shape (OrthoPolyLine
     
    2078521090]
    2078621091)
    20787 start &364
    20788 end &294
     21092start &367
     21093end &297
    2078921094sat 32
    2079021095eat 32
     
    2080821113)
    2080921114)
    20810 on &290
    20811 )
    20812 *710 (Wire
     21115on &293
     21116)
     21117*715 (Wire
    2081321118uid 5821,0
    2081421119shape (OrthoPolyLine
     
    2082321128]
    2082421129)
    20825 start &286
    20826 end &295
     21130start &289
     21131end &298
    2082721132sat 32
    2082821133eat 32
     
    2084621151)
    2084721152)
    20848 on &291
    20849 )
    20850 *711 (Wire
     21153on &294
     21154)
     21155*716 (Wire
    2085121156uid 5829,0
    2085221157shape (OrthoPolyLine
     
    2086121166]
    2086221167)
    20863 start &281
    20864 end &296
     21168start &284
     21169end &299
    2086521170sat 32
    2086621171eat 32
     
    2088421189)
    2088521190)
    20886 on &292
    20887 )
    20888 *712 (Wire
     21191on &295
     21192)
     21193*717 (Wire
    2088921194uid 5837,0
    2089021195shape (OrthoPolyLine
     
    2090021205]
    2090121206)
    20902 start &282
    20903 end &297
     21207start &285
     21208end &300
    2090421209sat 32
    2090521210eat 32
     
    2092421229)
    2092521230)
    20926 on &293
    20927 )
    20928 *713 (Wire
     21231on &296
     21232)
     21233*718 (Wire
    2092921234uid 5950,0
    2093021235shape (OrthoPolyLine
     
    2093321238vasetType 3
    2093421239)
    20935 xt "40750,54000,127250,60000"
    20936 pts [
    20937 "127250,60000"
    20938 "110000,60000"
    20939 "110000,54000"
     21240xt "40750,54000,127250,59000"
     21241pts [
     21242"127250,59000"
     21243"121000,59000"
     21244"121000,54000"
    2094021245"40750,54000"
    2094121246]
     
    2095621261va (VaSet
    2095721262)
    20958 xt "121250,59000,125850,60000"
     21263xt "121250,58000,125850,59000"
    2095921264st "new_config"
    20960 blo "121250,59800"
     21265blo "121250,58800"
    2096121266tm "WireNameMgr"
    2096221267)
    2096321268)
    20964 on &298
    20965 )
    20966 *714 (Wire
     21269on &301
     21270)
     21271*719 (Wire
    2096721272uid 5962,0
    2096821273shape (OrthoPolyLine
     
    2097121276vasetType 3
    2097221277)
    20973 xt "40750,55000,127250,61000"
    20974 pts [
    20975 "127250,61000"
    20976 "111000,61000"
    20977 "111000,55000"
     21278xt "40750,55000,127250,60000"
     21279pts [
     21280"127250,60000"
     21281"120000,60000"
     21282"120000,55000"
    2097821283"40750,55000"
    2097921284]
     
    2099421299va (VaSet
    2099521300)
    20996 xt "120250,60000,125850,61000"
     21301xt "120250,59000,125850,60000"
    2099721302st "config_started"
    20998 blo "120250,60800"
     21303blo "120250,59800"
    2099921304tm "WireNameMgr"
    2100021305)
    2100121306)
    21002 on &299
    21003 )
    21004 *715 (Wire
     21307on &302
     21308)
     21309*720 (Wire
    2100521310uid 6002,0
    2100621311shape (OrthoPolyLine
     
    2101721322]
    2101821323)
    21019 start &243
     21324start &246
    2102021325end &55
    2102121326sat 32
     
    2103821343)
    2103921344)
    21040 on &301
    21041 )
    21042 *716 (Wire
     21345on &304
     21346)
     21347*721 (Wire
    2104321348uid 6008,0
    2104421349shape (OrthoPolyLine
     
    2105521360]
    2105621361)
    21057 start &284
     21362start &287
    2105821363end &57
    2105921364sat 32
     
    2107621381)
    2107721382)
    21078 on &300
    21079 )
    21080 *717 (Wire
     21383on &303
     21384)
     21385*722 (Wire
    2108121386uid 6018,0
    2108221387shape (OrthoPolyLine
     
    2109421399)
    2109521400start &56
    21096 end &146
     21401end &149
    2109721402sat 32
    2109821403eat 32
     
    2111421419)
    2111521420)
    21116 on &302
    21117 )
    21118 *718 (Wire
     21421on &305
     21422)
     21423*723 (Wire
    2111921424uid 6064,0
    2112021425shape (OrthoPolyLine
     
    2114921454)
    2115021455)
    21151 on &255
    21152 )
    21153 *719 (Wire
     21456on &258
     21457)
     21458*724 (Wire
    2115421459uid 6072,0
    2115521460optionalChildren [
    21156 *720 (BdJunction
     21461*725 (BdJunction
    2115721462uid 9745,0
    2115821463ps "OnConnectorStrategy"
     
    2118021485]
    2118121486)
    21182 start &387
    21183 end &681
     21487start &390
     21488end &686
    2118421489sat 32
    2118521490eat 32
     
    2120221507)
    2120321508)
    21204 on &185
    21205 )
    21206 *721 (Wire
     21509on &188
     21510)
     21511*726 (Wire
    2120721512uid 6082,0
    2120821513shape (OrthoPolyLine
     
    2121921524]
    2122021525)
    21221 start &131
    21222 end &703
     21526start &134
     21527end &708
    2122321528sat 32
    2122421529eat 32
     
    2124121546)
    2124221547)
    21243 on &185
    21244 )
    21245 *722 (Wire
     21548on &188
     21549)
     21550*727 (Wire
    2124621551uid 6160,0
    2124721552shape (OrthoPolyLine
     
    2125621561]
    2125721562)
    21258 start &285
    21259 end &304
     21563start &288
     21564end &307
    2126021565sat 32
    2126121566eat 32
     
    2127921584)
    2128021585)
    21281 on &303
    21282 )
    21283 *723 (Wire
     21586on &306
     21587)
     21588*728 (Wire
    2128421589uid 6276,0
    2128521590shape (OrthoPolyLine
     
    2129421599]
    2129521600)
    21296 end &123
     21601end &126
    2129721602sat 16
    2129821603eat 32
     
    2131321618)
    2131421619)
    21315 on &182
    21316 )
    21317 *724 (Wire
     21620on &185
     21621)
     21622*729 (Wire
    2131821623uid 6362,0
    2131921624shape (OrthoPolyLine
     
    2132221627vasetType 3
    2132321628)
    21324 xt "154000,75000,164750,75000"
    21325 pts [
    21326 "164750,75000"
    21327 "159000,75000"
    21328 "154000,75000"
     21629xt "164750,74000,169000,74000"
     21630pts [
     21631"164750,74000"
     21632"169000,74000"
    2132921633]
    2133021634)
    2133121635start &101
    21332 end &306
     21636end &309
    2133321637sat 32
    2133421638eat 32
     
    2134621650isHidden 1
    2134721651)
    21348 xt "166000,74000,169000,75000"
     21652xt "185000,73000,188000,74000"
    2134921653st "denable"
    21350 blo "166000,74800"
     21654blo "185000,73800"
    2135121655tm "WireNameMgr"
    2135221656)
    2135321657)
    21354 on &305
    21355 )
    21356 *725 (Wire
     21658on &308
     21659)
     21660*730 (Wire
    2135721661uid 6452,0
    2135821662shape (OrthoPolyLine
     
    2136121665vasetType 3
    2136221666)
    21363 xt "164750,76000,170000,76000"
    21364 pts [
    21365 "164750,76000"
    21366 "170000,76000"
     21667xt "164750,75000,170000,75000"
     21668pts [
     21669"164750,75000"
     21670"170000,75000"
    2136721671]
    2136821672)
     
    2138221686va (VaSet
    2138321687)
    21384 xt "171000,76000,176400,77000"
     21688xt "171000,75000,176400,76000"
    2138521689st "dwrite_enable"
    21386 blo "171000,76800"
     21690blo "171000,75800"
    2138721691tm "WireNameMgr"
    2138821692)
    2138921693)
    21390 on &307
    21391 )
    21392 *726 (Wire
     21694on &310
     21695)
     21696*731 (Wire
    2139321697uid 6540,0
    2139421698shape (OrthoPolyLine
     
    2140321707]
    2140421708)
    21405 start &312
     21709start &315
    2140621710sat 32
    2140721711eat 16
     
    2142421728)
    2142521729)
    21426 on &326
    21427 )
    21428 *727 (Wire
     21730on &329
     21731)
     21732*732 (Wire
    2142921733uid 6548,0
    2143021734shape (OrthoPolyLine
     
    2143921743]
    2144021744)
    21441 end &314
     21745end &317
    2144221746es 0
    2144321747sat 16
     
    2146121765)
    2146221766)
    21463 on &307
    21464 )
    21465 *728 (Wire
     21767on &310
     21768)
     21769*733 (Wire
    2146621770uid 8416,0
    2146721771shape (OrthoPolyLine
     
    2147021774vasetType 3
    2147121775)
    21472 xt "103750,75000,127250,75000"
     21776xt "103750,74000,127250,75000"
    2147321777pts [
    2147421778"103750,75000"
    21475 "127250,75000"
    21476 ]
    21477 )
    21478 start &147
     21779"124000,75000"
     21780"124000,74000"
     21781"127250,74000"
     21782]
     21783)
     21784start &150
    2147921785end &103
    2148021786sat 32
     
    2149721803)
    2149821804)
    21499 on &338
    21500 )
    21501 *729 (Wire
     21805on &341
     21806)
     21807*734 (Wire
    2150221808uid 8577,0
    2150321809shape (OrthoPolyLine
     
    2153521841)
    2153621842)
    21537 on &362
    21538 )
    21539 *730 (Wire
     21843on &365
     21844)
     21845*735 (Wire
    2154021846uid 8587,0
    2154121847shape (OrthoPolyLine
     
    2155121857]
    2155221858)
    21553 end &342
     21859end &345
    2155421860sat 16
    2155521861eat 32
     
    2157321879)
    2157421880)
    21575 on &362
    21576 )
    21577 *731 (Wire
     21881on &365
     21882)
     21883*736 (Wire
    2157821884uid 8595,0
    2157921885shape (OrthoPolyLine
     
    2158921895]
    2159021896)
    21591 end &347
     21897end &350
    2159221898sat 16
    2159321899eat 32
     
    2161121917)
    2161221918)
    21613 on &339
    21614 )
    21615 *732 (Wire
     21919on &342
     21920)
     21921*737 (Wire
    2161621922uid 8603,0
    2161721923shape (OrthoPolyLine
     
    2162721933]
    2162821934)
    21629 end &349
     21935end &352
    2163021936sat 16
    2163121937eat 32
     
    2164821954)
    2164921955)
    21650 on &340
    21651 )
    21652 *733 (Wire
     21956on &343
     21957)
     21958*738 (Wire
    2165321959uid 8732,0
    2165421960shape (OrthoPolyLine
     
    2166521971]
    2166621972)
    21667 start &275
    21668 end &369
     21973start &278
     21974end &372
    2166921975sat 32
    2167021976eat 32
     
    2168621992)
    2168721993)
    21688 on &381
    21689 )
    21690 *734 (Wire
     21994on &384
     21995)
     21996*739 (Wire
    2169121997uid 8738,0
    2169221998shape (OrthoPolyLine
     
    2170122007]
    2170222008)
    21703 end &367
     22009end &370
    2170422010sat 16
    2170522011eat 32
     
    2172222028)
    2172322029)
    21724 on &382
    21725 )
    21726 *735 (Wire
     22030on &385
     22031)
     22032*740 (Wire
    2172722033uid 8752,0
    2172822034shape (OrthoPolyLine
     
    2173122037vasetType 3
    2173222038)
    21733 xt "164750,77000,170000,77000"
    21734 pts [
    21735 "164750,77000"
    21736 "170000,77000"
     22039xt "164750,76000,170000,76000"
     22040pts [
     22041"164750,76000"
     22042"170000,76000"
    2173722043]
    2173822044)
     
    2175122057va (VaSet
    2175222058)
    21753 xt "171000,77000,175700,78000"
     22059xt "171000,76000,175700,77000"
    2175422060st "sclk_enable"
    21755 blo "171000,77800"
     22061blo "171000,76800"
    2175622062tm "WireNameMgr"
    2175722063)
    2175822064)
    21759 on &382
    21760 )
    21761 *736 (Wire
     22065on &385
     22066)
     22067*741 (Wire
    2176222068uid 9006,0
    2176322069shape (OrthoPolyLine
     
    2177322079)
    2177422080start &59
    21775 end &384
     22081end &387
    2177622082sat 32
    2177722083eat 32
     
    2179522101)
    2179622102)
    21797 on &383
    21798 )
    21799 *737 (Wire
     22103on &386
     22104)
     22105*742 (Wire
    2180022106uid 9233,0
    2180122107shape (OrthoPolyLine
     
    2180422110vasetType 3
    2180522111)
    21806 xt "164750,81000,170000,81000"
    21807 pts [
    21808 "164750,81000"
    21809 "170000,81000"
     22112xt "164750,80000,170000,80000"
     22113pts [
     22114"164750,80000"
     22115"170000,80000"
    2181022116]
    2181122117)
     
    2182422130va (VaSet
    2182522131)
    21826 xt "171000,81000,175900,82000"
     22132xt "171000,80000,175900,81000"
    2182722133st "ps_direction"
    21828 blo "171000,81800"
     22134blo "171000,80800"
    2182922135tm "WireNameMgr"
    2183022136)
    2183122137)
    21832 on &404
    21833 )
    21834 *738 (Wire
     22138on &407
     22139)
     22140*743 (Wire
    2183522141uid 9241,0
    2183622142shape (OrthoPolyLine
     
    2183922145vasetType 3
    2184022146)
    21841 xt "164750,82000,170000,82000"
    21842 pts [
    21843 "164750,82000"
    21844 "170000,82000"
     22147xt "164750,81000,170000,81000"
     22148pts [
     22149"164750,81000"
     22150"170000,81000"
    2184522151]
    2184622152)
     
    2185922165va (VaSet
    2186022166)
    21861 xt "171000,82000,178000,83000"
     22167xt "171000,81000,178000,82000"
    2186222168st "ps_do_phase_shift"
    21863 blo "171000,82800"
     22169blo "171000,81800"
    2186422170tm "WireNameMgr"
    2186522171)
    2186622172)
    21867 on &405
    21868 )
    21869 *739 (Wire
     22173on &408
     22174)
     22175*744 (Wire
    2187022176uid 9253,0
    2187122177optionalChildren [
    21872 *740 (BdJunction
     22178*745 (BdJunction
    2187322179uid 9507,0
    2187422180ps "OnConnectorStrategy"
     
    2189422200]
    2189522201)
    21896 end &398
     22202end &401
    2189722203sat 16
    2189822204eat 32
     
    2191322219)
    2191422220)
    21915 on &404
    21916 )
    21917 *741 (Wire
     22221on &407
     22222)
     22223*746 (Wire
    2191822224uid 9261,0
    2191922225shape (OrthoPolyLine
     
    2192822234]
    2192922235)
    21930 end &399
     22236end &402
    2193122237sat 16
    2193222238eat 32
     
    2194722253)
    2194822254)
    21949 on &405
    21950 )
    21951 *742 (Wire
     22255on &408
     22256)
     22257*747 (Wire
    2195222258uid 9269,0
    2195322259shape (OrthoPolyLine
     
    2196222268]
    2196322269)
    21964 start &396
    21965 end &407
     22270start &399
     22271end &410
    2196622272sat 32
    2196722273eat 32
     
    2198522291)
    2198622292)
    21987 on &406
    21988 )
    21989 *743 (Wire
     22293on &409
     22294)
     22295*748 (Wire
    2199022296uid 9283,0
    2199122297shape (OrthoPolyLine
     
    2200022306]
    2200122307)
    22002 start &395
    22003 end &409
     22308start &398
     22309end &412
    2200422310sat 32
    2200522311eat 32
     
    2202322329)
    2202422330)
    22025 on &408
    22026 )
    22027 *744 (Wire
     22331on &411
     22332)
     22333*749 (Wire
    2202822334uid 9297,0
    2202922335shape (OrthoPolyLine
     
    2203822344]
    2203922345)
    22040 start &394
    22041 end &411
     22346start &397
     22347end &414
    2204222348sat 32
    2204322349eat 32
     
    2206122367)
    2206222368)
    22063 on &410
    22064 )
    22065 *745 (Wire
     22369on &413
     22370)
     22371*750 (Wire
    2206622372uid 9325,0
    2206722373shape (OrthoPolyLine
     
    2207622382]
    2207722383)
    22078 start &393
    22079 end &413
     22384start &396
     22385end &416
    2208022386sat 32
    2208122387eat 32
     
    2209922405)
    2210022406)
    22101 on &412
    22102 )
    22103 *746 (Wire
     22407on &415
     22408)
     22409*751 (Wire
    2210422410uid 9353,0
    2210522411shape (OrthoPolyLine
     
    2211422420]
    2211522421)
    22116 start &392
    22117 end &415
     22422start &395
     22423end &418
    2211822424sat 32
    2211922425eat 32
     
    2213722443)
    2213822444)
    22139 on &414
    22140 )
    22141 *747 (Wire
     22445on &417
     22446)
     22447*752 (Wire
    2214222448uid 9367,0
    2214322449shape (OrthoPolyLine
     
    2215322459]
    2215422460)
    22155 start &391
    22156 end &417
     22461start &394
     22462end &420
    2215722463sat 32
    2215822464eat 32
     
    2217722483)
    2217822484)
    22179 on &416
    22180 )
    22181 *748 (Wire
     22485on &419
     22486)
     22487*753 (Wire
    2218222488uid 9382,0
    2218322489shape (OrthoPolyLine
     
    2219222498]
    2219322499)
    22194 start &390
    22195 end &419
     22500start &393
     22501end &422
    2219622502sat 32
    2219722503eat 32
     
    2221522521)
    2221622522)
    22217 on &418
    22218 )
    22219 *749 (Wire
     22523on &421
     22524)
     22525*754 (Wire
    2222022526uid 9397,0
    2222122527shape (OrthoPolyLine
     
    2223022536]
    2223122537)
    22232 start &389
    22233 end &421
     22538start &392
     22539end &424
    2223422540sat 32
    2223522541eat 32
     
    2225322559)
    2225422560)
    22255 on &420
    22256 )
    22257 *750 (Wire
     22561on &423
     22562)
     22563*755 (Wire
    2225822564uid 9503,0
    2225922565shape (OrthoPolyLine
     
    2226922575]
    2227022576)
    22271 start &740
    22272 end &423
     22577start &745
     22578end &426
    2227322579sat 32
    2227422580eat 32
     
    2229122597)
    2229222598)
    22293 on &404
    22294 )
    22295 *751 (Wire
     22599on &407
     22600)
     22601*756 (Wire
    2229622602uid 9539,0
    2229722603shape (OrthoPolyLine
     
    2230822614]
    2230922615)
    22310 start &425
    22311 end &435
     22616start &428
     22617end &438
    2231222618sat 32
    2231322619eat 32
     
    2233122637)
    2233222638)
    22333 on &436
    22334 )
    22335 *752 (Wire
     22639on &439
     22640)
     22641*757 (Wire
    2233622642uid 9673,0
    2233722643shape (OrthoPolyLine
     
    2234722653]
    2234822654)
    22349 start &663
    22350 end &438
     22655start &668
     22656end &441
    2235122657sat 32
    2235222658eat 32
     
    2236922675)
    2237022676)
    22371 on &184
    22372 )
    22373 *753 (Wire
     22677on &187
     22678)
     22679*758 (Wire
    2237422680uid 9741,0
    2237522681shape (OrthoPolyLine
     
    2238622692]
    2238722693)
    22388 start &720
    22389 end &451
     22694start &725
     22695end &454
    2239022696sat 32
    2239122697eat 32
     
    2240822714)
    2240922715)
    22410 on &185
    22411 )
    22412 *754 (Wire
     22716on &188
     22717)
     22718*759 (Wire
    2241322719uid 9747,0
    2241422720shape (OrthoPolyLine
     
    2242522731]
    2242622732)
    22427 start &665
    22428 end &464
     22733start &670
     22734end &467
    2242922735sat 32
    2243022736eat 32
     
    2244722753)
    2244822754)
    22449 on &182
    22450 )
    22451 *755 (Wire
     22755on &185
     22756)
     22757*760 (Wire
    2245222758uid 9755,0
    2245322759shape (OrthoPolyLine
     
    2246222768]
    2246322769)
    22464 start &440
    22465 end &476
     22770start &443
     22771end &479
    2246622772sat 32
    2246722773eat 32
     
    2248522791)
    2248622792)
    22487 on &477
    22488 )
    22489 *756 (Wire
     22793on &480
     22794)
     22795*761 (Wire
    2249022796uid 9771,0
    2249122797shape (OrthoPolyLine
     
    2250022806]
    2250122807)
    22502 start &453
    22503 end &478
     22808start &456
     22809end &481
    2250422810sat 32
    2250522811eat 32
     
    2252322829)
    2252422830)
    22525 on &479
    22526 )
    22527 *757 (Wire
     22831on &482
     22832)
     22833*762 (Wire
    2252822834uid 9787,0
    2252922835shape (OrthoPolyLine
     
    2253822844]
    2253922845)
    22540 start &466
    22541 end &480
     22846start &469
     22847end &483
    2254222848sat 32
    2254322849eat 32
     
    2256122867)
    2256222868)
    22563 on &481
    22564 )
    22565 *758 (Wire
     22869on &484
     22870)
     22871*763 (Wire
    2256622872uid 9943,0
    2256722873shape (OrthoPolyLine
     
    2257022876vasetType 3
    2257122877)
    22572 xt "164750,83000,170000,83000"
    22573 pts [
    22574 "164750,83000"
    22575 "170000,83000"
     22878xt "164750,82000,170000,82000"
     22879pts [
     22880"164750,82000"
     22881"170000,82000"
    2257622882]
    2257722883)
     
    2259022896va (VaSet
    2259122897)
    22592 xt "171000,83000,174300,84000"
     22898xt "171000,82000,174300,83000"
    2259322899st "ps_reset"
    22594 blo "171000,83800"
     22900blo "171000,82800"
    2259522901tm "WireNameMgr"
    2259622902)
    2259722903)
    22598 on &482
    22599 )
    22600 *759 (Wire
     22904on &485
     22905)
     22906*764 (Wire
    2260122907uid 9951,0
    2260222908shape (OrthoPolyLine
     
    2260522911vasetType 3
    2260622912)
    22607 xt "164750,85000,170000,85000"
    22608 pts [
    22609 "164750,85000"
    22610 "170000,85000"
     22913xt "164750,84000,170000,84000"
     22914pts [
     22915"164750,84000"
     22916"170000,84000"
    2261122917]
    2261222918)
     
    2262522931va (VaSet
    2262622932)
    22627 xt "171000,85000,176000,86000"
     22933xt "171000,84000,176000,85000"
    2262822934st "srclk_enable"
    22629 blo "171000,85800"
     22935blo "171000,84800"
    2263022936tm "WireNameMgr"
    2263122937)
    2263222938)
    22633 on &483
    22634 )
    22635 *760 (Wire
     22939on &486
     22940)
     22941*765 (Wire
    2263622942uid 10010,0
    2263722943shape (OrthoPolyLine
     
    2264822954]
    2264922955)
    22650 start &218
    22651 end &488
     22956start &221
     22957end &491
    2265222958sat 32
    2265322959eat 32
     
    2266922975)
    2267022976)
    22671 on &502
    22672 )
    22673 *761 (Wire
     22977on &505
     22978)
     22979*766 (Wire
    2267422980uid 10018,0
    2267522981shape (OrthoPolyLine
     
    2268422990]
    2268522991)
    22686 end &490
     22992end &493
    2268722993sat 16
    2268822994eat 32
     
    2270523011)
    2270623012)
    22707 on &483
    22708 )
    22709 *762 (Wire
     23013on &486
     23014)
     23015*767 (Wire
    2271023016uid 10036,0
    2271123017shape (OrthoPolyLine
     
    2272023026]
    2272123027)
    22722 end &400
     23028end &403
    2272323029sat 16
    2272423030eat 32
     
    2273923045)
    2274023046)
    22741 on &482
    22742 )
    22743 *763 (Wire
     23047on &485
     23048)
     23049*768 (Wire
    2274423050uid 10194,0
    2274523051shape (OrthoPolyLine
     
    2274823054vasetType 3
    2274923055)
    22750 xt "92750,85000,127250,110000"
     23056xt "92750,84000,127250,110000"
    2275123057pts [
    2275223058"92750,110000"
    22753 "125000,110000"
    22754 "125000,85000"
    22755 "127250,85000"
    22756 ]
    22757 )
    22758 start &244
     23059"104000,110000"
     23060"104000,84000"
     23061"127250,84000"
     23062]
     23063)
     23064start &247
    2275923065end &109
    2276023066ss 0
     
    2277923085)
    2278023086)
    22781 on &503
    22782 )
    22783 *764 (Wire
     23087on &506
     23088)
     23089*769 (Wire
    2278423090uid 10202,0
    2278523091shape (OrthoPolyLine
     
    2278823094vasetType 3
    2278923095)
    22790 xt "92750,86000,127250,111000"
     23096xt "92750,85000,127250,111000"
    2279123097pts [
    2279223098"92750,111000"
    22793 "126000,111000"
    22794 "126000,86000"
    22795 "127250,86000"
    22796 ]
    22797 )
    22798 start &245
     23099"111000,111000"
     23100"111000,85000"
     23101"127250,85000"
     23102]
     23103)
     23104start &248
    2279923105end &110
    2280023106sat 32
     
    2281723123)
    2281823124)
    22819 on &504
    22820 )
    22821 *765 (Wire
     23125on &507
     23126)
     23127*770 (Wire
    2282223128uid 10266,0
    2282323129shape (OrthoPolyLine
     
    2282623132vasetType 3
    2282723133)
    22828 xt "121000,64000,127250,64000"
    22829 pts [
    22830 "127250,64000"
    22831 "124000,64000"
    22832 "121000,64000"
     23134xt "121000,63000,127250,63000"
     23135pts [
     23136"127250,63000"
     23137"121000,63000"
    2283323138]
    2283423139)
     
    2284723152va (VaSet
    2284823153)
    22849 xt "122000,63000,126800,64000"
     23154xt "122000,62000,126800,63000"
    2285023155st "s_trigger_0"
    22851 blo "122000,63800"
     23156blo "122000,62800"
    2285223157tm "WireNameMgr"
    2285323158)
    2285423159)
    22855 on &603
    22856 )
    22857 *766 (Wire
     23160on &606
     23161)
     23162*771 (Wire
    2285823163uid 10298,0
    2285923164shape (OrthoPolyLine
     
    2286823173]
    2286923174)
    22870 start &223
     23175start &226
    2287123176end &61
    2287223177sat 32
     
    2288923194)
    2289023195)
    22891 on &506
    22892 )
    22893 *767 (Wire
     23196on &509
     23197)
     23198*772 (Wire
    2289423199uid 10304,0
    2289523200shape (OrthoPolyLine
     
    2290423209]
    2290523210)
    22906 start &221
     23211start &224
    2290723212end &62
    2290823213sat 32
     
    2292523230)
    2292623231)
    22927 on &507
    22928 )
    22929 *768 (Wire
     23232on &510
     23233)
     23234*773 (Wire
    2293023235uid 10310,0
    2293123236shape (OrthoPolyLine
     
    2294023245]
    2294123246)
    22942 start &222
     23247start &225
    2294323248end &63
    2294423249sat 32
     
    2296123266)
    2296223267)
    22963 on &508
    22964 )
    22965 *769 (Wire
     23268on &511
     23269)
     23270*774 (Wire
    2296623271uid 10316,0
    2296723272shape (OrthoPolyLine
     
    2297823283)
    2297923284start &60
    22980 end &219
     23285end &222
    2298123286sat 32
    2298223287eat 32
     
    2299923304)
    2300023305)
    23001 on &509
    23002 )
    23003 *770 (Wire
     23306on &512
     23307)
     23308*775 (Wire
    2300423309uid 10322,0
    2300523310shape (OrthoPolyLine
     
    2301423319]
    2301523320)
    23016 start &220
    23017 end &511
     23321start &223
     23322end &514
    2301823323sat 32
    2301923324eat 32
     
    2303723342)
    2303823343)
    23039 on &510
    23040 )
    23041 *771 (Wire
     23344on &513
     23345)
     23346*776 (Wire
    2304223347uid 10431,0
    2304323348shape (OrthoPolyLine
     
    2305223357]
    2305323358)
    23054 end &518
     23359end &521
    2305523360es 0
    2305623361sat 16
     
    2307423379)
    2307523380)
    23076 on &505
    23077 )
    23078 *772 (Wire
     23381on &508
     23382)
     23383*777 (Wire
    2307923384uid 10439,0
    2308023385optionalChildren [
    23081 *773 (BdJunction
     23386*778 (BdJunction
    2308223387uid 12639,0
    2308323388ps "OnConnectorStrategy"
     
    2310623411)
    2310723412start &30
    23108 end &122
     23413end &125
    2310923414sat 32
    2311023415eat 32
     
    2312623431)
    2312723432)
    23128 on &535
    23129 )
    23130 *774 (Wire
     23433on &538
     23434)
     23435*779 (Wire
    2313123436uid 10467,0
    2313223437shape (OrthoPolyLine
     
    2314323448]
    2314423449)
    23145 start &148
     23450start &151
    2314623451end &64
    2314723452es 0
     
    2316523470)
    2316623471)
    23167 on &536
    23168 )
    23169 *775 (Wire
     23472on &539
     23473)
     23474*780 (Wire
    2317023475uid 10629,0
    2317123476shape (OrthoPolyLine
     
    2317423479vasetType 3
    2317523480)
    23176 xt "164750,89000,174000,89000"
    23177 pts [
    23178 "164750,89000"
    23179 "174000,89000"
     23481xt "164750,88000,174000,88000"
     23482pts [
     23483"164750,88000"
     23484"174000,88000"
    2318023485]
    2318123486)
     
    2319423499va (VaSet
    2319523500)
    23196 xt "166000,88000,172500,89000"
     23501xt "166000,87000,172500,88000"
    2319723502st "socks_connected"
    23198 blo "166000,88800"
     23503blo "166000,87800"
    2319923504tm "WireNameMgr"
    2320023505)
    2320123506)
    23202 on &537
    23203 )
    23204 *776 (Wire
     23507on &540
     23508)
     23509*781 (Wire
    2320523510uid 10637,0
    2320623511shape (OrthoPolyLine
     
    2320923514vasetType 3
    2321023515)
    23211 xt "164750,90000,173000,90000"
    23212 pts [
    23213 "164750,90000"
    23214 "173000,90000"
     23516xt "164750,89000,173000,89000"
     23517pts [
     23518"164750,89000"
     23519"173000,89000"
    2321523520]
    2321623521)
     
    2322923534va (VaSet
    2323023535)
    23231 xt "166000,89000,171500,90000"
     23536xt "166000,88000,171500,89000"
    2323223537st "socks_waiting"
    23233 blo "166000,89800"
     23538blo "166000,88800"
    2323423539tm "WireNameMgr"
    2323523540)
    2323623541)
    23237 on &538
    23238 )
    23239 *777 (Wire
     23542on &541
     23543)
     23544*782 (Wire
    2324023545uid 10685,0
    2324123546shape (OrthoPolyLine
     
    2325023555]
    2325123556)
    23252 end &551
     23557end &554
    2325323558sat 16
    2325423559eat 32
     
    2327023575)
    2327123576)
    23272 on &538
    23273 )
    23274 *778 (Wire
     23577on &541
     23578)
     23579*783 (Wire
    2327523580uid 10691,0
    2327623581shape (OrthoPolyLine
     
    2328523590]
    2328623591)
    23287 end &552
     23592end &555
    2328823593sat 16
    2328923594eat 32
     
    2330523610)
    2330623611)
    23307 on &537
    23308 )
    23309 *779 (Wire
     23612on &540
     23613)
     23614*784 (Wire
    2331023615uid 10699,0
    2331123616shape (OrthoPolyLine
     
    2332123626]
    2332223627)
    23323 end &546
     23628end &549
    2332423629sat 16
    2332523630eat 32
     
    2334123646)
    2334223647)
    23343 on &184
    23344 )
    23345 *780 (Wire
     23648on &187
     23649)
     23650*785 (Wire
    2334623651uid 10707,0
    2334723652shape (OrthoPolyLine
     
    2335623661]
    2335723662)
    23358 end &550
     23663end &553
    2335923664sat 16
    2336023665eat 32
     
    2337623681)
    2337723682)
    23378 on &557
    23379 )
    23380 *781 (Wire
     23683on &560
     23684)
     23685*786 (Wire
    2338123686uid 10723,0
    2338223687shape (OrthoPolyLine
     
    2339123696]
    2339223697)
    23393 start &547
    23394 end &540
     23698start &550
     23699end &543
    2339523700sat 32
    2339623701eat 32
     
    2341423719)
    2341523720)
    23416 on &539
    23417 )
    23418 *782 (Wire
     23721on &542
     23722)
     23723*787 (Wire
    2341923724uid 10737,0
    2342023725shape (OrthoPolyLine
     
    2342923734]
    2343023735)
    23431 start &548
    23432 end &542
     23736start &551
     23737end &545
    2343323738sat 32
    2343423739eat 32
     
    2345223757)
    2345323758)
    23454 on &541
    23455 )
    23456 *783 (Wire
     23759on &544
     23760)
     23761*788 (Wire
    2345723762uid 10751,0
    2345823763shape (OrthoPolyLine
     
    2346723772]
    2346823773)
    23469 start &549
    23470 end &544
     23774start &552
     23775end &547
    2347123776sat 32
    2347223777eat 32
     
    2349023795)
    2349123796)
    23492 on &543
    23493 )
    23494 *784 (Wire
     23797on &546
     23798)
     23799*789 (Wire
    2349523800uid 11405,0
    2349623801shape (OrthoPolyLine
     
    2352623831)
    2352723832)
    23528 on &557
    23529 )
    23530 *785 (Wire
     23833on &560
     23834)
     23835*790 (Wire
    2353123836uid 11858,0
    2353223837shape (OrthoPolyLine
     
    2353523840vasetType 3
    2353623841)
    23537 xt "164750,91000,173000,91000"
    23538 pts [
    23539 "164750,91000"
    23540 "173000,91000"
     23842xt "164750,90000,173000,90000"
     23843pts [
     23844"164750,90000"
     23845"173000,90000"
    2354123846]
    2354223847)
     
    2355523860va (VaSet
    2355623861)
    23557 xt "166000,90000,171800,91000"
     23862xt "166000,89000,171800,90000"
    2355823863st "trigger_enable"
    23559 blo "166000,90800"
     23864blo "166000,89800"
    2356023865tm "WireNameMgr"
    2356123866)
    2356223867)
    23563 on &558
    23564 )
    23565 *786 (Wire
     23868on &561
     23869)
     23870*791 (Wire
    2356623871uid 11952,0
    2356723872shape (OrthoPolyLine
     
    2357623881]
    2357723882)
    23578 end &565
     23883end &568
    2357923884sat 16
    2358023885eat 32
     
    2359723902)
    2359823903)
    23599 on &558
    23600 )
    23601 *787 (Wire
     23904on &561
     23905)
     23906*792 (Wire
    2360223907uid 12306,0
    2360323908shape (OrthoPolyLine
     
    2361223917]
    2361323918)
    23614 start &515
    23615 end &563
     23919start &518
     23920end &566
    2361623921sat 32
    2361723922eat 32
     
    2363523940)
    2363623941)
    23637 on &577
    23638 )
    23639 *788 (Wire
     23942on &580
     23943)
     23944*793 (Wire
    2364023945uid 12635,0
    2364123946shape (OrthoPolyLine
     
    2365123956]
    2365223957)
    23653 start &773
    23654 end &580
     23958start &778
     23959end &583
    2365523960sat 32
    2365623961eat 32
     
    2367323978)
    2367423979)
    23675 on &535
    23676 )
    23677 *789 (Wire
     23980on &538
     23981)
     23982*794 (Wire
    2367823983uid 12643,0
    2367923984shape (OrthoPolyLine
     
    2369023995]
    2369123996)
    23692 start &560
    23693 end &579
     23997start &563
     23998end &582
    2369423999sat 32
    2369524000eat 32
     
    2371324018)
    2371424019)
    23715 on &588
    23716 )
    23717 *790 (Wire
     24020on &591
     24021)
     24022*795 (Wire
    2371824023uid 12649,0
    2371924024shape (OrthoPolyLine
     
    2373024035)
    2373124036start &66
    23732 end &582
     24037end &585
    2373324038sat 32
    2373424039eat 32
     
    2375024055)
    2375124056)
    23752 on &589
    23753 )
    23754 *791 (Wire
     24057on &592
     24058)
     24059*796 (Wire
    2375524060uid 12655,0
    2375624061shape (OrthoPolyLine
     
    2376724072)
    2376824073start &67
    23769 end &583
     24074end &586
    2377024075sat 32
    2377124076eat 32
     
    2378724092)
    2378824093)
    23789 on &590
    23790 )
    23791 *792 (Wire
     24094on &593
     24095)
     24096*797 (Wire
    2379224097uid 12667,0
    2379324098shape (OrthoPolyLine
     
    2380224107]
    2380324108)
    23804 start &581
     24109start &584
    2380524110sat 32
    2380624111eat 16
     
    2382224127)
    2382324128)
    23824 on &326
    23825 )
    23826 *793 (Wire
     24129on &329
     24130)
     24131*798 (Wire
    2382724132uid 12687,0
    2382824133shape (OrthoPolyLine
     
    2384024145]
    2384124146)
    23842 end &584
     24147end &587
    2384324148sat 16
    2384424149eat 32
     
    2386024165)
    2386124166)
    23862 on &185
    23863 )
    23864 *794 (Wire
     24167on &188
     24168)
     24169*799 (Wire
    2386524170uid 12707,0
    2386624171shape (OrthoPolyLine
     
    2387524180]
    2387624181)
    23877 start &553
    23878 end &592
     24182start &556
     24183end &595
    2387924184sat 32
    2388024185eat 32
     
    2389824203)
    2389924204)
    23900 on &591
    23901 )
    23902 *795 (Wire
     24205on &594
     24206)
     24207*800 (Wire
    2390324208uid 13143,0
    2390424209shape (OrthoPolyLine
     
    2391324218]
    2391424219)
    23915 end &594
     24220end &597
    2391624221sat 16
    2391724222eat 32
     
    2393324238)
    2393424239)
    23935 on &185
    23936 )
    23937 *796 (Wire
     24240on &188
     24241)
     24242*801 (Wire
    2393824243uid 13159,0
    2393924244shape (OrthoPolyLine
     
    2394224247vasetType 3
    2394324248)
    23944 xt "103750,60000,127250,65000"
    23945 pts [
    23946 "127250,65000"
    23947 "108000,65000"
    23948 "108000,60000"
     24249xt "103750,60000,127250,64000"
     24250pts [
     24251"127250,64000"
     24252"119000,64000"
     24253"119000,60000"
    2394924254"103750,60000"
    2395024255]
    2395124256)
    2395224257start &114
    23953 end &595
     24258end &598
    2395424259sat 32
    2395524260eat 32
     
    2396524270va (VaSet
    2396624271)
    23967 xt "119250,64000,125850,65000"
     24272xt "119250,63000,125850,64000"
    2396824273st "c_trigger_enable"
    23969 blo "119250,64800"
     24274blo "119250,63800"
    2397024275tm "WireNameMgr"
    2397124276)
    2397224277)
    23973 on &601
    23974 )
    23975 *797 (Wire
     24278on &604
     24279)
     24280*802 (Wire
    2397624281uid 13165,0
    2397724282shape (OrthoPolyLine
     
    2398124286lineWidth 2
    2398224287)
    23983 xt "103750,61000,127250,66000"
    23984 pts [
    23985 "127250,66000"
    23986 "107000,66000"
    23987 "107000,61000"
     24288xt "103750,61000,127250,65000"
     24289pts [
     24290"127250,65000"
     24291"118000,65000"
     24292"118000,61000"
    2398824293"103750,61000"
    2398924294]
    2399024295)
    2399124296start &115
    23992 end &596
     24297end &599
    2399324298sat 32
    2399424299eat 32
     
    2400524310va (VaSet
    2400624311)
    24007 xt "118250,65000,126650,66000"
     24312xt "118250,64000,126650,65000"
    2400824313st "c_trigger_mult : (7:0)"
    24009 blo "118250,65800"
     24314blo "118250,64800"
    2401024315tm "WireNameMgr"
    2401124316)
    2401224317)
    24013 on &602
    24014 )
    24015 *798 (Wire
     24318on &605
     24319)
     24320*803 (Wire
    2401624321uid 13210,0
    2401724322shape (OrthoPolyLine
     
    2402624331]
    2402724332)
    24028 start &597
    24029 end &606
     24333start &600
     24334end &609
    2403024335sat 32
    2403124336eat 32
     
    2404724352)
    2404824353)
    24049 on &604
    24050 )
    24051 *799 (Wire
     24354on &607
     24355)
     24356*804 (Wire
    2405224357uid 13216,0
    2405324358shape (OrthoPolyLine
     
    2406224367]
    2406324368)
    24064 end &611
     24369end &614
    2406524370sat 16
    2406624371eat 32
     
    2408424389)
    2408524390)
    24086 on &603
    24087 )
    24088 *800 (Wire
     24391on &606
     24392)
     24393*805 (Wire
    2408924394uid 13224,0
    2409024395shape (OrthoPolyLine
     
    2409924404]
    2410024405)
    24101 start &608
     24406start &611
    2410224407sat 32
    2410324408eat 16
     
    2412124426)
    2412224427)
    24123 on &505
     24428on &508
     24429)
     24430*806 (Wire
     24431uid 13695,0
     24432shape (OrthoPolyLine
     24433uid 13696,0
     24434va (VaSet
     24435vasetType 3
     24436lineWidth 2
     24437)
     24438xt "127000,92000,127250,92000"
     24439pts [
     24440"127000,92000"
     24441"127250,92000"
     24442]
     24443)
     24444start &631
     24445end &116
     24446sat 32
     24447eat 32
     24448sty 1
     24449st 0
     24450sf 1
     24451si 0
     24452tg (WTG
     24453uid 13699,0
     24454ps "ConnStartEndStrategy"
     24455stg "STSignalDisplayStrategy"
     24456f (Text
     24457uid 13700,0
     24458va (VaSet
     24459isHidden 1
     24460)
     24461xt "129000,91000,134500,92000"
     24462st "D_T_in : (1:0)"
     24463blo "129000,91800"
     24464tm "WireNameMgr"
     24465)
     24466)
     24467on &632
     24468)
     24469*807 (Wire
     24470uid 13921,0
     24471shape (OrthoPolyLine
     24472uid 13922,0
     24473va (VaSet
     24474vasetType 3
     24475lineWidth 2
     24476)
     24477xt "119000,93000,127250,93000"
     24478pts [
     24479"119000,93000"
     24480"127250,93000"
     24481]
     24482)
     24483end &117
     24484sat 16
     24485eat 32
     24486sty 1
     24487st 0
     24488sf 1
     24489si 0
     24490tg (WTG
     24491uid 13925,0
     24492ps "ConnStartEndStrategy"
     24493stg "STSignalDisplayStrategy"
     24494f (Text
     24495uid 13926,0
     24496va (VaSet
     24497)
     24498xt "120000,92000,125900,93000"
     24499st "board_id : (3:0)"
     24500blo "120000,92800"
     24501tm "WireNameMgr"
     24502)
     24503)
     24504on &71
     24505)
     24506*808 (Wire
     24507uid 13929,0
     24508shape (OrthoPolyLine
     24509uid 13930,0
     24510va (VaSet
     24511vasetType 3
     24512lineWidth 2
     24513)
     24514xt "119000,94000,127250,94000"
     24515pts [
     24516"119000,94000"
     24517"127250,94000"
     24518]
     24519)
     24520end &118
     24521sat 16
     24522eat 32
     24523sty 1
     24524st 0
     24525sf 1
     24526si 0
     24527tg (WTG
     24528uid 13933,0
     24529ps "ConnStartEndStrategy"
     24530stg "STSignalDisplayStrategy"
     24531f (Text
     24532uid 13934,0
     24533va (VaSet
     24534)
     24535xt "120000,93000,125700,94000"
     24536st "crate_id : (1:0)"
     24537blo "120000,93800"
     24538tm "WireNameMgr"
     24539)
     24540)
     24541on &122
    2412424542)
    2412524543]
     
    2413524553color "26368,26368,26368"
    2413624554)
    24137 packageList *801 (PackageList
     24555packageList *809 (PackageList
    2413824556uid 41,0
    2413924557stg "VerticalLayoutStrategy"
    2414024558textVec [
    24141 *802 (Text
     24559*810 (Text
    2414224560uid 42,0
    2414324561va (VaSet
     
    2414824566blo "-87000,1800"
    2414924567)
    24150 *803 (MLText
     24568*811 (MLText
    2415124569uid 43,0
    2415224570va (VaSet
     
    2417324591stg "VerticalLayoutStrategy"
    2417424592textVec [
    24175 *804 (Text
     24593*812 (Text
    2417624594uid 45,0
    2417724595va (VaSet
     
    2418324601blo "20000,800"
    2418424602)
    24185 *805 (Text
     24603*813 (Text
    2418624604uid 46,0
    2418724605va (VaSet
     
    2419324611blo "20000,1800"
    2419424612)
    24195 *806 (MLText
     24613*814 (MLText
    2419624614uid 47,0
    2419724615va (VaSet
     
    2420324621tm "BdCompilerDirectivesTextMgr"
    2420424622)
    24205 *807 (Text
     24623*815 (Text
    2420624624uid 48,0
    2420724625va (VaSet
     
    2421324631blo "20000,4800"
    2421424632)
    24215 *808 (MLText
     24633*816 (MLText
    2421624634uid 49,0
    2421724635va (VaSet
     
    2422124639tm "BdCompilerDirectivesTextMgr"
    2422224640)
    24223 *809 (Text
     24641*817 (Text
    2422424642uid 50,0
    2422524643va (VaSet
     
    2423124649blo "20000,5800"
    2423224650)
    24233 *810 (MLText
     24651*818 (MLText
    2423424652uid 51,0
    2423524653va (VaSet
     
    2424324661)
    2424424662windowSize "0,0,1281,1024"
    24245 viewArea "52700,30300,135458,98550"
     24663viewArea "66764,43742,149522,111992"
    2424624664cachedDiagramExtent "-104000,-60500,247550,343294"
    2424724665pageSetupInfo (PageSetupInfo
     
    2426924687hasePageBreakOrigin 1
    2427024688pageBreakOrigin "-73000,0"
    24271 lastUid 13484,0
     24689lastUid 13934,0
    2427224690defaultCommentText (CommentText
    2427324691shape (Rectangle
     
    2433124749stg "VerticalLayoutStrategy"
    2433224750textVec [
    24333 *811 (Text
     24751*819 (Text
    2433424752va (VaSet
    2433524753font "Arial,8,1"
     
    2434024758tm "BdLibraryNameMgr"
    2434124759)
    24342 *812 (Text
     24760*820 (Text
    2434324761va (VaSet
    2434424762font "Arial,8,1"
     
    2434924767tm "BlkNameMgr"
    2435024768)
    24351 *813 (Text
     24769*821 (Text
    2435224770va (VaSet
    2435324771font "Arial,8,1"
     
    2440024818stg "VerticalLayoutStrategy"
    2440124819textVec [
    24402 *814 (Text
     24820*822 (Text
    2440324821va (VaSet
    2440424822font "Arial,8,1"
     
    2440824826blo "550,4300"
    2440924827)
    24410 *815 (Text
     24828*823 (Text
    2441124829va (VaSet
    2441224830font "Arial,8,1"
     
    2441624834blo "550,5300"
    2441724835)
    24418 *816 (Text
     24836*824 (Text
    2441924837va (VaSet
    2442024838font "Arial,8,1"
     
    2446524883stg "VerticalLayoutStrategy"
    2446624884textVec [
    24467 *817 (Text
     24885*825 (Text
    2446824886va (VaSet
    2446924887font "Arial,8,1"
     
    2447424892tm "BdLibraryNameMgr"
    2447524893)
    24476 *818 (Text
     24894*826 (Text
    2447724895va (VaSet
    2447824896font "Arial,8,1"
     
    2448324901tm "CptNameMgr"
    2448424902)
    24485 *819 (Text
     24903*827 (Text
    2448624904va (VaSet
    2448724905font "Arial,8,1"
     
    2453724955stg "VerticalLayoutStrategy"
    2453824956textVec [
    24539 *820 (Text
     24957*828 (Text
    2454024958va (VaSet
    2454124959font "Arial,8,1"
     
    2454524963blo "500,4300"
    2454624964)
    24547 *821 (Text
     24965*829 (Text
    2454824966va (VaSet
    2454924967font "Arial,8,1"
     
    2455324971blo "500,5300"
    2455424972)
    24555 *822 (Text
     24973*830 (Text
    2455624974va (VaSet
    2455724975font "Arial,8,1"
     
    2459825016stg "VerticalLayoutStrategy"
    2459925017textVec [
    24600 *823 (Text
     25018*831 (Text
    2460125019va (VaSet
    2460225020font "Arial,8,1"
     
    2460625024blo "50,4300"
    2460725025)
    24608 *824 (Text
     25026*832 (Text
    2460925027va (VaSet
    2461025028font "Arial,8,1"
     
    2461425032blo "50,5300"
    2461525033)
    24616 *825 (Text
     25034*833 (Text
    2461725035va (VaSet
    2461825036font "Arial,8,1"
     
    2465525073stg "VerticalLayoutStrategy"
    2465625074textVec [
    24657 *826 (Text
     25075*834 (Text
    2465825076va (VaSet
    2465925077font "Arial,8,1"
     
    2466425082tm "HdlTextNameMgr"
    2466525083)
    24666 *827 (Text
     25084*835 (Text
    2466725085va (VaSet
    2466825086font "Arial,8,1"
     
    2506725485stg "VerticalLayoutStrategy"
    2506825486textVec [
    25069 *828 (Text
     25487*836 (Text
    2507025488va (VaSet
    2507125489font "Arial,8,1"
     
    2507525493blo "14100,20800"
    2507625494)
    25077 *829 (MLText
     25495*837 (MLText
    2507825496va (VaSet
    2507925497)
     
    2512725545stg "VerticalLayoutStrategy"
    2512825546textVec [
    25129 *830 (Text
     25547*838 (Text
    2513025548va (VaSet
    2513125549font "Arial,8,1"
     
    2513525553blo "14100,20800"
    2513625554)
    25137 *831 (MLText
     25555*839 (MLText
    2513825556va (VaSet
    2513925557)
     
    2523425652font "Arial,8,1"
    2523525653)
    25236 xt "-104000,57200,-100200,58200"
     25654xt "-104000,58000,-100200,59000"
    2523725655st "Pre User:"
    25238 blo "-104000,58000"
     25656blo "-104000,58800"
    2523925657)
    2524025658preUserText (MLText
     
    2525125669font "Arial,8,1"
    2525225670)
    25253 xt "-104000,58200,-96900,59200"
     25671xt "-104000,59000,-96900,60000"
    2525425672st "Diagram Signals:"
    25255 blo "-104000,59000"
     25673blo "-104000,59800"
    2525625674)
    2525725675postUserLabel (Text
     
    2526025678font "Arial,8,1"
    2526125679)
    25262 xt "-104000,127200,-99300,128200"
     25680xt "-104000,128000,-99300,129000"
    2526325681st "Post User:"
    25264 blo "-104000,128000"
     25682blo "-104000,128800"
    2526525683)
    2526625684postUserText (MLText
     
    2527525693commonDM (CommonDM
    2527625694ldm (LogicalDM
    25277 suid 280,0
     25695suid 283,0
    2527825696usingSuid 1
    25279 emptyRow *832 (LEmptyRow
     25697emptyRow *840 (LEmptyRow
    2528025698)
    2528125699uid 54,0
    2528225700optionalChildren [
    25283 *833 (RefLabelRowHdr
    25284 )
    25285 *834 (TitleRowHdr
    25286 )
    25287 *835 (FilterRowHdr
    25288 )
    25289 *836 (RefLabelColHdr
     25701*841 (RefLabelRowHdr
     25702)
     25703*842 (TitleRowHdr
     25704)
     25705*843 (FilterRowHdr
     25706)
     25707*844 (RefLabelColHdr
    2529025708tm "RefLabelColHdrMgr"
    2529125709)
    25292 *837 (RowExpandColHdr
     25710*845 (RowExpandColHdr
    2529325711tm "RowExpandColHdrMgr"
    2529425712)
    25295 *838 (GroupColHdr
     25713*846 (GroupColHdr
    2529625714tm "GroupColHdrMgr"
    2529725715)
    25298 *839 (NameColHdr
     25716*847 (NameColHdr
    2529925717tm "BlockDiagramNameColHdrMgr"
    2530025718)
    25301 *840 (ModeColHdr
     25719*848 (ModeColHdr
    2530225720tm "BlockDiagramModeColHdrMgr"
    2530325721)
    25304 *841 (TypeColHdr
     25722*849 (TypeColHdr
    2530525723tm "BlockDiagramTypeColHdrMgr"
    2530625724)
    25307 *842 (BoundsColHdr
     25725*850 (BoundsColHdr
    2530825726tm "BlockDiagramBoundsColHdrMgr"
    2530925727)
    25310 *843 (InitColHdr
     25728*851 (InitColHdr
    2531125729tm "BlockDiagramInitColHdrMgr"
    2531225730)
    25313 *844 (EolColHdr
     25731*852 (EolColHdr
    2531425732tm "BlockDiagramEolColHdrMgr"
    2531525733)
    25316 *845 (LeafLogPort
     25734*853 (LeafLogPort
    2531725735port (LogicalPort
    2531825736m 4
     
    2532825746uid 516,0
    2532925747)
    25330 *846 (LeafLogPort
     25748*854 (LeafLogPort
    2533125749port (LogicalPort
    2533225750m 4
     
    2534125759uid 518,0
    2534225760)
    25343 *847 (LeafLogPort
     25761*855 (LeafLogPort
    2534425762port (LogicalPort
    2534525763m 4
     
    2535425772uid 520,0
    2535525773)
    25356 *848 (LeafLogPort
     25774*856 (LeafLogPort
    2535725775port (LogicalPort
    2535825776m 4
     
    2536725785uid 530,0
    2536825786)
    25369 *849 (LeafLogPort
     25787*857 (LeafLogPort
    2537025788port (LogicalPort
    2537125789m 4
     
    2538025798uid 532,0
    2538125799)
    25382 *850 (LeafLogPort
     25800*858 (LeafLogPort
    2538325801port (LogicalPort
    2538425802m 1
     
    2539325811uid 534,0
    2539425812)
    25395 *851 (LeafLogPort
     25813*859 (LeafLogPort
    2539625814port (LogicalPort
    2539725815m 1
     
    2540625824uid 536,0
    2540725825)
    25408 *852 (LeafLogPort
     25826*860 (LeafLogPort
    2540925827port (LogicalPort
    2541025828m 2
     
    2541925837uid 538,0
    2542025838)
    25421 *853 (LeafLogPort
     25839*861 (LeafLogPort
    2542225840port (LogicalPort
    2542325841m 1
     
    2543225850uid 540,0
    2543325851)
    25434 *854 (LeafLogPort
     25852*862 (LeafLogPort
    2543525853port (LogicalPort
    2543625854m 1
     
    2544525863uid 542,0
    2544625864)
    25447 *855 (LeafLogPort
     25865*863 (LeafLogPort
    2544825866port (LogicalPort
    2544925867m 1
     
    2545825876uid 546,0
    2545925877)
    25460 *856 (LeafLogPort
     25878*864 (LeafLogPort
    2546125879port (LogicalPort
    2546225880decl (Decl
     
    2546925887uid 548,0
    2547025888)
    25471 *857 (LeafLogPort
     25889*865 (LeafLogPort
    2547225890port (LogicalPort
    2547325891decl (Decl
    2547425892n "board_id"
    2547525893t "std_logic_vector"
    25476 b "(3 downto 0)"
    25477 preAdd 0
    25478 posAdd 0
     25894b "(3 DOWNTO 0)"
    2547925895o 8
    2548025896suid 28,0
     
    2548325899uid 1455,0
    2548425900)
    25485 *858 (LeafLogPort
     25901*866 (LeafLogPort
    2548625902port (LogicalPort
    2548725903decl (Decl
     
    2549625912uid 1457,0
    2549725913)
    25498 *859 (LeafLogPort
     25914*867 (LeafLogPort
    2549925915port (LogicalPort
    2550025916decl (Decl
    2550125917n "crate_id"
    2550225918t "std_logic_vector"
    25503 b "(1 downto 0)"
     25919b "(1 DOWNTO 0)"
    2550425920o 9
    2550525921suid 30,0
     
    2550825924uid 1694,0
    2550925925)
    25510 *860 (LeafLogPort
     25926*868 (LeafLogPort
    2551125927port (LogicalPort
    2551225928lang 2
     
    2552425940uid 1993,0
    2552525941)
    25526 *861 (LeafLogPort
     25942*869 (LeafLogPort
    2552725943port (LogicalPort
    2552825944m 4
     
    2553925955uid 2305,0
    2554025956)
    25541 *862 (LeafLogPort
     25957*870 (LeafLogPort
    2554225958port (LogicalPort
    2554325959lang 2
     
    2555225968uid 2510,0
    2555325969)
    25554 *863 (LeafLogPort
     25970*871 (LeafLogPort
    2555525971port (LogicalPort
    2555625972lang 2
     
    2556625982uid 2512,0
    2556725983)
    25568 *864 (LeafLogPort
     25984*872 (LeafLogPort
    2556925985port (LogicalPort
    2557025986lang 2
     
    2558125997uid 2514,0
    2558225998)
    25583 *865 (LeafLogPort
     25999*873 (LeafLogPort
    2558426000port (LogicalPort
    2558526001lang 2
     
    2559726013uid 2516,0
    2559826014)
    25599 *866 (LeafLogPort
     26015*874 (LeafLogPort
    2560026016port (LogicalPort
    2560126017lang 2
     
    2561226028uid 2518,0
    2561326029)
    25614 *867 (LeafLogPort
     26030*875 (LeafLogPort
    2561526031port (LogicalPort
    2561626032lang 2
     
    2562626042uid 2520,0
    2562726043)
    25628 *868 (LeafLogPort
     26044*876 (LeafLogPort
    2562926045port (LogicalPort
    2563026046lang 2
     
    2564026056uid 2522,0
    2564126057)
    25642 *869 (LeafLogPort
     26058*877 (LeafLogPort
    2564326059port (LogicalPort
    2564426060m 4
     
    2565226068uid 2604,0
    2565326069)
    25654 *870 (LeafLogPort
     26070*878 (LeafLogPort
    2565526071port (LogicalPort
    2565626072m 4
     
    2566526081uid 2606,0
    2566626082)
    25667 *871 (LeafLogPort
     26083*879 (LeafLogPort
    2566826084port (LogicalPort
    2566926085m 4
     
    2567826094uid 2608,0
    2567926095)
    25680 *872 (LeafLogPort
     26096*880 (LeafLogPort
    2568126097port (LogicalPort
    2568226098m 4
     
    2569026106uid 2610,0
    2569126107)
    25692 *873 (LeafLogPort
     26108*881 (LeafLogPort
    2569326109port (LogicalPort
    2569426110m 4
     
    2570226118uid 2612,0
    2570326119)
    25704 *874 (LeafLogPort
     26120*882 (LeafLogPort
    2570526121port (LogicalPort
    2570626122m 4
     
    2571526131uid 2646,0
    2571626132)
    25717 *875 (LeafLogPort
     26133*883 (LeafLogPort
    2571826134port (LogicalPort
    2571926135m 1
     
    2572826144uid 2812,0
    2572926145)
    25730 *876 (LeafLogPort
     26146*884 (LeafLogPort
    2573126147port (LogicalPort
    2573226148m 4
     
    2574026156uid 2962,0
    2574126157)
    25742 *877 (LeafLogPort
     26158*885 (LeafLogPort
    2574326159port (LogicalPort
    2574426160m 1
     
    2575226168uid 3902,0
    2575326169)
    25754 *878 (LeafLogPort
     26170*886 (LeafLogPort
    2575526171port (LogicalPort
    2575626172m 1
     
    2576426180uid 4070,0
    2576526181)
    25766 *879 (LeafLogPort
     26182*887 (LeafLogPort
    2576726183port (LogicalPort
    2576826184m 4
     
    2577626192uid 4212,0
    2577726193)
    25778 *880 (LeafLogPort
     26194*888 (LeafLogPort
    2577926195port (LogicalPort
    2578026196decl (Decl
     
    2578726203uid 4234,0
    2578826204)
    25789 *881 (LeafLogPort
     26205*889 (LeafLogPort
    2579026206port (LogicalPort
    2579126207decl (Decl
     
    2579926215uid 4262,0
    2580026216)
    25801 *882 (LeafLogPort
     26217*890 (LeafLogPort
    2580226218port (LogicalPort
    2580326219decl (Decl
     
    2581026226uid 4276,0
    2581126227)
    25812 *883 (LeafLogPort
     26228*891 (LeafLogPort
    2581326229port (LogicalPort
    2581426230m 4
     
    2582326239uid 4563,0
    2582426240)
    25825 *884 (LeafLogPort
     26241*892 (LeafLogPort
    2582626242port (LogicalPort
    2582726243m 4
     
    2583526251uid 4565,0
    2583626252)
    25837 *885 (LeafLogPort
     26253*893 (LeafLogPort
    2583826254port (LogicalPort
    2583926255m 4
     
    2584826264uid 4569,0
    2584926265)
    25850 *886 (LeafLogPort
     26266*894 (LeafLogPort
    2585126267port (LogicalPort
    2585226268m 1
     
    2586226278uid 4585,0
    2586326279)
    25864 *887 (LeafLogPort
     26280*895 (LeafLogPort
    2586526281port (LogicalPort
    2586626282m 1
     
    2587526291uid 4587,0
    2587626292)
    25877 *888 (LeafLogPort
     26293*896 (LeafLogPort
    2587826294port (LogicalPort
    2587926295decl (Decl
     
    2588626302uid 4733,0
    2588726303)
    25888 *889 (LeafLogPort
     26304*897 (LeafLogPort
    2588926305port (LogicalPort
    2589026306decl (Decl
     
    2589726313uid 4735,0
    2589826314)
    25899 *890 (LeafLogPort
     26315*898 (LeafLogPort
    2590026316port (LogicalPort
    2590126317decl (Decl
     
    2590826324uid 4737,0
    2590926325)
    25910 *891 (LeafLogPort
     26326*899 (LeafLogPort
    2591126327port (LogicalPort
    2591226328decl (Decl
     
    2591926335uid 4739,0
    2592026336)
    25921 *892 (LeafLogPort
     26337*900 (LeafLogPort
    2592226338port (LogicalPort
    2592326339m 4
     
    2593126347uid 4749,0
    2593226348)
    25933 *893 (LeafLogPort
     26349*901 (LeafLogPort
    2593426350port (LogicalPort
    2593526351m 1
     
    2594426360uid 4974,0
    2594526361)
    25946 *894 (LeafLogPort
     26362*902 (LeafLogPort
    2594726363port (LogicalPort
    2594826364m 1
     
    2595726373uid 4976,0
    2595826374)
    25959 *895 (LeafLogPort
     26375*903 (LeafLogPort
    2596026376port (LogicalPort
    2596126377m 4
     
    2597026386uid 5198,0
    2597126387)
    25972 *896 (LeafLogPort
     26388*904 (LeafLogPort
    2597326389port (LogicalPort
    2597426390m 4
     
    2598226398uid 5200,0
    2598326399)
    25984 *897 (LeafLogPort
     26400*905 (LeafLogPort
    2598526401port (LogicalPort
    2598626402m 4
     
    2599426410uid 5202,0
    2599526411)
    25996 *898 (LeafLogPort
     26412*906 (LeafLogPort
    2599726413port (LogicalPort
    2599826414m 4
     
    2600726423uid 5204,0
    2600826424)
    26009 *899 (LeafLogPort
     26425*907 (LeafLogPort
    2601026426port (LogicalPort
    2601126427m 4
     
    2601926435uid 5206,0
    2602026436)
    26021 *900 (LeafLogPort
     26437*908 (LeafLogPort
    2602226438port (LogicalPort
    2602326439m 4
     
    2603126447uid 5208,0
    2603226448)
    26033 *901 (LeafLogPort
     26449*909 (LeafLogPort
    2603426450port (LogicalPort
    2603526451m 4
     
    2604326459uid 5210,0
    2604426460)
    26045 *902 (LeafLogPort
     26461*910 (LeafLogPort
    2604626462port (LogicalPort
    2604726463m 4
     
    2605526471uid 5212,0
    2605626472)
    26057 *903 (LeafLogPort
     26473*911 (LeafLogPort
    2605826474port (LogicalPort
    2605926475m 4
     
    2606726483uid 5214,0
    2606826484)
    26069 *904 (LeafLogPort
     26485*912 (LeafLogPort
    2607026486port (LogicalPort
    2607126487m 1
     
    2608226498uid 5226,0
    2608326499)
    26084 *905 (LeafLogPort
     26500*913 (LeafLogPort
    2608526501port (LogicalPort
    2608626502m 4
     
    2609426510uid 5502,0
    2609526511)
    26096 *906 (LeafLogPort
     26512*914 (LeafLogPort
    2609726513port (LogicalPort
    2609826514m 4
     
    2610626522uid 5504,0
    2610726523)
    26108 *907 (LeafLogPort
     26524*915 (LeafLogPort
    2610926525port (LogicalPort
    2611026526m 4
     
    2611826534uid 5600,0
    2611926535)
    26120 *908 (LeafLogPort
     26536*916 (LeafLogPort
    2612126537port (LogicalPort
    2612226538lang 10
     
    2613226548uid 5642,0
    2613326549)
    26134 *909 (LeafLogPort
     26550*917 (LeafLogPort
    2613526551port (LogicalPort
    2613626552m 4
     
    2614426560uid 5644,0
    2614526561)
    26146 *910 (LeafLogPort
     26562*918 (LeafLogPort
    2614726563port (LogicalPort
    2614826564m 4
     
    2615726573uid 5751,0
    2615826574)
    26159 *911 (LeafLogPort
     26575*919 (LeafLogPort
    2616026576port (LogicalPort
    2616126577m 1
     
    2616926585uid 5867,0
    2617026586)
    26171 *912 (LeafLogPort
     26587*920 (LeafLogPort
    2617226588port (LogicalPort
    2617326589m 2
     
    2618326599uid 5869,0
    2618426600)
    26185 *913 (LeafLogPort
     26601*921 (LeafLogPort
    2618626602port (LogicalPort
    2618726603m 1
     
    2619526611uid 5871,0
    2619626612)
    26197 *914 (LeafLogPort
     26613*922 (LeafLogPort
    2619826614port (LogicalPort
    2619926615m 1
     
    2620826624uid 5873,0
    2620926625)
    26210 *915 (LeafLogPort
     26626*923 (LeafLogPort
    2621126627port (LogicalPort
    2621226628m 4
     
    2622126637uid 5966,0
    2622226638)
    26223 *916 (LeafLogPort
     26639*924 (LeafLogPort
    2622426640port (LogicalPort
    2622526641m 4
     
    2623326649uid 5968,0
    2623426650)
    26235 *917 (LeafLogPort
     26651*925 (LeafLogPort
    2623626652port (LogicalPort
    2623726653m 4
     
    2624626662uid 6022,0
    2624726663)
    26248 *918 (LeafLogPort
     26664*926 (LeafLogPort
    2624926665port (LogicalPort
    2625026666m 4
     
    2625926675uid 6024,0
    2626026676)
    26261 *919 (LeafLogPort
     26677*927 (LeafLogPort
    2626226678port (LogicalPort
    2626326679m 4
     
    2627126687uid 6026,0
    2627226688)
    26273 *920 (LeafLogPort
     26689*928 (LeafLogPort
    2627426690port (LogicalPort
    2627526691m 1
     
    2628426700uid 6172,0
    2628526701)
    26286 *921 (LeafLogPort
     26702*929 (LeafLogPort
    2628726703port (LogicalPort
    2628826704m 1
     
    2629926715uid 6374,0
    2630026716