Changeset 10123


Ignore:
Timestamp:
01/27/11 17:10:41 (14 years ago)
Author:
neise
Message:
jumper selectable MAC and IP address choice.
D_T7 jumper selects if in_can (no jumper) or not in cam(jumper set)
D_T6 jumper selects if in Dortmund (jumper set) or at ETHZ (jumper not set)
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib
Files:
19 edited

Legend:

Unmodified
Added
Removed
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/clock_generator_var_ps_struct.vhd

    r10121 r10123  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 11:57:15 26.01.2011
     5--          at - 09:36:36 27.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    4040-- Created:
    4141--          by - dneise.UNKNOWN (E5B-LABOR6)
    42 --          at - 11:57:15 26.01.2011
     42--          at - 09:36:36 27.01.2011
    4343--
    4444-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf

    r10121 r10123  
    3535
    3636# BOARD ID  - inputs
    37 NET POSITION_ID<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;             #ok  name was LINE befoer i changed it
    38 NET POSITION_ID<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;             #ok
    39 NET POSITION_ID<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;            #ok
    40 NET POSITION_ID<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;            #ok
    41 NET POSITION_ID<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;            #ok
    42 NET POSITION_ID<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;            #ok
     37NET LINE<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;            #ok 
     38NET LINE<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;            #ok
     39NET LINE<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;           #ok
     40NET LINE<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;           #ok
     41NET LINE<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;           #ok
     42NET LINE<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;           #ok
    4343
    4444# W5300
     
    138138NET D_T<4> LOC  = K5 | IOSTANDARD=LVCMOS25;                     #ok
    139139NET D_T<5> LOC  = L4 | IOSTANDARD=LVCMOS25;                     #ok
    140 NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25;                     #ok
    141 NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25;                     #ok
     140NET D_T_in<0> LOC  = M3 | IOSTANDARD=LVCMOS25 | pullup;                 #ok was: NET D_T<6> LOC  = M3
     141NET D_T_in<1> LOC  = T3 | IOSTANDARD=LVCMOS25 | pullup;                 #ok was: NET D_T<7> LOC  = T3
     142#NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25;                    #ok
     143#NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25;                    #ok
    142144NET D_T2<0> LOC  = U2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<8>
    143145NET D_T2<1> LOC  = V2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<9>
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board.ucf.bak

    r10121 r10123  
    3535
    3636# BOARD ID  - inputs
    37 NET POSITION_ID<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;             #ok  name was LINE befoer i changed it
    38 NET POSITION_ID<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;             #ok
    39 NET POSITION_ID<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;            #ok
    40 NET POSITION_ID<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;            #ok
    41 NET POSITION_ID<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;            #ok
    42 NET POSITION_ID<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;            #ok
     37NET LINE<0> LOC  = Y1 | IOSTANDARD=LVCMOS33;            #ok 
     38NET LINE<1> LOC  = Y2 | IOSTANDARD=LVCMOS33;            #ok
     39NET LINE<2> LOC  = AB1 | IOSTANDARD=LVCMOS33;           #ok
     40NET LINE<3> LOC  = AC1 | IOSTANDARD=LVCMOS33;           #ok
     41NET LINE<4> LOC  = AD1 | IOSTANDARD=LVCMOS33;           #ok
     42NET LINE<5> LOC  = AD2 | IOSTANDARD=LVCMOS33;           #ok
    4343
    4444# W5300
     
    9999
    100100NET SRIN LOC  = E1 | IOSTANDARD=LVCMOS25;                       #ok -- nur fuer vollauslese noetig; auf Z legen.
    101 #NET REFCLK LOC  = AC11 | IOSTANDARD=LVCMOS25;          #ok -- listen to REFCLK possible
     101NET REFCLK LOC  = AC11 | IOSTANDARD=LVCMOS25;           #ok -- listen to REFCLK possible
    102102
    103103
     
    138138NET D_T<4> LOC  = K5 | IOSTANDARD=LVCMOS25;                     #ok
    139139NET D_T<5> LOC  = L4 | IOSTANDARD=LVCMOS25;                     #ok
    140 NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25;                     #ok
    141 NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25;                     #ok
     140#NET D_T_in<0> LOC  = M3 | IOSTANDARD=LVCMOS25 | pullup;                        #ok was: NET D_T<6> LOC  = M3
     141#NET D_T_in<1> LOC  = T3 | IOSTANDARD=LVCMOS25 | pullup;                        #ok was: NET D_T<7> LOC  = T3
     142NET D_T<6> LOC  = M3 | IOSTANDARD=LVCMOS25 | pullup;                    #ok
     143NET D_T<7> LOC  = T3 | IOSTANDARD=LVCMOS25 | pullup;                    #ok
    142144NET D_T2<0> LOC  = U2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<8>
    143145NET D_T2<1> LOC  = V2 | IOSTANDARD=LVCMOS25;                    #ok  was D_T<9>
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_board_struct.vhd

    r10121 r10123  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 16:46:19 26.01.2011
     5--          at - 17:58:59 27.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    1313ENTITY FAD_Board IS
    1414   PORT(
    15       A0_D        : IN     std_logic_vector (11 DOWNTO 0);
    16       A1_D        : IN     std_logic_vector (11 DOWNTO 0);
    17       A2_D        : IN     std_logic_vector (11 DOWNTO 0);
    18       A3_D        : IN     std_logic_vector (11 DOWNTO 0);
    19       A_OTR       : IN     std_logic_vector (3 DOWNTO 0);
    20       D0_SROUT    : IN     std_logic;
    21       D1_SROUT    : IN     std_logic;
    22       D2_SROUT    : IN     std_logic;
    23       D3_SROUT    : IN     std_logic;
    24       D_PLLLCK    : IN     std_logic_vector (3 DOWNTO 0);
    25       POSITION_ID : IN     std_logic_vector ( 5 DOWNTO 0 );
    26       REFCLK      : IN     std_logic;
    27       RS485_C_DI  : IN     std_logic;
    28       RS485_E_DI  : IN     std_logic;
    29       RS485_E_DO  : IN     std_logic;
    30       TRG         : IN     STD_LOGIC;
    31       W_INT       : IN     std_logic;
    32       X_50M       : IN     STD_LOGIC;
    33       A0_T        : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0');
    34       A1_T        : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
    35       AMBER_LED   : OUT    std_logic;
    36       A_CLK       : OUT    std_logic_vector (3 DOWNTO 0);
    37       D0_SRCLK    : OUT    STD_LOGIC;
    38       D1_SRCLK    : OUT    STD_LOGIC;
    39       D2_SRCLK    : OUT    STD_LOGIC;
    40       D3_SRCLK    : OUT    STD_LOGIC;
    41       DAC_CS      : OUT    std_logic;
    42       DENABLE     : OUT    std_logic                     := '0';
    43       DWRITE      : OUT    std_logic                     := '0';
    44       D_A         : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
    45       D_T         : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
    46       D_T2        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
    47       EE_CS       : OUT    std_logic;
    48       GREEN_LED   : OUT    std_logic;
    49       MOSI        : OUT    std_logic                     := '0';
    50       OE_ADC      : OUT    STD_LOGIC;
    51       RED_LED     : OUT    std_logic;
    52       RS485_C_DE  : OUT    std_logic;
    53       RS485_C_DO  : OUT    std_logic;
    54       RS485_C_RE  : OUT    std_logic;
    55       RS485_E_DE  : OUT    std_logic;
    56       RS485_E_RE  : OUT    std_logic;
    57       RSRLOAD     : OUT    std_logic                     := '0';
    58       SRIN        : OUT    std_logic                     := '0';
    59       S_CLK       : OUT    std_logic;
    60       T0_CS       : OUT    std_logic;
    61       T1_CS       : OUT    std_logic;
    62       T2_CS       : OUT    std_logic;
    63       T3_CS       : OUT    std_logic;
    64       TRG_V       : OUT    std_logic;
    65       W_A         : OUT    std_logic_vector (9 DOWNTO 0);
    66       W_CS        : OUT    std_logic                     := '1';
    67       W_RD        : OUT    std_logic                     := '1';
    68       W_RES       : OUT    std_logic                     := '1';
    69       W_WR        : OUT    std_logic                     := '1';
    70       MISO        : INOUT  std_logic;
    71       W_D         : INOUT  std_logic_vector (15 DOWNTO 0)
     15      A0_D       : IN     std_logic_vector (11 DOWNTO 0);
     16      A1_D       : IN     std_logic_vector (11 DOWNTO 0);
     17      A2_D       : IN     std_logic_vector (11 DOWNTO 0);
     18      A3_D       : IN     std_logic_vector (11 DOWNTO 0);
     19      A_OTR      : IN     std_logic_vector (3 DOWNTO 0);
     20      D0_SROUT   : IN     std_logic;
     21      D1_SROUT   : IN     std_logic;
     22      D2_SROUT   : IN     std_logic;
     23      D3_SROUT   : IN     std_logic;
     24      D_PLLLCK   : IN     std_logic_vector (3 DOWNTO 0);
     25      D_T_in     : IN     std_logic_vector (1 DOWNTO 0);
     26      LINE       : IN     std_logic_vector ( 5 DOWNTO 0 );
     27      REFCLK     : IN     std_logic;
     28      RS485_C_DI : IN     std_logic;
     29      RS485_E_DI : IN     std_logic;
     30      RS485_E_DO : IN     std_logic;
     31      TRG        : IN     STD_LOGIC;
     32      W_INT      : IN     std_logic;
     33      X_50M      : IN     STD_LOGIC;
     34      A0_T       : OUT    std_logic_vector (7 DOWNTO 0) := (others => '0');
     35      A1_T       : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
     36      AMBER_LED  : OUT    std_logic;
     37      A_CLK      : OUT    std_logic_vector (3 DOWNTO 0);
     38      D0_SRCLK   : OUT    STD_LOGIC;
     39      D1_SRCLK   : OUT    STD_LOGIC;
     40      D2_SRCLK   : OUT    STD_LOGIC;
     41      D3_SRCLK   : OUT    STD_LOGIC;
     42      DAC_CS     : OUT    std_logic;
     43      DENABLE    : OUT    std_logic                     := '0';
     44      DWRITE     : OUT    std_logic                     := '0';
     45      D_A        : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
     46      D_T        : OUT    std_logic_vector (5 DOWNTO 0) := (OTHERS => '0');
     47      D_T2       : OUT    std_logic_vector (3 DOWNTO 0) := (others => '0');
     48      EE_CS      : OUT    std_logic;
     49      GREEN_LED  : OUT    std_logic;
     50      MOSI       : OUT    std_logic                     := '0';
     51      OE_ADC     : OUT    STD_LOGIC;
     52      RED_LED    : OUT    std_logic;
     53      RS485_C_DE : OUT    std_logic;
     54      RS485_C_DO : OUT    std_logic;
     55      RS485_C_RE : OUT    std_logic;
     56      RS485_E_DE : OUT    std_logic;
     57      RS485_E_RE : OUT    std_logic;
     58      RSRLOAD    : OUT    std_logic                     := '0';
     59      SRIN       : OUT    std_logic                     := '0';
     60      S_CLK      : OUT    std_logic;
     61      T0_CS      : OUT    std_logic;
     62      T1_CS      : OUT    std_logic;
     63      T2_CS      : OUT    std_logic;
     64      T3_CS      : OUT    std_logic;
     65      TRG_V      : OUT    std_logic;
     66      W_A        : OUT    std_logic_vector (9 DOWNTO 0);
     67      W_CS       : OUT    std_logic                     := '1';
     68      W_RD       : OUT    std_logic                     := '1';
     69      W_RES      : OUT    std_logic                     := '1';
     70      W_WR       : OUT    std_logic                     := '1';
     71      MISO       : INOUT  std_logic;
     72      W_D        : INOUT  std_logic_vector (15 DOWNTO 0)
    7273   );
    7374
     
    8182-- Created:
    8283--          by - dneise.UNKNOWN (E5B-LABOR6)
    83 --          at - 16:46:20 26.01.2011
     84--          at - 17:58:59 27.01.2011
    8485--
    8586-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    120121   SIGNAL drs_channel_id  : std_logic_vector(3 DOWNTO 0) := (others => '0');
    121122   SIGNAL dummy           : std_logic;
     123   SIGNAL led             : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
    122124   SIGNAL ready           : std_logic                    := '0';
    123125   SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0);
     
    136138   PORT (
    137139      CLK                    : IN     std_logic ;
     140      D_T_in                 : IN     std_logic_vector (1 DOWNTO 0);
    138141      SROUT_in_0             : IN     std_logic ;
    139142      SROUT_in_1             : IN     std_logic ;
     
    198201   -- HDL Embedded Text Block 1 eb_ID
    199202   -- hard-wired IDs
    200    board_id <= "0101";
    201    crate_id <= "01";
     203   board_id <= LINE(5 downto 2);
     204   crate_id <= LINE(1 downto 0);
    202205
    203206   -- HDL Embedded Text Block 2 ADC_CLK
     
    243246   
    244247   EE_CS <= '1';
     248
     249   -- HDL Embedded Text Block 7 eb1
     250   D_T(5 downto 0) <= (others => '0');
    245251
    246252   -- HDL Embedded Text Block 8 eb2
     
    269275   A1_T(7) <= drs_channel_id(3);
    270276   
    271    A0_T(5 downto 0) <= POSITION_ID;
     277   A0_T(5 downto 0) <= (others => '0');
    272278   A0_T(6) <= REFCLK;
    273279   A0_T(7) <= RS485_E_DI;
     
    291297      PORT MAP (
    292298         CLK                    => X_50M,
     299         D_T_in                 => D_T_in,
    293300         SROUT_in_0             => D0_SROUT,
    294301         SROUT_in_1             => D1_SROUT,
     
    324331         drs_dwrite             => DWRITE,
    325332         green                  => RED_LED,
    326          led                    => D_T,
     333         led                    => led,
    327334         mosi                   => MOSI,
    328335         offset                 => OPEN,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd

    r10121 r10123  
    1818  type mac_type is array (0 to 2) of std_logic_vector (15 downto 0);
    1919  type ip_type is array (0 to 3) of integer;
     20 
     21  type mac_list_type is array (0 to 2) of mac_type;
     22  type ip_list_type is array (0 to 2) of ip_type;
    2023-- Network Settings
    2124
    22   constant MAC_ADDRESS : mac_type := (X"0011", X"9561", X"97B4");
     25  constant ETHZ_GATEWAY : ip_type := (192, 33, 96, 1);
     26  constant CAM_GATEWAY : ip_type := (192, 33, 96, 1); --???????????????
     27  constant TUDO_GATEWAY : ip_type := (129, 217, 160, 1); 
    2328 
    24   -- @ ETH zurich
    25 --   constant NETMASK : ip_type := (255, 255, 248, 0);
    26 --   constant IP_ADDRESS : ip_type := (192, 33, 99, 225);
    27 --   constant GATEWAY : ip_type := (192, 33, 96, 1);
     29  constant ETHZ_NETMASK : ip_type := (255, 255, 248, 0);
     30  constant CAM_NETMASK : ip_type := (255, 255, 248, 0);  --???????????????
     31  constant TUDO_NETMASK : ip_type := (255, 255, 255, 0);
    2832
    29   -- @ TU Dortmund
    30   constant NETMASK : ip_type := (255, 255, 255, 0);
    31   constant IP_ADDRESS : ip_type := (129, 217, 160, 119);
    32   constant GATEWAY : ip_type := (129, 217, 160, 1);
     33  --constant MAC_ZERO : mac_type := (X"0000", X"0000", X"0000");
     34  constant MAC_FAD0 : mac_type := (X"0011", X"9561", X"97B4");
     35  constant MAC_FAD1 : mac_type := (X"FAC7", X"0FAD", X"0001");
     36  constant MAC_FAD2 : mac_type := (X"FAC7", X"0FAD", X"0002");
     37 
     38  --constant IP_ZERO : ip_type := (0,0,0,0);
     39  constant IP_TUDO : ip_type := (129, 217, 160, 119);
     40  constant IP_ETHZ_FAD0 : ip_type := (192, 33, 99, 225);
     41  constant IP_ETHZ_FAD1 : ip_type := (192, 33, 99, 226);
     42  constant IP_ETHZ_FAD2 : ip_type := (192, 33, 99, 237);
     43
     44  -- IP lookup table used to convert CID,BID into IP, if not in camera.
     45  constant IP_LIST : ip_list_type := (IP_ETHZ_FAD0, IP_ETHZ_FAD1, IP_ETHZ_FAD2);   
     46  constant MAC_LIST : mac_list_type := (MAC_FAD0,MAC_FAD1,MAC_FAD2);
    3347
    3448  constant FIRST_PORT : integer := 5000;
     49  constant CAM_IP_PREFIX : ip_type := (192, 168, 0, 0);
     50  constant IP_offset : integer := 128;
     51  constant CAM_MAC_prefix : mac_type := (X"FAC7", X"0FAD", X"0000");
    3552-- Network Settings End 
    3653 
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_definitions.vhd.bak

    r10121 r10123  
    1717
    1818  type mac_type is array (0 to 2) of std_logic_vector (15 downto 0);
     19  type mac_list_type is array (0 to 3) of mac_type;
    1920  type ip_type is array (0 to 3) of integer;
     21  type ip_list_type is array (0 to 3) of ip_type;
    2022-- Network Settings
    2123
    22   constant MAC_ADDRESS : mac_type := (X"0011", X"9561", X"97B4");
     24  constant ETHZ_GATEWAY : ip_type := (192, 33, 96, 1);
     25  constant CAM_GATEWAY : ip_type := (192, 33, 96, 1); --???????????????
     26  constant TUDO_GATEWAY : ip_type := (129, 217, 160, 1); 
    2327 
    24   -- @ ETH zurich
    25 --   constant NETMASK : ip_type := (255, 255, 248, 0);
    26 --   constant IP_ADDRESS : ip_type := (192, 33, 99, 225);
    27 --   constant GATEWAY : ip_type := (192, 33, 96, 1);
     28  constant ETHZ_NETMASK : ip_type := (255, 255, 248, 0);
     29  constant CAM_NETMASK : ip_type := (255, 255, 248, 0);  --???????????????
     30  constant TUDO_NETMASK : ip_type := (255, 255, 255, 0);
    2831
    29   -- @ TU Dortmund
    30   constant NETMASK : ip_type := (255, 255, 255, 0);
    31   constant IP_ADDRESS : ip_type := (129, 217, 160, 119);
    32   constant GATEWAY : ip_type := (129, 217, 160, 1);
     32  --constant MAC_ZERO : mac_type := (X"0000", X"0000", X"0000");
     33  constant MAC_FAD0 : mac_type := (X"0011", X"9561", X"97B4");
     34  constant MAC_FAD1 : mac_type := (X"FAC7", X"0FAD", X"0001");
     35  constant MAC_FAD2 : mac_type := (X"FAC7", X"0FAD", X"0002");
     36 
     37  --constant IP_ZERO : ip_type := (0,0,0,0);
     38  constant IP_TUDO : ip_type := (129, 217, 160, 119);
     39  constant IP_ETHZ_FAD0 : ip_type := (192, 33, 99, 225);
     40  constant IP_ETHZ_FAD1 : ip_type := (192, 33, 99, 226);
     41  constant IP_ETHZ_FAD2 : ip_type := (192, 33, 99, 237);
     42
     43  -- IP lookup table used to convert CID,BID into IP, if not in camera.
     44  constant IP_LIST : ip_list_type := (IP_ETHZ_FAD0, IP_ETHZ_FAD1, IP_ETHZ_FAD2);   
     45  constant MAC_LIST : mac_list_type := (MAC_FAD0,MAC_FAD1,MAC_FAD2);
    3346
    3447  constant FIRST_PORT : integer := 5000;
     48  constant CAM_IP_PREFIX : ip_type := (192, 168, 0, 0);
     49  constant IP_offset : integer := 128;
     50  constant CAM_MAC_prefix : mac_type := (X"FAC7", X"0FAD", X"0000");
    3551-- Network Settings End 
    3652 
     
    142158
    143159constant CMD_PS_RESET : std_logic_vector     := X"17";
     160
     161constant CMD_SET_TRIGGER_MULT : std_logic_vector := X"21";
     162
    144163-- DRS Registers
    145164  constant DRS_CONFIG_REG : std_logic_vector := "1100"; 
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/fad_main_struct.vhd

    r10121 r10123  
    33-- Created:
    44--          by - dneise.UNKNOWN (E5B-LABOR6)
    5 --          at - 16:46:18 26.01.2011
     5--          at - 17:58:58 27.01.2011
    66--
    77-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    1919   PORT(
    2020      CLK                    : IN     std_logic;
     21      D_T_in                 : IN     std_logic_vector (1 DOWNTO 0);
    2122      SROUT_in_0             : IN     std_logic;
    2223      SROUT_in_1             : IN     std_logic;
     
    7980-- Created:
    8081--          by - dneise.UNKNOWN (E5B-LABOR6)
    81 --          at - 16:46:19 26.01.2011
     82--          at - 17:58:58 27.01.2011
    8283--
    8384-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
     
    468469      -- --
    469470      config_busy       : IN     std_logic ;
     471      MAC_jumper        : IN     std_logic_vector (1 DOWNTO 0);
     472      BoardID           : IN     std_logic_vector (3 DOWNTO 0);
     473      CrateID           : IN     std_logic_vector (1 DOWNTO 0);
    470474      denable           : OUT    std_logic                      := '0';             -- default domino wave off
    471475      dwrite_enable     : OUT    std_logic                      := '0';             -- default DWRITE low.
     
    784788         config_rw_ready   => config_rw_ready,
    785789         config_busy       => config_busy,
     790         MAC_jumper        => D_T_in,
     791         BoardID           => board_id,
     792         CrateID           => crate_id,
    786793         denable           => denable,
    787794         dwrite_enable     => dwrite_enable,
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd

    r10121 r10123  
    6969      config_busy : in std_logic;
    7070     
    71 
     71      MAC_jumper : in std_logic_vector (1 downto 0);
     72      BoardID : in std_logic_vector (3 downto 0);
     73      CrateID : in std_logic_vector (1 downto 0);
    7274     
    7375      denable : out std_logic := '0'; -- default domino wave off
     
    9395
    9496type state_init_type is (INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA,
    95                          INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
     97                         INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
    9698                         SI, SI1, SI2, SI3, SI4, SI5, SI6,      ESTABLISH, EST1, CONFIG, MAIN, MAIN1, MAIN2, MAIN3, CHK_RECEIVED, READ_DATA);
    9799type state_write_type is (WR_START, WR_LENGTH, WR_WAIT1, WR_01, WR_02, WR_03, WR_04, WR_05, WR_05a, WR_05b, WR_06, WR_07, WR_08, WR_FIFO, WR_FIFO1, WR_ADC, WR_ADC1, WR_ADC2,
     
    152154signal data_valid_int : std_logic := '0';
    153155
     156signal FAD_in_cam : std_logic := '0';
     157signal FAD_at_ETHZ : std_logic := '0';
     158signal bid : std_logic_vector (3 downto 0);
     159signal cid : std_logic_vector (1 downto 0);
     160
     161
    154162-- only for debugging
    155163--signal error_cnt : std_logic_vector (7 downto 0) := (others => '0');
     
    160168signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending
    161169signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets.
     170
     171signal mac_loc : mac_type;
     172signal ip_loc : ip_type;
     173signal gateway_loc : ip_type;
     174signal netmask_loc : ip_type;
     175
    162176
    163177begin
     
    274288                                        -- Init
    275289                                        when INIT =>
     290                                          -- status of MAC jumpers is synched in
     291                                          -- and Board- and CrateID are synched in
     292                                          FAD_in_cam <= MAC_jumper(1); -- see position of jumpers in FACT logbook
     293            FAD_at_ETHZ <= MAC_jumper(0); -- MAC_jumper(1) is where D_T(7) was; MAC_jumper(0) is where D_T(6) was;
     294            bid <= BoardID;
     295            cid <= CrateID;
     296            --
    276297                                                par_addr <= W5300_MR;
    277298                                                par_data <= X"0000";
    278299                                                state_init <= WRITE_REG;
    279                                                 next_state <= IM;
     300                                                next_state <= LOCATE;
     301                                       
     302                                        when LOCATE =>                                   
     303                                          state_init <= IM;
     304                                         
     305                                          if (FAD_in_cam = '1') then
     306                                            -- if BID = "1111" and CID="11" then FAD is not really in cam
     307              -- back to INIT !! endless loop
     308              if (bid = "1111" and cid="11") then
     309               -- this should never happen!!!!!
     310               -- impossible to find this out, if in cam
     311                state_init <= INIT;
     312              else -- everything is fine
     313                -- IP & MAC are calculated from BID & CID
     314                -- code here
     315                gateway_loc <= CAM_GATEWAY;
     316                netmask_loc <= CAM_NETMASK;                                             
     317                mac_loc <= (CAM_MAC_prefix (0), CAM_MAC_prefix (1)  , conv_std_logic_vector ( conv_integer(cid)*10+conv_integer(bid)  , 16)   );
     318                ip_loc <= ( CAM_IP_PREFIX(0) , CAM_IP_PREFIX(1) , IP_offset + conv_integer(cid) , IP_offset + conv_integer(bid) );
     319              end if;
     320                                          else -- FAD is tested, eighther at ETHZ or at TUDO AND eighther with FMP or without.
     321                                            if ( FAD_at_ETHZ = '0' ) then
     322                                             -- easy FAD is at TUDO -> only one choice.
     323                                             mac_loc <= MAC_FAD0;
     324               ip_loc <= IP_TUDO;
     325               gateway_loc <= TUDO_GATEWAY;
     326               netmask_loc <= TUDO_NETMASK;
     327                                            else -- FAD is at ETHZ but not in cam --> IP lookup table is needed.
     328                                              if (bid = "1111" and cid="11") then -- FAD is not in crate
     329                  mac_loc <= MAC_FAD0;
     330                  ip_loc <= IP_ETHZ_FAD0;
     331                  gateway_loc <= ETHZ_GATEWAY;
     332                  netmask_loc <= ETHZ_NETMASK;                                         
     333                                              else
     334                                                -- FAD is at ETHZ and in crate:
     335                                                -- crate ID is not of importance.
     336                                                -- we only have 3 MACs and IPs so far, so only the first boardIDs are allowed.
     337                                                if ( conv_integer(bid) < MAC_LIST'length) then
     338                    gateway_loc <= ETHZ_GATEWAY;
     339                    netmask_loc <= ETHZ_NETMASK;                                               
     340                    mac_loc <= MAC_LIST(conv_integer(bid));
     341                                                ip_loc <= IP_LIST(conv_integer(bid));
     342                                                end if; -- conv_integer
     343                                              end if; -- bid=1111 & cid=11
     344                                            end if; --FAD_at_ETHZ = 0
     345                                          end if; --FAD_in_cam = 1
    280346                                               
    281347                                        -- Interrupt Mask
     
    336402                                        when MAC =>
    337403                                                par_addr <= W5300_SHAR;
    338                                                 par_data <= MAC_ADDRESS (0);
     404                                                par_data <= mac_loc(0);
    339405                                                state_init <= WRITE_REG;
    340406                                                next_state <= MAC1;
    341407                                        when MAC1 =>
    342408                                                par_addr <= W5300_SHAR + 2;
    343                                                 par_data <= MAC_ADDRESS (1);
     409                                                par_data <= mac_loc(1);
    344410                                                state_init <= WRITE_REG;
    345411                                                next_state <= MAC2;
    346412                                        when MAC2 =>
    347413                                                par_addr <= W5300_SHAR + 4;
    348                                                 par_data <= MAC_ADDRESS (2);
     414                                                par_data <= mac_loc(2);
    349415                                                state_init <= WRITE_REG;
    350416                                                next_state <= GW;
     
    353419                                        when GW =>
    354420                                                par_addr <= W5300_GAR;
    355                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (0),8);
    356                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (1),8);
     421                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(0),8);
     422                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(1),8);
    357423                                                state_init <= WRITE_REG;
    358424                                                next_state <= GW1;
    359425                                        when GW1 =>
    360426                                                par_addr <= W5300_GAR + 2;
    361                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (2),8);
    362                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (3),8);
     427                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(2),8);
     428                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(3),8);
    363429                                                state_init <= WRITE_REG;
    364430                                                next_state <= SNM;
     
    367433                                        when SNM =>
    368434                                                par_addr <= W5300_SUBR;
    369                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (0),8);
    370                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (1),8);
     435                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(0),8);
     436                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(1),8);
    371437                                                state_init <= WRITE_REG;
    372438                                                next_state <= SNM1;
    373439                                        when SNM1 =>
    374440                                                par_addr <= W5300_SUBR + 2;
    375                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (2),8);
    376                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (3),8);
     441                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(2),8);
     442                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(3),8);
    377443                                                state_init <= WRITE_REG;
    378444                                                next_state <= IP;
     
    380446                                        when IP =>
    381447                                                par_addr <= W5300_SIPR;
    382                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (0),8);
    383                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (1),8);
     448                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(0),8);
     449                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(1),8);
    384450                                                state_init <= WRITE_REG;
    385451                                                next_state <= IP1;
    386452                                        when IP1 =>
    387453                                                par_addr <= W5300_SIPR + 2;
    388                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (2),8);
    389                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (3),8);
     454                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(2),8);
     455                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(3),8);
    390456                                                state_init <= WRITE_REG;
    391457                                                next_state <= SI;
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hdl/w5300_modul.vhd.bak

    r10121 r10123  
    6969      config_busy : in std_logic;
    7070     
    71 
     71      MAC_jumper : in std_logic_vector (1 downto 0);
     72      BoardID : in std_logic_vector (3 downto 0);
     73      CrateID : in std_logic_vector (1 downto 0);
    7274     
    7375      denable : out std_logic := '0'; -- default domino wave off
     
    9395
    9496type state_init_type is (INTERRUPT, RESET, WRITE_REG, READ_REG, WRITE_DATA,
    95                          INIT, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
     97                         INIT, LOCATE, IM, MT, STX, STX1, STX2, STX3, SRX, SRX1, SRX2, SRX3, MAC, MAC1, MAC2, GW, GW1, SNM, SNM1, IP, IP1, TIMEOUT, RETRY,
    9698                         SI, SI1, SI2, SI3, SI4, SI5, SI6,      ESTABLISH, EST1, CONFIG, MAIN, MAIN1, MAIN2, MAIN3, CHK_RECEIVED, READ_DATA);
    9799type state_write_type is (WR_START, WR_LENGTH, WR_WAIT1, WR_01, WR_02, WR_03, WR_04, WR_05, WR_05a, WR_05b, WR_06, WR_07, WR_08, WR_FIFO, WR_FIFO1, WR_ADC, WR_ADC1, WR_ADC2,
     
    152154signal data_valid_int : std_logic := '0';
    153155
     156signal FAD_in_cam : std_logic := '0';
     157signal FAD_at_ETHZ : std_logic := '0';
     158signal bid : std_logic_vector (3 downto 0);
     159signal cid : std_logic_vector (1 downto 0);
     160
     161
    154162-- only for debugging
    155163--signal error_cnt : std_logic_vector (7 downto 0) := (others => '0');
     
    160168signal socket_nr_counter : integer range 1 to 7 :=1; --used to determine which socket is used for data sending
    161169signal socket_send_mode : std_logic := '0'; -- if 0 data is send via socket 0; if 1 data is send via the other sockets.
     170
     171signal mac_loc : mac_type;
     172signal ip_loc : ip_type;
     173signal gateway_loc : ip_type;
     174signal netmask_loc : ip_type;
     175
    162176
    163177begin
     
    274288                                        -- Init
    275289                                        when INIT =>
     290                                          -- status of MAC jumpers is synched in
     291                                          -- and Board- and CrateID are synched in
     292                                          FAD_in_cam <= MAC_jumper(1); -- see position of jumpers in FACT logbook
     293            FAD_at_ETHZ <= MAC_jumper(0); -- MAC_jumper(1) is where D_T(7) was; MAC_jumper(0) is where D_T(6) was;
     294            bid <= BoardID;
     295            cid <= CrateID;
     296            --
    276297                                                par_addr <= W5300_MR;
    277298                                                par_data <= X"0000";
    278299                                                state_init <= WRITE_REG;
    279                                                 next_state <= IM;
     300                                                next_state <= LOCATE;
     301                                       
     302                                        when LOCATE =>                                   
     303                                          state_init <= IM;
     304                                         
     305                                          if (FAD_in_cam = '1') then
     306                                            -- if BID = "1111" and CID="11" then FAD is not really in cam
     307              -- back to INIT !! endless loop
     308              if (bid = "1111" and cid="11") then
     309               -- this should never happen!!!!!
     310               -- impossible to find this out, if in cam
     311                state_init <= INIT;
     312              else -- everything is fine
     313                -- IP & MAC are calculated from BID & CID
     314                -- code here
     315                gateway_loc <= CAM_GATEWAY;
     316                netmask_loc <= CAM_NETMASK;                                             
     317                mac_loc <= (CAM_MAC_prefix (0), CAM_MAC_prefix (1)  , conv_std_logic_vector ( conv_integer(cid)*10+conv_integer(bid)  , 16)   );
     318                ip_loc <= ( CAM_IP_PREFIX(0) , CAM_IP_PREFIX(1) , IP_offset + conv_integer(cid) , IP_offset + conv_integer(bid) );
     319              end if;
     320                                          else -- FAD is tested, eighther at ETHZ or at TUDO AND eighther with FMP or without.
     321                                            if ( FAD_at_ETHZ = '0' ) then
     322                                             -- easy FAD is at TUDO -> only one choice.
     323                                             mac_loc <= MAC_FAD0;
     324               ip_loc <= IP_TUDO;
     325               gateway_loc <= TUDO_GATEWAY;
     326               netmask_loc <= TUDO_NETMASK;
     327                                            else -- FAD is at ETHZ but not in cam --> IP lookup table is needed.
     328                                              if (bid = "1111" and cid="11") then -- FAD is not in crate
     329                  mac_loc <= MAC_FAD0;
     330                  ip_loc <= IP_ETHZ_FAD0;
     331                  gateway_loc <= ETHZ_GATEWAY;
     332                  netmask_loc <= ETHZ_NETMASK;                                         
     333                                              else
     334                                                -- FAD is at ETHZ and in crate:
     335                                                -- crate ID is not of importance.
     336                                                -- we only have 3 MACs and IPs so far, so only the first boardIDs are allowed.
     337                                                if ( conv_integer(bid) < MAC_LIST'length) then
     338                    gateway_loc <= ETHZ_GATEWAY;
     339                    netmask_loc <= ETHZ_NETMASK;                                               
     340                    mac_loc <= MAC_LIST(conv_integer(bid));
     341                                                ip_loc <= IP_LIST(conv_integer(bid));
     342                                                end if; -- conv_integer
     343                                              end if; -- bid=1111 & cid=11
     344                                            end if; --FAD_at_ETHZ = 0
     345                                          end if; --FAD_in_cam = 1
    280346                                               
    281347                                        -- Interrupt Mask
     
    336402                                        when MAC =>
    337403                                                par_addr <= W5300_SHAR;
    338                                                 par_data <= MAC_ADDRESS (0);
     404                                                par_data <= mac_loc(0);
    339405                                                state_init <= WRITE_REG;
    340406                                                next_state <= MAC1;
    341407                                        when MAC1 =>
    342408                                                par_addr <= W5300_SHAR + 2;
    343                                                 par_data <= MAC_ADDRESS (1);
     409                                                par_data <= mac_loc(1);
    344410                                                state_init <= WRITE_REG;
    345411                                                next_state <= MAC2;
    346412                                        when MAC2 =>
    347413                                                par_addr <= W5300_SHAR + 4;
    348                                                 par_data <= MAC_ADDRESS (2);
     414                                                par_data <= mac_loc(2);
    349415                                                state_init <= WRITE_REG;
    350416                                                next_state <= GW;
     
    353419                                        when GW =>
    354420                                                par_addr <= W5300_GAR;
    355                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (0),8);
    356                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (1),8);
     421                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(0),8);
     422                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(1),8);
    357423                                                state_init <= WRITE_REG;
    358424                                                next_state <= GW1;
    359425                                        when GW1 =>
    360426                                                par_addr <= W5300_GAR + 2;
    361                                                 par_data (15 downto 8) <= conv_std_logic_vector(GATEWAY (2),8);
    362                                                 par_data (7 downto 0) <= conv_std_logic_vector(GATEWAY (3),8);
     427                                                par_data (15 downto 8) <= conv_std_logic_vector(gateway_loc(2),8);
     428                                                par_data (7 downto 0) <= conv_std_logic_vector(gateway_loc(3),8);
    363429                                                state_init <= WRITE_REG;
    364430                                                next_state <= SNM;
     
    367433                                        when SNM =>
    368434                                                par_addr <= W5300_SUBR;
    369                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (0),8);
    370                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (1),8);
     435                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(0),8);
     436                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(1),8);
    371437                                                state_init <= WRITE_REG;
    372438                                                next_state <= SNM1;
    373439                                        when SNM1 =>
    374440                                                par_addr <= W5300_SUBR + 2;
    375                                                 par_data (15 downto 8) <= conv_std_logic_vector(NETMASK (2),8);
    376                                                 par_data (7 downto 0) <= conv_std_logic_vector(NETMASK (3),8);
     441                                                par_data (15 downto 8) <= conv_std_logic_vector(netmask_loc(2),8);
     442                                                par_data (7 downto 0) <= conv_std_logic_vector(netmask_loc(3),8);
    377443                                                state_init <= WRITE_REG;
    378444                                                next_state <= IP;
    379445                                        -- Own IP-Address
    380446                                        when IP =>
     447                                          led(0) <= '1';
     448          led(1) <= '1';
     449          led(2) <= '1';
     450
    381451                                                par_addr <= W5300_SIPR;
    382                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (0),8);
    383                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (1),8);
     452                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(0),8);
     453                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(1),8);
    384454                                                state_init <= WRITE_REG;
    385455                                                next_state <= IP1;
    386456                                        when IP1 =>
    387457                                                par_addr <= W5300_SIPR + 2;
    388                                                 par_data (15 downto 8) <= conv_std_logic_vector(IP_ADDRESS (2),8);
    389                                                 par_data (7 downto 0) <= conv_std_logic_vector(IP_ADDRESS (3),8);
     458                                                par_data (15 downto 8) <= conv_std_logic_vector(ip_loc(2),8);
     459                                                par_data (7 downto 0) <= conv_std_logic_vector(ip_loc(3),8);
    390460                                                state_init <= WRITE_REG;
    391461                                                next_state <= SI;
     
    404474                                        -- Socket Init
    405475                                        when SI =>
     476                                          led(3) <= '1';
    406477                                                par_addr <= W5300_S0_MR + socket_cnt * W5300_S_INC;
    407478                                                par_data <= X"0101"; -- ALIGN, TCP
     
    435506                                                end if;
    436507                                        when SI6 =>
     508                                          led(4) <= '1';
    437509                                                par_addr <= W5300_S0_CR + socket_cnt * W5300_S_INC;
    438510                                                par_data <= X"0002"; -- LISTEN
     
    448520                                               
    449521                                        when ESTABLISH =>
     522                                          led(5) <= '1';
    450523                                          socks_waiting <= '1';
    451524            socks_connected <= '0';
     
    607680                      --trigger_stop <= '1';
    608681                      state_read_data <= RD_5;
     682                    when CMD_SET_TRIGGER_MULT =>
     683                      c_trigger_mult <= data_read (7 downto 0);
     684                      state_read_data <= RD_5;
     685
    609686                    -- phase shift commands here:
    610687                    when CMD_PS_DO =>
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_board_struct.xrf

    r10121 r10123  
    3737DESIGN @f@a@d_@board
    3838VIEW symbol.sb
    39 GRAPHIC 4165,0 24 0
    40 DESIGN @f@a@d_@board
    41 VIEW symbol.sb
    42 GRAPHIC 4264,0 25 0
    43 DESIGN @f@a@d_@board
    44 VIEW symbol.sb
    45 GRAPHIC 3581,0 26 0
    46 DESIGN @f@a@d_@board
    47 VIEW symbol.sb
    48 GRAPHIC 3687,0 27 0
    49 DESIGN @f@a@d_@board
    50 VIEW symbol.sb
    51 GRAPHIC 3692,0 28 0
    52 DESIGN @f@a@d_@board
    53 VIEW symbol.sb
    54 GRAPHIC 1121,0 29 0
    55 DESIGN @f@a@d_@board
    56 VIEW symbol.sb
    57 GRAPHIC 1421,0 30 0
    58 DESIGN @f@a@d_@board
    59 VIEW symbol.sb
    60 GRAPHIC 1116,0 31 0
    61 DESIGN @f@a@d_@board
    62 VIEW symbol.sb
    63 GRAPHIC 3456,0 32 0
    64 DESIGN @f@a@d_@board
    65 VIEW symbol.sb
    66 GRAPHIC 3026,0 33 0
    67 DESIGN @f@a@d_@board
    68 VIEW symbol.sb
    69 GRAPHIC 4033,0 34 0
    70 DESIGN @f@a@d_@board
    71 VIEW symbol.sb
    72 GRAPHIC 1126,0 35 0
    73 DESIGN @f@a@d_@board
    74 VIEW symbol.sb
    75 GRAPHIC 1227,0 36 0
    76 DESIGN @f@a@d_@board
    77 VIEW symbol.sb
    78 GRAPHIC 1232,0 37 0
    79 DESIGN @f@a@d_@board
    80 VIEW symbol.sb
    81 GRAPHIC 1237,0 38 0
    82 DESIGN @f@a@d_@board
    83 VIEW symbol.sb
    84 GRAPHIC 1242,0 39 0
    85 DESIGN @f@a@d_@board
    86 VIEW symbol.sb
    87 GRAPHIC 1363,0 40 0
    88 DESIGN @f@a@d_@board
    89 VIEW symbol.sb
    90 GRAPHIC 1701,0 41 0
    91 DESIGN @f@a@d_@board
    92 VIEW symbol.sb
    93 GRAPHIC 1308,0 42 0
    94 DESIGN @f@a@d_@board
    95 VIEW symbol.sb
    96 GRAPHIC 1302,0 43 0
    97 DESIGN @f@a@d_@board
    98 VIEW symbol.sb
    99 GRAPHIC 2068,0 44 0
    100 DESIGN @f@a@d_@board
    101 VIEW symbol.sb
    102 GRAPHIC 2949,0 45 0
    103 DESIGN @f@a@d_@board
    104 VIEW symbol.sb
    105 GRAPHIC 1711,0 46 0
    106 DESIGN @f@a@d_@board
    107 VIEW symbol.sb
    108 GRAPHIC 4038,0 47 0
    109 DESIGN @f@a@d_@board
    110 VIEW symbol.sb
    111 GRAPHIC 1620,0 48 0
    112 DESIGN @f@a@d_@board
    113 VIEW symbol.sb
    114 GRAPHIC 1166,0 49 0
    115 DESIGN @f@a@d_@board
    116 VIEW symbol.sb
    117 GRAPHIC 4043,0 50 0
    118 DESIGN @f@a@d_@board
    119 VIEW symbol.sb
    120 GRAPHIC 1686,0 51 0
    121 DESIGN @f@a@d_@board
    122 VIEW symbol.sb
    123 GRAPHIC 3586,0 52 0
    124 DESIGN @f@a@d_@board
    125 VIEW symbol.sb
    126 GRAPHIC 1681,0 53 0
    127 DESIGN @f@a@d_@board
    128 VIEW symbol.sb
    129 GRAPHIC 1696,0 54 0
    130 DESIGN @f@a@d_@board
    131 VIEW symbol.sb
    132 GRAPHIC 1691,0 55 0
    133 DESIGN @f@a@d_@board
    134 VIEW symbol.sb
    135 GRAPHIC 693,0 56 0
    136 DESIGN @f@a@d_@board
    137 VIEW symbol.sb
    138 GRAPHIC 1706,0 57 0
    139 DESIGN @f@a@d_@board
    140 VIEW symbol.sb
    141 GRAPHIC 1388,0 58 0
    142 DESIGN @f@a@d_@board
    143 VIEW symbol.sb
    144 GRAPHIC 1368,0 59 0
    145 DESIGN @f@a@d_@board
    146 VIEW symbol.sb
    147 GRAPHIC 1373,0 60 0
    148 DESIGN @f@a@d_@board
    149 VIEW symbol.sb
    150 GRAPHIC 1378,0 61 0
    151 DESIGN @f@a@d_@board
    152 VIEW symbol.sb
    153 GRAPHIC 1383,0 62 0
    154 DESIGN @f@a@d_@board
    155 VIEW symbol.sb
    156 GRAPHIC 1676,0 63 0
    157 DESIGN @f@a@d_@board
    158 VIEW symbol.sb
    159 GRAPHIC 1393,0 64 0
    160 DESIGN @f@a@d_@board
    161 VIEW symbol.sb
    162 GRAPHIC 1426,0 65 0
    163 DESIGN @f@a@d_@board
    164 VIEW symbol.sb
    165 GRAPHIC 1409,0 66 0
    166 DESIGN @f@a@d_@board
    167 VIEW symbol.sb
    168 GRAPHIC 1403,0 67 0
    169 DESIGN @f@a@d_@board
    170 VIEW symbol.sb
    171 GRAPHIC 1415,0 68 0
    172 DESIGN @f@a@d_@board
    173 VIEW symbol.sb
    174 GRAPHIC 1626,0 69 0
    175 DESIGN @f@a@d_@board
    176 VIEW symbol.sb
    177 GRAPHIC 1398,0 70 0
    178 DESIGN @f@a@d_@board
    179 VIEW symbol.sb
    180 GRAPHIC 1,0 73 0
     39GRAPHIC 4324,0 24 0
     40DESIGN @f@a@d_@board
     41VIEW symbol.sb
     42GRAPHIC 4294,0 25 0
     43DESIGN @f@a@d_@board
     44VIEW symbol.sb
     45GRAPHIC 4264,0 26 0
     46DESIGN @f@a@d_@board
     47VIEW symbol.sb
     48GRAPHIC 3581,0 27 0
     49DESIGN @f@a@d_@board
     50VIEW symbol.sb
     51GRAPHIC 3687,0 28 0
     52DESIGN @f@a@d_@board
     53VIEW symbol.sb
     54GRAPHIC 3692,0 29 0
     55DESIGN @f@a@d_@board
     56VIEW symbol.sb
     57GRAPHIC 1121,0 30 0
     58DESIGN @f@a@d_@board
     59VIEW symbol.sb
     60GRAPHIC 1421,0 31 0
     61DESIGN @f@a@d_@board
     62VIEW symbol.sb
     63GRAPHIC 1116,0 32 0
     64DESIGN @f@a@d_@board
     65VIEW symbol.sb
     66GRAPHIC 3456,0 33 0
     67DESIGN @f@a@d_@board
     68VIEW symbol.sb
     69GRAPHIC 3026,0 34 0
     70DESIGN @f@a@d_@board
     71VIEW symbol.sb
     72GRAPHIC 4033,0 35 0
     73DESIGN @f@a@d_@board
     74VIEW symbol.sb
     75GRAPHIC 1126,0 36 0
     76DESIGN @f@a@d_@board
     77VIEW symbol.sb
     78GRAPHIC 1227,0 37 0
     79DESIGN @f@a@d_@board
     80VIEW symbol.sb
     81GRAPHIC 1232,0 38 0
     82DESIGN @f@a@d_@board
     83VIEW symbol.sb
     84GRAPHIC 1237,0 39 0
     85DESIGN @f@a@d_@board
     86VIEW symbol.sb
     87GRAPHIC 1242,0 40 0
     88DESIGN @f@a@d_@board
     89VIEW symbol.sb
     90GRAPHIC 1363,0 41 0
     91DESIGN @f@a@d_@board
     92VIEW symbol.sb
     93GRAPHIC 1701,0 42 0
     94DESIGN @f@a@d_@board
     95VIEW symbol.sb
     96GRAPHIC 1308,0 43 0
     97DESIGN @f@a@d_@board
     98VIEW symbol.sb
     99GRAPHIC 1302,0 44 0
     100DESIGN @f@a@d_@board
     101VIEW symbol.sb
     102GRAPHIC 2068,0 45 0
     103DESIGN @f@a@d_@board
     104VIEW symbol.sb
     105GRAPHIC 2949,0 46 0
     106DESIGN @f@a@d_@board
     107VIEW symbol.sb
     108GRAPHIC 1711,0 47 0
     109DESIGN @f@a@d_@board
     110VIEW symbol.sb
     111GRAPHIC 4038,0 48 0
     112DESIGN @f@a@d_@board
     113VIEW symbol.sb
     114GRAPHIC 1620,0 49 0
     115DESIGN @f@a@d_@board
     116VIEW symbol.sb
     117GRAPHIC 1166,0 50 0
     118DESIGN @f@a@d_@board
     119VIEW symbol.sb
     120GRAPHIC 4043,0 51 0
     121DESIGN @f@a@d_@board
     122VIEW symbol.sb
     123GRAPHIC 1686,0 52 0
     124DESIGN @f@a@d_@board
     125VIEW symbol.sb
     126GRAPHIC 3586,0 53 0
     127DESIGN @f@a@d_@board
     128VIEW symbol.sb
     129GRAPHIC 1681,0 54 0
     130DESIGN @f@a@d_@board
     131VIEW symbol.sb
     132GRAPHIC 1696,0 55 0
     133DESIGN @f@a@d_@board
     134VIEW symbol.sb
     135GRAPHIC 1691,0 56 0
     136DESIGN @f@a@d_@board
     137VIEW symbol.sb
     138GRAPHIC 693,0 57 0
     139DESIGN @f@a@d_@board
     140VIEW symbol.sb
     141GRAPHIC 1706,0 58 0
     142DESIGN @f@a@d_@board
     143VIEW symbol.sb
     144GRAPHIC 1388,0 59 0
     145DESIGN @f@a@d_@board
     146VIEW symbol.sb
     147GRAPHIC 1368,0 60 0
     148DESIGN @f@a@d_@board
     149VIEW symbol.sb
     150GRAPHIC 1373,0 61 0
     151DESIGN @f@a@d_@board
     152VIEW symbol.sb
     153GRAPHIC 1378,0 62 0
     154DESIGN @f@a@d_@board
     155VIEW symbol.sb
     156GRAPHIC 1383,0 63 0
     157DESIGN @f@a@d_@board
     158VIEW symbol.sb
     159GRAPHIC 1676,0 64 0
     160DESIGN @f@a@d_@board
     161VIEW symbol.sb
     162GRAPHIC 1393,0 65 0
     163DESIGN @f@a@d_@board
     164VIEW symbol.sb
     165GRAPHIC 1426,0 66 0
     166DESIGN @f@a@d_@board
     167VIEW symbol.sb
     168GRAPHIC 1409,0 67 0
     169DESIGN @f@a@d_@board
     170VIEW symbol.sb
     171GRAPHIC 1403,0 68 0
     172DESIGN @f@a@d_@board
     173VIEW symbol.sb
     174GRAPHIC 1415,0 69 0
     175DESIGN @f@a@d_@board
     176VIEW symbol.sb
     177GRAPHIC 1626,0 70 0
     178DESIGN @f@a@d_@board
     179VIEW symbol.sb
     180GRAPHIC 1398,0 71 0
    181181DESIGN @f@a@d_@board
    182182VIEW symbol.sb
    183183GRAPHIC 1,0 74 0
    184184DESIGN @f@a@d_@board
    185 VIEW struct.bd
    186 NO_GRAPHIC 77
    187 DESIGN @f@a@d_@board
    188 VIEW struct.bd
    189 GRAPHIC 41,0 86 0
    190 DESIGN @f@a@d_@board
    191 VIEW struct.bd
    192 NO_GRAPHIC 94
    193 DESIGN @f@a@d_@board
    194 VIEW struct.bd
    195 GRAPHIC 0,0 97 2
    196 DESIGN @f@a@d_@board
    197 VIEW struct.bd
    198 GRAPHIC 10504,0 102 0
    199 DESIGN @f@a@d_@board
    200 VIEW struct.bd
    201 GRAPHIC 10512,0 103 0
    202 DESIGN @f@a@d_@board
    203 VIEW struct.bd
    204 GRAPHIC 10496,0 104 0
    205 DESIGN @f@a@d_@board
    206 VIEW struct.bd
    207 GRAPHIC 3268,0 105 0
    208 DESIGN @f@a@d_@board
    209 VIEW struct.bd
    210 GRAPHIC 10032,0 106 0
    211 DESIGN @f@a@d_@board
    212 VIEW struct.bd
    213 GRAPHIC 9500,0 107 0
    214 DESIGN @f@a@d_@board
    215 VIEW struct.bd
    216 GRAPHIC 10552,0 108 0
    217 DESIGN @f@a@d_@board
    218 VIEW struct.bd
    219 GRAPHIC 10592,0 109 0
    220 DESIGN @f@a@d_@board
    221 VIEW struct.bd
    222 GRAPHIC 10584,0 110 0
    223 DESIGN @f@a@d_@board
    224 VIEW struct.bd
    225 GRAPHIC 10576,0 111 0
    226 DESIGN @f@a@d_@board
    227 VIEW struct.bd
    228 GRAPHIC 10544,0 112 0
    229 DESIGN @f@a@d_@board
    230 VIEW struct.bd
    231 GRAPHIC 10520,0 113 0
    232 DESIGN @f@a@d_@board
    233 VIEW struct.bd
    234 GRAPHIC 2421,0 114 0
    235 DESIGN @f@a@d_@board
    236 VIEW struct.bd
    237 GRAPHIC 10050,0 115 0
    238 DESIGN @f@a@d_@board
    239 VIEW struct.bd
    240 GRAPHIC 1465,0 116 0
    241 DESIGN @f@a@d_@board
    242 VIEW struct.bd
    243 GRAPHIC 275,0 117 0
    244 DESIGN @f@a@d_@board
    245 VIEW struct.bd
    246 GRAPHIC 283,0 118 0
    247 DESIGN @f@a@d_@board
    248 VIEW struct.bd
    249 GRAPHIC 8851,0 119 0
    250 DESIGN @f@a@d_@board
    251 VIEW struct.bd
    252 GRAPHIC 7485,0 120 0
    253 DESIGN @f@a@d_@board
    254 VIEW struct.bd
    255 GRAPHIC 10560,0 121 0
    256 DESIGN @f@a@d_@board
    257 VIEW struct.bd
    258 GRAPHIC 3019,0 122 0
    259 DESIGN @f@a@d_@board
    260 VIEW struct.bd
    261 GRAPHIC 10568,0 124 0
    262 DESIGN @f@a@d_@board
    263 VIEW struct.bd
    264 NO_GRAPHIC 125
    265 DESIGN @f@a@d_@board
    266 VIEW struct.bd
    267 GRAPHIC 13570,0 127 0
    268 DESIGN @f@a@d_@board
    269 VIEW struct.bd
    270 NO_GRAPHIC 129
     185VIEW symbol.sb
     186GRAPHIC 1,0 75 0
     187DESIGN @f@a@d_@board
     188VIEW struct.bd
     189NO_GRAPHIC 78
     190DESIGN @f@a@d_@board
     191VIEW struct.bd
     192GRAPHIC 41,0 87 0
     193DESIGN @f@a@d_@board
     194VIEW struct.bd
     195NO_GRAPHIC 95
     196DESIGN @f@a@d_@board
     197VIEW struct.bd
     198GRAPHIC 0,0 98 2
     199DESIGN @f@a@d_@board
     200VIEW struct.bd
     201GRAPHIC 10504,0 103 0
     202DESIGN @f@a@d_@board
     203VIEW struct.bd
     204GRAPHIC 10512,0 104 0
     205DESIGN @f@a@d_@board
     206VIEW struct.bd
     207GRAPHIC 10496,0 105 0
     208DESIGN @f@a@d_@board
     209VIEW struct.bd
     210GRAPHIC 3268,0 106 0
     211DESIGN @f@a@d_@board
     212VIEW struct.bd
     213GRAPHIC 10032,0 107 0
     214DESIGN @f@a@d_@board
     215VIEW struct.bd
     216GRAPHIC 9500,0 108 0
     217DESIGN @f@a@d_@board
     218VIEW struct.bd
     219GRAPHIC 10552,0 109 0
     220DESIGN @f@a@d_@board
     221VIEW struct.bd
     222GRAPHIC 10592,0 110 0
     223DESIGN @f@a@d_@board
     224VIEW struct.bd
     225GRAPHIC 10584,0 111 0
     226DESIGN @f@a@d_@board
     227VIEW struct.bd
     228GRAPHIC 10576,0 112 0
     229DESIGN @f@a@d_@board
     230VIEW struct.bd
     231GRAPHIC 10544,0 113 0
     232DESIGN @f@a@d_@board
     233VIEW struct.bd
     234GRAPHIC 10520,0 114 0
     235DESIGN @f@a@d_@board
     236VIEW struct.bd
     237GRAPHIC 2421,0 115 0
     238DESIGN @f@a@d_@board
     239VIEW struct.bd
     240GRAPHIC 10050,0 116 0
     241DESIGN @f@a@d_@board
     242VIEW struct.bd
     243GRAPHIC 1465,0 117 0
     244DESIGN @f@a@d_@board
     245VIEW struct.bd
     246GRAPHIC 275,0 118 0
     247DESIGN @f@a@d_@board
     248VIEW struct.bd
     249GRAPHIC 283,0 119 0
     250DESIGN @f@a@d_@board
     251VIEW struct.bd
     252GRAPHIC 8851,0 120 0
     253DESIGN @f@a@d_@board
     254VIEW struct.bd
     255GRAPHIC 7485,0 121 0
     256DESIGN @f@a@d_@board
     257VIEW struct.bd
     258GRAPHIC 15173,0 122 0
     259DESIGN @f@a@d_@board
     260VIEW struct.bd
     261GRAPHIC 10560,0 123 0
     262DESIGN @f@a@d_@board
     263VIEW struct.bd
     264GRAPHIC 3019,0 124 0
     265DESIGN @f@a@d_@board
     266VIEW struct.bd
     267GRAPHIC 10568,0 126 0
     268DESIGN @f@a@d_@board
     269VIEW struct.bd
     270NO_GRAPHIC 127
     271DESIGN @f@a@d_@board
     272VIEW struct.bd
     273GRAPHIC 13570,0 129 0
     274DESIGN @f@a@d_@board
     275VIEW struct.bd
     276NO_GRAPHIC 131
    271277LIBRARY FACT_FAD_lib
    272278DESIGN @f@a@d_main
    273279VIEW struct
    274 GRAPHIC 169,0 131 0
    275 DESIGN @f@a@d_main
    276 VIEW symbol.sb
    277 GRAPHIC 14,0 132 1
    278 DESIGN @f@a@d_main
    279 VIEW symbol.sb
    280 GRAPHIC 1755,0 136 0
    281 DESIGN @f@a@d_main
    282 VIEW symbol.sb
    283 GRAPHIC 2710,0 137 0
    284 DESIGN @f@a@d_main
    285 VIEW symbol.sb
    286 GRAPHIC 2715,0 138 0
    287 DESIGN @f@a@d_main
    288 VIEW symbol.sb
    289 GRAPHIC 2720,0 139 0
    290 DESIGN @f@a@d_main
    291 VIEW symbol.sb
    292 GRAPHIC 2725,0 140 0
    293 DESIGN @f@a@d_main
    294 VIEW symbol.sb
    295 GRAPHIC 2282,0 141 0
    296 DESIGN @f@a@d_main
    297 VIEW symbol.sb
    298 GRAPHIC 1976,0 142 0
    299 DESIGN @f@a@d_main
    300 VIEW symbol.sb
    301 GRAPHIC 923,0 143 0
    302 DESIGN @f@a@d_main
    303 VIEW symbol.sb
    304 GRAPHIC 928,0 144 0
    305 DESIGN @f@a@d_main
    306 VIEW symbol.sb
    307 GRAPHIC 464,0 145 0
    308 DESIGN @f@a@d_main
    309 VIEW symbol.sb
    310 GRAPHIC 1062,0 146 0
    311 DESIGN @f@a@d_main
    312 VIEW symbol.sb
    313 GRAPHIC 4584,0 147 0
    314 DESIGN @f@a@d_main
    315 VIEW symbol.sb
    316 GRAPHIC 4589,0 148 0
    317 DESIGN @f@a@d_main
    318 VIEW symbol.sb
    319 GRAPHIC 4579,0 149 0
    320 DESIGN @f@a@d_main
    321 VIEW symbol.sb
    322 GRAPHIC 1389,0 150 0
    323 DESIGN @f@a@d_main
    324 VIEW symbol.sb
    325 GRAPHIC 1725,0 151 0
    326 DESIGN @f@a@d_main
    327 VIEW symbol.sb
    328 GRAPHIC 4497,0 152 0
    329 DESIGN @f@a@d_main
    330 VIEW symbol.sb
    331 GRAPHIC 4467,0 153 0
    332 DESIGN @f@a@d_main
    333 VIEW symbol.sb
    334 GRAPHIC 4487,0 154 0
    335 DESIGN @f@a@d_main
    336 VIEW symbol.sb
    337 GRAPHIC 4472,0 155 0
    338 DESIGN @f@a@d_main
    339 VIEW symbol.sb
    340 GRAPHIC 4477,0 156 0
    341 DESIGN @f@a@d_main
    342 VIEW symbol.sb
    343 GRAPHIC 4517,0 157 0
    344 DESIGN @f@a@d_main
    345 VIEW symbol.sb
    346 GRAPHIC 2987,0 158 0
    347 DESIGN @f@a@d_main
    348 VIEW symbol.sb
    349 GRAPHIC 2992,0 159 0
    350 DESIGN @f@a@d_main
    351 VIEW symbol.sb
    352 GRAPHIC 4780,0 160 0
    353 DESIGN @f@a@d_main
    354 VIEW symbol.sb
    355 GRAPHIC 4323,0 161 0
    356 DESIGN @f@a@d_main
    357 VIEW symbol.sb
    358 GRAPHIC 833,0 162 0
    359 DESIGN @f@a@d_main
    360 VIEW symbol.sb
    361 GRAPHIC 5206,0 163 0
    362 DESIGN @f@a@d_main
    363 VIEW symbol.sb
    364 GRAPHIC 4911,0 164 0
    365 DESIGN @f@a@d_main
    366 VIEW symbol.sb
    367 GRAPHIC 3641,0 165 0
    368 DESIGN @f@a@d_main
    369 VIEW symbol.sb
    370 GRAPHIC 4144,0 166 0
    371 DESIGN @f@a@d_main
    372 VIEW symbol.sb
    373 GRAPHIC 2448,0 167 0
    374 DESIGN @f@a@d_main
    375 VIEW symbol.sb
    376 GRAPHIC 2453,0 168 0
    377 DESIGN @f@a@d_main
    378 VIEW symbol.sb
    379 GRAPHIC 4906,0 169 0
    380 DESIGN @f@a@d_main
    381 VIEW symbol.sb
    382 GRAPHIC 163,0 170 0
    383 DESIGN @f@a@d_main
    384 VIEW symbol.sb
    385 GRAPHIC 4067,0 171 0
    386 DESIGN @f@a@d_main
    387 VIEW symbol.sb
    388 GRAPHIC 4502,0 172 0
    389 DESIGN @f@a@d_main
    390 VIEW symbol.sb
    391 GRAPHIC 4512,0 173 0
    392 DESIGN @f@a@d_main
    393 VIEW symbol.sb
    394 GRAPHIC 4916,0 174 0
    395 DESIGN @f@a@d_main
    396 VIEW symbol.sb
    397 GRAPHIC 3631,0 175 0
    398 DESIGN @f@a@d_main
    399 VIEW symbol.sb
    400 GRAPHIC 3646,0 176 0
    401 DESIGN @f@a@d_main
    402 VIEW symbol.sb
    403 GRAPHIC 4507,0 177 0
    404 DESIGN @f@a@d_main
    405 VIEW symbol.sb
    406 GRAPHIC 1037,0 179 0
    407 DESIGN @f@a@d_main
    408 VIEW symbol.sb
    409 GRAPHIC 1047,0 180 0
    410 DESIGN @f@a@d_main
    411 VIEW symbol.sb
    412 GRAPHIC 1057,0 181 0
    413 DESIGN @f@a@d_main
    414 VIEW symbol.sb
    415 GRAPHIC 135,0 182 0
    416 DESIGN @f@a@d_main
    417 VIEW symbol.sb
    418 GRAPHIC 1052,0 183 0
    419 DESIGN @f@a@d_main
    420 VIEW symbol.sb
    421 GRAPHIC 3636,0 184 0
    422 DESIGN @f@a@d_main
    423 VIEW symbol.sb
    424 GRAPHIC 1042,0 185 0
     280GRAPHIC 169,0 133 0
     281DESIGN @f@a@d_main
     282VIEW symbol.sb
     283GRAPHIC 14,0 134 1
     284DESIGN @f@a@d_main
     285VIEW symbol.sb
     286GRAPHIC 1755,0 138 0
     287DESIGN @f@a@d_main
     288VIEW symbol.sb
     289GRAPHIC 5328,0 139 0
     290DESIGN @f@a@d_main
     291VIEW symbol.sb
     292GRAPHIC 2710,0 140 0
     293DESIGN @f@a@d_main
     294VIEW symbol.sb
     295GRAPHIC 2715,0 141 0
     296DESIGN @f@a@d_main
     297VIEW symbol.sb
     298GRAPHIC 2720,0 142 0
     299DESIGN @f@a@d_main
     300VIEW symbol.sb
     301GRAPHIC 2725,0 143 0
     302DESIGN @f@a@d_main
     303VIEW symbol.sb
     304GRAPHIC 2282,0 144 0
     305DESIGN @f@a@d_main
     306VIEW symbol.sb
     307GRAPHIC 1976,0 145 0
     308DESIGN @f@a@d_main
     309VIEW symbol.sb
     310GRAPHIC 923,0 146 0
     311DESIGN @f@a@d_main
     312VIEW symbol.sb
     313GRAPHIC 928,0 147 0
     314DESIGN @f@a@d_main
     315VIEW symbol.sb
     316GRAPHIC 464,0 148 0
     317DESIGN @f@a@d_main
     318VIEW symbol.sb
     319GRAPHIC 1062,0 149 0
     320DESIGN @f@a@d_main
     321VIEW symbol.sb
     322GRAPHIC 4584,0 150 0
     323DESIGN @f@a@d_main
     324VIEW symbol.sb
     325GRAPHIC 4589,0 151 0
     326DESIGN @f@a@d_main
     327VIEW symbol.sb
     328GRAPHIC 4579,0 152 0
     329DESIGN @f@a@d_main
     330VIEW symbol.sb
     331GRAPHIC 1389,0 153 0
     332DESIGN @f@a@d_main
     333VIEW symbol.sb
     334GRAPHIC 1725,0 154 0
     335DESIGN @f@a@d_main
     336VIEW symbol.sb
     337GRAPHIC 4497,0 155 0
     338DESIGN @f@a@d_main
     339VIEW symbol.sb
     340GRAPHIC 4467,0 156 0
     341DESIGN @f@a@d_main
     342VIEW symbol.sb
     343GRAPHIC 4487,0 157 0
     344DESIGN @f@a@d_main
     345VIEW symbol.sb
     346GRAPHIC 4472,0 158 0
     347DESIGN @f@a@d_main
     348VIEW symbol.sb
     349GRAPHIC 4477,0 159 0
     350DESIGN @f@a@d_main
     351VIEW symbol.sb
     352GRAPHIC 4517,0 160 0
     353DESIGN @f@a@d_main
     354VIEW symbol.sb
     355GRAPHIC 2987,0 161 0
     356DESIGN @f@a@d_main
     357VIEW symbol.sb
     358GRAPHIC 2992,0 162 0
     359DESIGN @f@a@d_main
     360VIEW symbol.sb
     361GRAPHIC 4780,0 163 0
     362DESIGN @f@a@d_main
     363VIEW symbol.sb
     364GRAPHIC 4323,0 164 0
     365DESIGN @f@a@d_main
     366VIEW symbol.sb
     367GRAPHIC 833,0 165 0
     368DESIGN @f@a@d_main
     369VIEW symbol.sb
     370GRAPHIC 5206,0 166 0
     371DESIGN @f@a@d_main
     372VIEW symbol.sb
     373GRAPHIC 4911,0 167 0
     374DESIGN @f@a@d_main
     375VIEW symbol.sb
     376GRAPHIC 3641,0 168 0
     377DESIGN @f@a@d_main
     378VIEW symbol.sb
     379GRAPHIC 4144,0 169 0
     380DESIGN @f@a@d_main
     381VIEW symbol.sb
     382GRAPHIC 2448,0 170 0
     383DESIGN @f@a@d_main
     384VIEW symbol.sb
     385GRAPHIC 2453,0 171 0
     386DESIGN @f@a@d_main
     387VIEW symbol.sb
     388GRAPHIC 4906,0 172 0
     389DESIGN @f@a@d_main
     390VIEW symbol.sb
     391GRAPHIC 163,0 173 0
     392DESIGN @f@a@d_main
     393VIEW symbol.sb
     394GRAPHIC 4067,0 174 0
     395DESIGN @f@a@d_main
     396VIEW symbol.sb
     397GRAPHIC 4502,0 175 0
     398DESIGN @f@a@d_main
     399VIEW symbol.sb
     400GRAPHIC 4512,0 176 0
     401DESIGN @f@a@d_main
     402VIEW symbol.sb
     403GRAPHIC 4916,0 177 0
     404DESIGN @f@a@d_main
     405VIEW symbol.sb
     406GRAPHIC 3631,0 178 0
     407DESIGN @f@a@d_main
     408VIEW symbol.sb
     409GRAPHIC 3646,0 179 0
     410DESIGN @f@a@d_main
     411VIEW symbol.sb
     412GRAPHIC 4507,0 180 0
     413DESIGN @f@a@d_main
     414VIEW symbol.sb
     415GRAPHIC 1037,0 182 0
     416DESIGN @f@a@d_main
     417VIEW symbol.sb
     418GRAPHIC 1047,0 183 0
     419DESIGN @f@a@d_main
     420VIEW symbol.sb
     421GRAPHIC 1057,0 184 0
     422DESIGN @f@a@d_main
     423VIEW symbol.sb
     424GRAPHIC 135,0 185 0
     425DESIGN @f@a@d_main
     426VIEW symbol.sb
     427GRAPHIC 1052,0 186 0
     428DESIGN @f@a@d_main
     429VIEW symbol.sb
     430GRAPHIC 3636,0 187 0
     431DESIGN @f@a@d_main
     432VIEW symbol.sb
     433GRAPHIC 1042,0 188 0
    425434LIBRARY FACT_FAD_lib
    426435DESIGN @f@a@d_@board
    427436VIEW struct.bd
    428 NO_GRAPHIC 188
    429 DESIGN @f@a@d_@board
    430 VIEW struct.bd
    431 GRAPHIC 169,0 191 0
    432 DESIGN @f@a@d_@board
    433 VIEW struct.bd
    434 NO_GRAPHIC 194
    435 DESIGN @f@a@d_@board
    436 VIEW struct.bd
    437 GRAPHIC 265,0 197 0
    438 DESIGN @f@a@d_@board
    439 VIEW struct.bd
    440 NO_GRAPHIC 201
    441 DESIGN @f@a@d_@board
    442 VIEW struct.bd
    443 GRAPHIC 3248,0 202 0
    444 DESIGN @f@a@d_@board
    445 VIEW struct.bd
    446 NO_GRAPHIC 208
    447 DESIGN @f@a@d_@board
    448 VIEW struct.bd
    449 GRAPHIC 3300,0 209 0
    450 DESIGN @f@a@d_@board
    451 VIEW struct.bd
    452 NO_GRAPHIC 215
    453 DESIGN @f@a@d_@board
    454 VIEW struct.bd
    455 GRAPHIC 3394,0 216 0
    456 DESIGN @f@a@d_@board
    457 VIEW struct.bd
    458 NO_GRAPHIC 222
    459 DESIGN @f@a@d_@board
    460 VIEW struct.bd
    461 GRAPHIC 3542,0 223 0
    462 DESIGN @f@a@d_@board
    463 VIEW struct.bd
    464 NO_GRAPHIC 229
    465 DESIGN @f@a@d_@board
    466 VIEW struct.bd
    467 GRAPHIC 3700,0 230 0
    468 DESIGN @f@a@d_@board
    469 VIEW struct.bd
    470 NO_GRAPHIC 244
    471 DESIGN @f@a@d_@board
    472 VIEW struct.bd
    473 GRAPHIC 7092,0 245 0
    474 DESIGN @f@a@d_@board
    475 VIEW struct.bd
    476 NO_GRAPHIC 248
    477 DESIGN @f@a@d_@board
    478 VIEW struct.bd
    479 GRAPHIC 10310,0 249 0
    480 DESIGN @f@a@d_@board
    481 VIEW struct.bd
    482 NO_GRAPHIC 277
    483 DESIGN @f@a@d_@board
    484 VIEW struct.bd
    485 GRAPHIC 10023,0 278 0
    486 DESIGN @f@a@d_@board
    487 VIEW struct.bd
    488 GRAPHIC 7652,0 281 0
    489 DESIGN @f@a@d_@board
    490 VIEW struct.bd
    491 NO_GRAPHIC 284
    492 DESIGN @f@a@d_@board
    493 VIEW struct.bd
    494 GRAPHIC 169,0 286 0
    495 DESIGN @f@a@d_@board
    496 VIEW struct.bd
    497 GRAPHIC 176,0 287 1
    498 DESIGN @f@a@d_@board
    499 VIEW struct.bd
    500 GRAPHIC 245,0 291 0
    501 DESIGN @f@a@d_@board
    502 VIEW struct.bd
    503 GRAPHIC 1865,0 292 0
    504 DESIGN @f@a@d_@board
    505 VIEW struct.bd
    506 GRAPHIC 1873,0 293 0
    507 DESIGN @f@a@d_@board
    508 VIEW struct.bd
    509 GRAPHIC 1881,0 294 0
    510 DESIGN @f@a@d_@board
    511 VIEW struct.bd
    512 GRAPHIC 1889,0 295 0
    513 DESIGN @f@a@d_@board
    514 VIEW struct.bd
    515 GRAPHIC 1467,0 296 0
    516 DESIGN @f@a@d_@board
    517 VIEW struct.bd
    518 GRAPHIC 1730,0 297 0
    519 DESIGN @f@a@d_@board
    520 VIEW struct.bd
    521 GRAPHIC 277,0 298 0
    522 DESIGN @f@a@d_@board
    523 VIEW struct.bd
    524 GRAPHIC 285,0 299 0
    525 DESIGN @f@a@d_@board
    526 VIEW struct.bd
    527 GRAPHIC 3218,0 300 0
    528 DESIGN @f@a@d_@board
    529 VIEW struct.bd
    530 GRAPHIC 450,0 301 0
    531 DESIGN @f@a@d_@board
    532 VIEW struct.bd
    533 GRAPHIC 10506,0 302 0
    534 DESIGN @f@a@d_@board
    535 VIEW struct.bd
    536 GRAPHIC 10514,0 303 0
    537 DESIGN @f@a@d_@board
    538 VIEW struct.bd
    539 GRAPHIC 10498,0 304 0
    540 DESIGN @f@a@d_@board
    541 VIEW struct.bd
    542 GRAPHIC 10034,0 305 0
    543 DESIGN @f@a@d_@board
    544 VIEW struct.bd
    545 GRAPHIC 9502,0 306 0
    546 DESIGN @f@a@d_@board
    547 VIEW struct.bd
    548 GRAPHIC 10554,0 307 0
    549 DESIGN @f@a@d_@board
    550 VIEW struct.bd
    551 GRAPHIC 10594,0 308 0
    552 DESIGN @f@a@d_@board
    553 VIEW struct.bd
    554 GRAPHIC 10586,0 309 0
    555 DESIGN @f@a@d_@board
    556 VIEW struct.bd
    557 GRAPHIC 10578,0 310 0
    558 DESIGN @f@a@d_@board
    559 VIEW struct.bd
    560 GRAPHIC 10546,0 311 0
    561 DESIGN @f@a@d_@board
    562 VIEW struct.bd
    563 GRAPHIC 10522,0 312 0
    564 DESIGN @f@a@d_@board
    565 VIEW struct.bd
    566 GRAPHIC 2409,0 313 0
    567 DESIGN @f@a@d_@board
    568 VIEW struct.bd
    569 GRAPHIC 2423,0 314 0
    570 DESIGN @f@a@d_@board
    571 VIEW struct.bd
    572 GRAPHIC 12320,0 315 0
    573 DESIGN @f@a@d_@board
    574 VIEW struct.bd
    575 GRAPHIC 10052,0 316 0
    576 DESIGN @f@a@d_@board
    577 VIEW struct.bd
    578 GRAPHIC 362,0 317 0
    579 DESIGN @f@a@d_@board
    580 VIEW struct.bd
    581 GRAPHIC 3778,0 318 0
    582 DESIGN @f@a@d_@board
    583 VIEW struct.bd
    584 GRAPHIC 12545,0 319 0
    585 DESIGN @f@a@d_@board
    586 VIEW struct.bd
    587 GRAPHIC 7477,0 320 0
    588 DESIGN @f@a@d_@board
    589 VIEW struct.bd
    590 GRAPHIC 6431,0 321 0
    591 DESIGN @f@a@d_@board
    592 VIEW struct.bd
    593 GRAPHIC 8853,0 322 0
    594 DESIGN @f@a@d_@board
    595 VIEW struct.bd
    596 GRAPHIC 1841,0 323 0
    597 DESIGN @f@a@d_@board
    598 VIEW struct.bd
    599 GRAPHIC 12573,0 324 0
    600 DESIGN @f@a@d_@board
    601 VIEW struct.bd
    602 GRAPHIC 4942,0 325 0
    603 DESIGN @f@a@d_@board
    604 VIEW struct.bd
    605 GRAPHIC 3682,0 326 0
    606 DESIGN @f@a@d_@board
    607 VIEW struct.bd
    608 GRAPHIC 10562,0 328 0
    609 DESIGN @f@a@d_@board
    610 VIEW struct.bd
    611 GRAPHIC 12559,0 329 0
    612 DESIGN @f@a@d_@board
    613 VIEW struct.bd
    614 GRAPHIC 3009,0 330 0
    615 DESIGN @f@a@d_@board
    616 VIEW struct.bd
    617 GRAPHIC 3021,0 331 0
    618 DESIGN @f@a@d_@board
    619 VIEW struct.bd
    620 GRAPHIC 10570,0 332 0
    621 DESIGN @f@a@d_@board
    622 VIEW struct.bd
    623 GRAPHIC 426,0 333 0
    624 DESIGN @f@a@d_@board
    625 VIEW struct.bd
    626 GRAPHIC 434,0 334 0
    627 DESIGN @f@a@d_@board
    628 VIEW struct.bd
    629 GRAPHIC 458,0 335 0
    630 DESIGN @f@a@d_@board
    631 VIEW struct.bd
    632 GRAPHIC 418,0 336 0
    633 DESIGN @f@a@d_@board
    634 VIEW struct.bd
    635 GRAPHIC 466,0 337 0
    636 DESIGN @f@a@d_@board
    637 VIEW struct.bd
    638 GRAPHIC 3015,0 338 0
    639 DESIGN @f@a@d_@board
    640 VIEW struct.bd
    641 GRAPHIC 442,0 339 0
    642 DESIGN @f@a@d_@board
    643 VIEW struct.bd
    644 GRAPHIC 13570,0 343 0
    645 DESIGN @f@a@d_@board
    646 VIEW struct.bd
    647 NO_GRAPHIC 345
     437NO_GRAPHIC 191
     438DESIGN @f@a@d_@board
     439VIEW struct.bd
     440GRAPHIC 169,0 194 0
     441DESIGN @f@a@d_@board
     442VIEW struct.bd
     443NO_GRAPHIC 197
     444DESIGN @f@a@d_@board
     445VIEW struct.bd
     446GRAPHIC 265,0 200 0
     447DESIGN @f@a@d_@board
     448VIEW struct.bd
     449NO_GRAPHIC 204
     450DESIGN @f@a@d_@board
     451VIEW struct.bd
     452GRAPHIC 3248,0 205 0
     453DESIGN @f@a@d_@board
     454VIEW struct.bd
     455NO_GRAPHIC 211
     456DESIGN @f@a@d_@board
     457VIEW struct.bd
     458GRAPHIC 3300,0 212 0
     459DESIGN @f@a@d_@board
     460VIEW struct.bd
     461NO_GRAPHIC 218
     462DESIGN @f@a@d_@board
     463VIEW struct.bd
     464GRAPHIC 3394,0 219 0
     465DESIGN @f@a@d_@board
     466VIEW struct.bd
     467NO_GRAPHIC 225
     468DESIGN @f@a@d_@board
     469VIEW struct.bd
     470GRAPHIC 3542,0 226 0
     471DESIGN @f@a@d_@board
     472VIEW struct.bd
     473NO_GRAPHIC 232
     474DESIGN @f@a@d_@board
     475VIEW struct.bd
     476GRAPHIC 3700,0 233 0
     477DESIGN @f@a@d_@board
     478VIEW struct.bd
     479NO_GRAPHIC 247
     480DESIGN @f@a@d_@board
     481VIEW struct.bd
     482GRAPHIC 14346,0 248 0
     483DESIGN @f@a@d_@board
     484VIEW struct.bd
     485NO_GRAPHIC 250
     486DESIGN @f@a@d_@board
     487VIEW struct.bd
     488GRAPHIC 7092,0 251 0
     489DESIGN @f@a@d_@board
     490VIEW struct.bd
     491NO_GRAPHIC 254
     492DESIGN @f@a@d_@board
     493VIEW struct.bd
     494GRAPHIC 10310,0 255 0
     495DESIGN @f@a@d_@board
     496VIEW struct.bd
     497NO_GRAPHIC 283
     498DESIGN @f@a@d_@board
     499VIEW struct.bd
     500GRAPHIC 10023,0 284 0
     501DESIGN @f@a@d_@board
     502VIEW struct.bd
     503GRAPHIC 7652,0 287 0
     504DESIGN @f@a@d_@board
     505VIEW struct.bd
     506NO_GRAPHIC 290
     507DESIGN @f@a@d_@board
     508VIEW struct.bd
     509GRAPHIC 169,0 292 0
     510DESIGN @f@a@d_@board
     511VIEW struct.bd
     512GRAPHIC 176,0 293 1
     513DESIGN @f@a@d_@board
     514VIEW struct.bd
     515GRAPHIC 245,0 297 0
     516DESIGN @f@a@d_@board
     517VIEW struct.bd
     518GRAPHIC 14328,0 298 0
     519DESIGN @f@a@d_@board
     520VIEW struct.bd
     521GRAPHIC 1865,0 299 0
     522DESIGN @f@a@d_@board
     523VIEW struct.bd
     524GRAPHIC 1873,0 300 0
     525DESIGN @f@a@d_@board
     526VIEW struct.bd
     527GRAPHIC 1881,0 301 0
     528DESIGN @f@a@d_@board
     529VIEW struct.bd
     530GRAPHIC 1889,0 302 0
     531DESIGN @f@a@d_@board
     532VIEW struct.bd
     533GRAPHIC 1467,0 303 0
     534DESIGN @f@a@d_@board
     535VIEW struct.bd
     536GRAPHIC 1730,0 304 0
     537DESIGN @f@a@d_@board
     538VIEW struct.bd
     539GRAPHIC 277,0 305 0
     540DESIGN @f@a@d_@board
     541VIEW struct.bd
     542GRAPHIC 285,0 306 0
     543DESIGN @f@a@d_@board
     544VIEW struct.bd
     545GRAPHIC 3218,0 307 0
     546DESIGN @f@a@d_@board
     547VIEW struct.bd
     548GRAPHIC 450,0 308 0
     549DESIGN @f@a@d_@board
     550VIEW struct.bd
     551GRAPHIC 10506,0 309 0
     552DESIGN @f@a@d_@board
     553VIEW struct.bd
     554GRAPHIC 10514,0 310 0
     555DESIGN @f@a@d_@board
     556VIEW struct.bd
     557GRAPHIC 10498,0 311 0
     558DESIGN @f@a@d_@board
     559VIEW struct.bd
     560GRAPHIC 10034,0 312 0
     561DESIGN @f@a@d_@board
     562VIEW struct.bd
     563GRAPHIC 9502,0 313 0
     564DESIGN @f@a@d_@board
     565VIEW struct.bd
     566GRAPHIC 10554,0 314 0
     567DESIGN @f@a@d_@board
     568VIEW struct.bd
     569GRAPHIC 10594,0 315 0
     570DESIGN @f@a@d_@board
     571VIEW struct.bd
     572GRAPHIC 10586,0 316 0
     573DESIGN @f@a@d_@board
     574VIEW struct.bd
     575GRAPHIC 10578,0 317 0
     576DESIGN @f@a@d_@board
     577VIEW struct.bd
     578GRAPHIC 10546,0 318 0
     579DESIGN @f@a@d_@board
     580VIEW struct.bd
     581GRAPHIC 10522,0 319 0
     582DESIGN @f@a@d_@board
     583VIEW struct.bd
     584GRAPHIC 2409,0 320 0
     585DESIGN @f@a@d_@board
     586VIEW struct.bd
     587GRAPHIC 2423,0 321 0
     588DESIGN @f@a@d_@board
     589VIEW struct.bd
     590GRAPHIC 12320,0 322 0
     591DESIGN @f@a@d_@board
     592VIEW struct.bd
     593GRAPHIC 10052,0 323 0
     594DESIGN @f@a@d_@board
     595VIEW struct.bd
     596GRAPHIC 362,0 324 0
     597DESIGN @f@a@d_@board
     598VIEW struct.bd
     599GRAPHIC 3778,0 325 0
     600DESIGN @f@a@d_@board
     601VIEW struct.bd
     602GRAPHIC 12545,0 326 0
     603DESIGN @f@a@d_@board
     604VIEW struct.bd
     605GRAPHIC 7477,0 327 0
     606DESIGN @f@a@d_@board
     607VIEW struct.bd
     608GRAPHIC 6431,0 328 0
     609DESIGN @f@a@d_@board
     610VIEW struct.bd
     611GRAPHIC 8853,0 329 0
     612DESIGN @f@a@d_@board
     613VIEW struct.bd
     614GRAPHIC 1841,0 330 0
     615DESIGN @f@a@d_@board
     616VIEW struct.bd
     617GRAPHIC 12573,0 331 0
     618DESIGN @f@a@d_@board
     619VIEW struct.bd
     620GRAPHIC 15175,0 332 0
     621DESIGN @f@a@d_@board
     622VIEW struct.bd
     623GRAPHIC 3682,0 333 0
     624DESIGN @f@a@d_@board
     625VIEW struct.bd
     626GRAPHIC 10562,0 335 0
     627DESIGN @f@a@d_@board
     628VIEW struct.bd
     629GRAPHIC 12559,0 336 0
     630DESIGN @f@a@d_@board
     631VIEW struct.bd
     632GRAPHIC 3009,0 337 0
     633DESIGN @f@a@d_@board
     634VIEW struct.bd
     635GRAPHIC 3021,0 338 0
     636DESIGN @f@a@d_@board
     637VIEW struct.bd
     638GRAPHIC 10570,0 339 0
     639DESIGN @f@a@d_@board
     640VIEW struct.bd
     641GRAPHIC 426,0 340 0
     642DESIGN @f@a@d_@board
     643VIEW struct.bd
     644GRAPHIC 434,0 341 0
     645DESIGN @f@a@d_@board
     646VIEW struct.bd
     647GRAPHIC 458,0 342 0
     648DESIGN @f@a@d_@board
     649VIEW struct.bd
     650GRAPHIC 418,0 343 0
     651DESIGN @f@a@d_@board
     652VIEW struct.bd
     653GRAPHIC 466,0 344 0
     654DESIGN @f@a@d_@board
     655VIEW struct.bd
     656GRAPHIC 3015,0 345 0
     657DESIGN @f@a@d_@board
     658VIEW struct.bd
     659GRAPHIC 442,0 346 0
     660DESIGN @f@a@d_@board
     661VIEW struct.bd
     662GRAPHIC 13570,0 350 0
     663DESIGN @f@a@d_@board
     664VIEW struct.bd
     665NO_GRAPHIC 352
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/.xrf/fad_main_struct.xrf

    r10121 r10123  
    1313DESIGN @f@a@d_main
    1414VIEW symbol.sb
    15 GRAPHIC 2710,0 20 0
    16 DESIGN @f@a@d_main
    17 VIEW symbol.sb
    18 GRAPHIC 2715,0 21 0
    19 DESIGN @f@a@d_main
    20 VIEW symbol.sb
    21 GRAPHIC 2720,0 22 0
    22 DESIGN @f@a@d_main
    23 VIEW symbol.sb
    24 GRAPHIC 2725,0 23 0
    25 DESIGN @f@a@d_main
    26 VIEW symbol.sb
    27 GRAPHIC 2282,0 24 0
    28 DESIGN @f@a@d_main
    29 VIEW symbol.sb
    30 GRAPHIC 1976,0 25 0
    31 DESIGN @f@a@d_main
    32 VIEW symbol.sb
    33 GRAPHIC 923,0 26 0
    34 DESIGN @f@a@d_main
    35 VIEW symbol.sb
    36 GRAPHIC 928,0 27 0
    37 DESIGN @f@a@d_main
    38 VIEW symbol.sb
    39 GRAPHIC 464,0 28 0
    40 DESIGN @f@a@d_main
    41 VIEW symbol.sb
    42 GRAPHIC 1062,0 29 0
    43 DESIGN @f@a@d_main
    44 VIEW symbol.sb
    45 GRAPHIC 4584,0 30 0
    46 DESIGN @f@a@d_main
    47 VIEW symbol.sb
    48 GRAPHIC 4589,0 31 0
    49 DESIGN @f@a@d_main
    50 VIEW symbol.sb
    51 GRAPHIC 4579,0 32 0
    52 DESIGN @f@a@d_main
    53 VIEW symbol.sb
    54 GRAPHIC 1389,0 33 0
    55 DESIGN @f@a@d_main
    56 VIEW symbol.sb
    57 GRAPHIC 1725,0 34 0
    58 DESIGN @f@a@d_main
    59 VIEW symbol.sb
    60 GRAPHIC 4497,0 35 0
    61 DESIGN @f@a@d_main
    62 VIEW symbol.sb
    63 GRAPHIC 4467,0 36 0
    64 DESIGN @f@a@d_main
    65 VIEW symbol.sb
    66 GRAPHIC 4487,0 37 0
    67 DESIGN @f@a@d_main
    68 VIEW symbol.sb
    69 GRAPHIC 4472,0 38 0
    70 DESIGN @f@a@d_main
    71 VIEW symbol.sb
    72 GRAPHIC 4477,0 39 0
    73 DESIGN @f@a@d_main
    74 VIEW symbol.sb
    75 GRAPHIC 4517,0 40 0
    76 DESIGN @f@a@d_main
    77 VIEW symbol.sb
    78 GRAPHIC 2987,0 41 0
    79 DESIGN @f@a@d_main
    80 VIEW symbol.sb
    81 GRAPHIC 2992,0 42 0
    82 DESIGN @f@a@d_main
    83 VIEW symbol.sb
    84 GRAPHIC 4780,0 43 0
    85 DESIGN @f@a@d_main
    86 VIEW symbol.sb
    87 GRAPHIC 4323,0 44 0
    88 DESIGN @f@a@d_main
    89 VIEW symbol.sb
    90 GRAPHIC 833,0 45 0
    91 DESIGN @f@a@d_main
    92 VIEW symbol.sb
    93 GRAPHIC 5206,0 46 0
    94 DESIGN @f@a@d_main
    95 VIEW symbol.sb
    96 GRAPHIC 4911,0 47 0
    97 DESIGN @f@a@d_main
    98 VIEW symbol.sb
    99 GRAPHIC 3641,0 48 0
    100 DESIGN @f@a@d_main
    101 VIEW symbol.sb
    102 GRAPHIC 4144,0 49 0
    103 DESIGN @f@a@d_main
    104 VIEW symbol.sb
    105 GRAPHIC 2448,0 50 0
    106 DESIGN @f@a@d_main
    107 VIEW symbol.sb
    108 GRAPHIC 2453,0 51 0
    109 DESIGN @f@a@d_main
    110 VIEW symbol.sb
    111 GRAPHIC 4906,0 52 0
    112 DESIGN @f@a@d_main
    113 VIEW symbol.sb
    114 GRAPHIC 163,0 53 0
    115 DESIGN @f@a@d_main
    116 VIEW symbol.sb
    117 GRAPHIC 4067,0 54 0
    118 DESIGN @f@a@d_main
    119 VIEW symbol.sb
    120 GRAPHIC 4502,0 55 0
    121 DESIGN @f@a@d_main
    122 VIEW symbol.sb
    123 GRAPHIC 4512,0 56 0
    124 DESIGN @f@a@d_main
    125 VIEW symbol.sb
    126 GRAPHIC 4916,0 57 0
    127 DESIGN @f@a@d_main
    128 VIEW symbol.sb
    129 GRAPHIC 3631,0 58 0
    130 DESIGN @f@a@d_main
    131 VIEW symbol.sb
    132 GRAPHIC 3646,0 59 0
    133 DESIGN @f@a@d_main
    134 VIEW symbol.sb
    135 GRAPHIC 4507,0 61 0
    136 DESIGN @f@a@d_main
    137 VIEW symbol.sb
    138 GRAPHIC 1037,0 62 0
    139 DESIGN @f@a@d_main
    140 VIEW symbol.sb
    141 GRAPHIC 1047,0 63 0
    142 DESIGN @f@a@d_main
    143 VIEW symbol.sb
    144 GRAPHIC 1057,0 64 0
    145 DESIGN @f@a@d_main
    146 VIEW symbol.sb
    147 GRAPHIC 135,0 65 0
    148 DESIGN @f@a@d_main
    149 VIEW symbol.sb
    150 GRAPHIC 1052,0 66 0
    151 DESIGN @f@a@d_main
    152 VIEW symbol.sb
    153 GRAPHIC 3636,0 67 0
    154 DESIGN @f@a@d_main
    155 VIEW symbol.sb
    156 GRAPHIC 1042,0 68 0
    157 DESIGN @f@a@d_main
    158 VIEW symbol.sb
    159 GRAPHIC 1,0 71 0
     15GRAPHIC 5328,0 20 0
     16DESIGN @f@a@d_main
     17VIEW symbol.sb
     18GRAPHIC 2710,0 21 0
     19DESIGN @f@a@d_main
     20VIEW symbol.sb
     21GRAPHIC 2715,0 22 0
     22DESIGN @f@a@d_main
     23VIEW symbol.sb
     24GRAPHIC 2720,0 23 0
     25DESIGN @f@a@d_main
     26VIEW symbol.sb
     27GRAPHIC 2725,0 24 0
     28DESIGN @f@a@d_main
     29VIEW symbol.sb
     30GRAPHIC 2282,0 25 0
     31DESIGN @f@a@d_main
     32VIEW symbol.sb
     33GRAPHIC 1976,0 26 0
     34DESIGN @f@a@d_main
     35VIEW symbol.sb
     36GRAPHIC 923,0 27 0
     37DESIGN @f@a@d_main
     38VIEW symbol.sb
     39GRAPHIC 928,0 28 0
     40DESIGN @f@a@d_main
     41VIEW symbol.sb
     42GRAPHIC 464,0 29 0
     43DESIGN @f@a@d_main
     44VIEW symbol.sb
     45GRAPHIC 1062,0 30 0
     46DESIGN @f@a@d_main
     47VIEW symbol.sb
     48GRAPHIC 4584,0 31 0
     49DESIGN @f@a@d_main
     50VIEW symbol.sb
     51GRAPHIC 4589,0 32 0
     52DESIGN @f@a@d_main
     53VIEW symbol.sb
     54GRAPHIC 4579,0 33 0
     55DESIGN @f@a@d_main
     56VIEW symbol.sb
     57GRAPHIC 1389,0 34 0
     58DESIGN @f@a@d_main
     59VIEW symbol.sb
     60GRAPHIC 1725,0 35 0
     61DESIGN @f@a@d_main
     62VIEW symbol.sb
     63GRAPHIC 4497,0 36 0
     64DESIGN @f@a@d_main
     65VIEW symbol.sb
     66GRAPHIC 4467,0 37 0
     67DESIGN @f@a@d_main
     68VIEW symbol.sb
     69GRAPHIC 4487,0 38 0
     70DESIGN @f@a@d_main
     71VIEW symbol.sb
     72GRAPHIC 4472,0 39 0
     73DESIGN @f@a@d_main
     74VIEW symbol.sb
     75GRAPHIC 4477,0 40 0
     76DESIGN @f@a@d_main
     77VIEW symbol.sb
     78GRAPHIC 4517,0 41 0
     79DESIGN @f@a@d_main
     80VIEW symbol.sb
     81GRAPHIC 2987,0 42 0
     82DESIGN @f@a@d_main
     83VIEW symbol.sb
     84GRAPHIC 2992,0 43 0
     85DESIGN @f@a@d_main
     86VIEW symbol.sb
     87GRAPHIC 4780,0 44 0
     88DESIGN @f@a@d_main
     89VIEW symbol.sb
     90GRAPHIC 4323,0 45 0
     91DESIGN @f@a@d_main
     92VIEW symbol.sb
     93GRAPHIC 833,0 46 0
     94DESIGN @f@a@d_main
     95VIEW symbol.sb
     96GRAPHIC 5206,0 47 0
     97DESIGN @f@a@d_main
     98VIEW symbol.sb
     99GRAPHIC 4911,0 48 0
     100DESIGN @f@a@d_main
     101VIEW symbol.sb
     102GRAPHIC 3641,0 49 0
     103DESIGN @f@a@d_main
     104VIEW symbol.sb
     105GRAPHIC 4144,0 50 0
     106DESIGN @f@a@d_main
     107VIEW symbol.sb
     108GRAPHIC 2448,0 51 0
     109DESIGN @f@a@d_main
     110VIEW symbol.sb
     111GRAPHIC 2453,0 52 0
     112DESIGN @f@a@d_main
     113VIEW symbol.sb
     114GRAPHIC 4906,0 53 0
     115DESIGN @f@a@d_main
     116VIEW symbol.sb
     117GRAPHIC 163,0 54 0
     118DESIGN @f@a@d_main
     119VIEW symbol.sb
     120GRAPHIC 4067,0 55 0
     121DESIGN @f@a@d_main
     122VIEW symbol.sb
     123GRAPHIC 4502,0 56 0
     124DESIGN @f@a@d_main
     125VIEW symbol.sb
     126GRAPHIC 4512,0 57 0
     127DESIGN @f@a@d_main
     128VIEW symbol.sb
     129GRAPHIC 4916,0 58 0
     130DESIGN @f@a@d_main
     131VIEW symbol.sb
     132GRAPHIC 3631,0 59 0
     133DESIGN @f@a@d_main
     134VIEW symbol.sb
     135GRAPHIC 3646,0 60 0
     136DESIGN @f@a@d_main
     137VIEW symbol.sb
     138GRAPHIC 4507,0 62 0
     139DESIGN @f@a@d_main
     140VIEW symbol.sb
     141GRAPHIC 1037,0 63 0
     142DESIGN @f@a@d_main
     143VIEW symbol.sb
     144GRAPHIC 1047,0 64 0
     145DESIGN @f@a@d_main
     146VIEW symbol.sb
     147GRAPHIC 1057,0 65 0
     148DESIGN @f@a@d_main
     149VIEW symbol.sb
     150GRAPHIC 135,0 66 0
     151DESIGN @f@a@d_main
     152VIEW symbol.sb
     153GRAPHIC 1052,0 67 0
     154DESIGN @f@a@d_main
     155VIEW symbol.sb
     156GRAPHIC 3636,0 68 0
     157DESIGN @f@a@d_main
     158VIEW symbol.sb
     159GRAPHIC 1042,0 69 0
    160160DESIGN @f@a@d_main
    161161VIEW symbol.sb
    162162GRAPHIC 1,0 72 0
    163163DESIGN @f@a@d_main
    164 VIEW struct.bd
    165 NO_GRAPHIC 75
    166 DESIGN @f@a@d_main
    167 VIEW struct.bd
    168 GRAPHIC 41,0 84 0
    169 DESIGN @f@a@d_main
    170 VIEW struct.bd
    171 NO_GRAPHIC 96
    172 DESIGN @f@a@d_main
    173 VIEW struct.bd
    174 GRAPHIC 0,0 99 2
    175 DESIGN @f@a@d_main
    176 VIEW struct.bd
    177 GRAPHIC 4204,0 104 0
    178 DESIGN @f@a@d_main
    179 VIEW struct.bd
    180 GRAPHIC 10008,0 105 0
    181 DESIGN @f@a@d_main
    182 VIEW struct.bd
    183 GRAPHIC 5640,0 106 0
    184 DESIGN @f@a@d_main
    185 VIEW struct.bd
    186 GRAPHIC 5632,0 107 0
    187 DESIGN @f@a@d_main
    188 VIEW struct.bd
    189 GRAPHIC 326,0 108 0
    190 DESIGN @f@a@d_main
    191 VIEW struct.bd
    192 GRAPHIC 13157,0 109 0
    193 DESIGN @f@a@d_main
    194 VIEW struct.bd
    195 GRAPHIC 13163,0 110 0
    196 DESIGN @f@a@d_main
    197 VIEW struct.bd
    198 GRAPHIC 5088,0 111 0
    199 DESIGN @f@a@d_main
    200 VIEW struct.bd
    201 GRAPHIC 5104,0 112 0
    202 DESIGN @f@a@d_main
    203 VIEW struct.bd
    204 GRAPHIC 5112,0 113 0
    205 DESIGN @f@a@d_main
    206 VIEW struct.bd
    207 GRAPHIC 5096,0 114 0
    208 DESIGN @f@a@d_main
    209 VIEW struct.bd
    210 GRAPHIC 5128,0 115 0
    211 DESIGN @f@a@d_main
    212 VIEW struct.bd
    213 GRAPHIC 2592,0 116 0
    214 DESIGN @f@a@d_main
    215 VIEW struct.bd
    216 GRAPHIC 5196,0 117 0
    217 DESIGN @f@a@d_main
    218 VIEW struct.bd
    219 GRAPHIC 5588,0 118 0
    220 DESIGN @f@a@d_main
    221 VIEW struct.bd
    222 GRAPHIC 10192,0 120 0
    223 DESIGN @f@a@d_main
    224 VIEW struct.bd
    225 GRAPHIC 10200,0 122 0
    226 DESIGN @f@a@d_main
    227 VIEW struct.bd
    228 GRAPHIC 2586,0 123 0
    229 DESIGN @f@a@d_main
    230 VIEW struct.bd
    231 GRAPHIC 5194,0 124 0
    232 DESIGN @f@a@d_main
    233 VIEW struct.bd
    234 GRAPHIC 5743,0 125 0
    235 DESIGN @f@a@d_main
    236 VIEW struct.bd
    237 GRAPHIC 5960,0 126 0
    238 DESIGN @f@a@d_main
    239 VIEW struct.bd
    240 GRAPHIC 6014,0 127 0
    241 DESIGN @f@a@d_main
    242 VIEW struct.bd
    243 GRAPHIC 6016,0 128 0
    244 DESIGN @f@a@d_main
    245 VIEW struct.bd
    246 GRAPHIC 6012,0 129 0
    247 DESIGN @f@a@d_main
    248 VIEW struct.bd
    249 GRAPHIC 5120,0 130 0
    250 DESIGN @f@a@d_main
    251 VIEW struct.bd
    252 GRAPHIC 5144,0 131 0
    253 DESIGN @f@a@d_main
    254 VIEW struct.bd
    255 GRAPHIC 332,0 132 0
    256 DESIGN @f@a@d_main
    257 VIEW struct.bd
    258 GRAPHIC 12304,0 133 0
    259 DESIGN @f@a@d_main
    260 VIEW struct.bd
    261 GRAPHIC 12641,0 134 0
    262 DESIGN @f@a@d_main
    263 VIEW struct.bd
    264 GRAPHIC 8508,0 135 0
    265 DESIGN @f@a@d_main
    266 VIEW struct.bd
    267 GRAPHIC 8516,0 136 0
    268 DESIGN @f@a@d_main
    269 VIEW struct.bd
    270 GRAPHIC 8583,0 137 0
    271 DESIGN @f@a@d_main
    272 VIEW struct.bd
    273 GRAPHIC 4399,0 138 0
    274 DESIGN @f@a@d_main
    275 VIEW struct.bd
    276 GRAPHIC 4417,0 139 0
    277 DESIGN @f@a@d_main
    278 VIEW struct.bd
    279 GRAPHIC 4741,0 140 0
    280 DESIGN @f@a@d_main
    281 VIEW struct.bd
    282 GRAPHIC 12647,0 143 0
    283 DESIGN @f@a@d_main
    284 VIEW struct.bd
    285 GRAPHIC 12653,0 144 0
    286 DESIGN @f@a@d_main
    287 VIEW struct.bd
    288 GRAPHIC 11403,0 145 0
    289 DESIGN @f@a@d_main
    290 VIEW struct.bd
    291 GRAPHIC 4405,0 146 0
    292 DESIGN @f@a@d_main
    293 VIEW struct.bd
    294 GRAPHIC 10314,0 147 0
    295 DESIGN @f@a@d_main
    296 VIEW struct.bd
    297 GRAPHIC 6544,0 148 0
    298 DESIGN @f@a@d_main
    299 VIEW struct.bd
    300 GRAPHIC 6450,0 149 0
    301 DESIGN @f@a@d_main
    302 VIEW struct.bd
    303 GRAPHIC 5948,0 150 0
    304 DESIGN @f@a@d_main
    305 VIEW struct.bd
    306 GRAPHIC 2640,0 151 0
    307 DESIGN @f@a@d_main
    308 VIEW struct.bd
    309 GRAPHIC 9231,0 152 0
    310 DESIGN @f@a@d_main
    311 VIEW struct.bd
    312 GRAPHIC 9239,0 153 0
    313 DESIGN @f@a@d_main
    314 VIEW struct.bd
    315 GRAPHIC 9941,0 154 0
    316 DESIGN @f@a@d_main
    317 VIEW struct.bd
    318 GRAPHIC 362,0 155 0
    319 DESIGN @f@a@d_main
    320 VIEW struct.bd
    321 GRAPHIC 368,0 156 0
    322 DESIGN @f@a@d_main
    323 VIEW struct.bd
    324 GRAPHIC 2297,0 157 0
    325 DESIGN @f@a@d_main
    326 VIEW struct.bd
    327 GRAPHIC 2574,0 158 0
    328 DESIGN @f@a@d_main
    329 VIEW struct.bd
    330 GRAPHIC 2580,0 159 0
    331 DESIGN @f@a@d_main
    332 VIEW struct.bd
    333 GRAPHIC 10465,0 161 0
    334 DESIGN @f@a@d_main
    335 VIEW struct.bd
    336 GRAPHIC 2924,0 162 0
    337 DESIGN @f@a@d_main
    338 VIEW struct.bd
    339 GRAPHIC 2598,0 163 0
    340 DESIGN @f@a@d_main
    341 VIEW struct.bd
    342 GRAPHIC 10264,0 164 0
    343 DESIGN @f@a@d_main
    344 VIEW struct.bd
    345 GRAPHIC 13206,0 165 0
    346 DESIGN @f@a@d_main
    347 VIEW struct.bd
    348 GRAPHIC 8730,0 166 0
    349 DESIGN @f@a@d_main
    350 VIEW struct.bd
    351 GRAPHIC 8746,0 167 0
    352 DESIGN @f@a@d_main
    353 VIEW struct.bd
    354 GRAPHIC 5478,0 168 0
    355 DESIGN @f@a@d_main
    356 VIEW struct.bd
    357 GRAPHIC 5472,0 169 0
    358 DESIGN @f@a@d_main
    359 VIEW struct.bd
    360 GRAPHIC 10627,0 170 0
    361 DESIGN @f@a@d_main
    362 VIEW struct.bd
    363 GRAPHIC 10635,0 171 0
    364 DESIGN @f@a@d_main
    365 VIEW struct.bd
    366 GRAPHIC 9949,0 172 0
    367 DESIGN @f@a@d_main
    368 VIEW struct.bd
    369 GRAPHIC 10302,0 173 0
    370 DESIGN @f@a@d_main
    371 VIEW struct.bd
    372 GRAPHIC 10308,0 174 0
    373 DESIGN @f@a@d_main
    374 VIEW struct.bd
    375 GRAPHIC 10296,0 175 0
    376 DESIGN @f@a@d_main
    377 VIEW struct.bd
    378 GRAPHIC 13208,0 176 0
    379 DESIGN @f@a@d_main
    380 VIEW struct.bd
    381 GRAPHIC 11856,0 177 0
    382 DESIGN @f@a@d_main
    383 VIEW struct.bd
    384 GRAPHIC 1981,0 178 0
    385 DESIGN @f@a@d_main
    386 VIEW struct.bd
    387 GRAPHIC 10449,0 179 0
    388 DESIGN @f@a@d_main
    389 VIEW struct.bd
    390 GRAPHIC 8414,0 180 0
    391 DESIGN @f@a@d_main
    392 VIEW struct.bd
    393 GRAPHIC 2468,0 181 0
    394 DESIGN @f@a@d_main
    395 VIEW struct.bd
    396 GRAPHIC 2492,0 182 0
    397 DESIGN @f@a@d_main
    398 VIEW struct.bd
    399 GRAPHIC 2486,0 183 0
    400 DESIGN @f@a@d_main
    401 VIEW struct.bd
    402 GRAPHIC 2474,0 184 0
    403 DESIGN @f@a@d_main
    404 VIEW struct.bd
    405 GRAPHIC 2498,0 185 0
    406 DESIGN @f@a@d_main
    407 VIEW struct.bd
    408 GRAPHIC 2504,0 186 0
    409 DESIGN @f@a@d_main
    410 VIEW struct.bd
    411 GRAPHIC 2480,0 187 0
    412 DESIGN @f@a@d_main
    413 VIEW struct.bd
    414 GRAPHIC 320,0 188 0
    415 DESIGN @f@a@d_main
    416 VIEW struct.bd
    417 NO_GRAPHIC 189
    418 DESIGN @f@a@d_main
    419 VIEW struct.bd
    420 GRAPHIC 6276,0 191 0
    421 DESIGN @f@a@d_main
    422 VIEW struct.bd
    423 GRAPHIC 3888,0 192 0
    424 DESIGN @f@a@d_main
    425 VIEW struct.bd
    426 NO_GRAPHIC 194
     164VIEW symbol.sb
     165GRAPHIC 1,0 73 0
     166DESIGN @f@a@d_main
     167VIEW struct.bd
     168NO_GRAPHIC 76
     169DESIGN @f@a@d_main
     170VIEW struct.bd
     171GRAPHIC 41,0 85 0
     172DESIGN @f@a@d_main
     173VIEW struct.bd
     174NO_GRAPHIC 97
     175DESIGN @f@a@d_main
     176VIEW struct.bd
     177GRAPHIC 0,0 100 2
     178DESIGN @f@a@d_main
     179VIEW struct.bd
     180GRAPHIC 4204,0 105 0
     181DESIGN @f@a@d_main
     182VIEW struct.bd
     183GRAPHIC 10008,0 106 0
     184DESIGN @f@a@d_main
     185VIEW struct.bd
     186GRAPHIC 5640,0 107 0
     187DESIGN @f@a@d_main
     188VIEW struct.bd
     189GRAPHIC 5632,0 108 0
     190DESIGN @f@a@d_main
     191VIEW struct.bd
     192GRAPHIC 326,0 109 0
     193DESIGN @f@a@d_main
     194VIEW struct.bd
     195GRAPHIC 13157,0 110 0
     196DESIGN @f@a@d_main
     197VIEW struct.bd
     198GRAPHIC 13163,0 111 0
     199DESIGN @f@a@d_main
     200VIEW struct.bd
     201GRAPHIC 5088,0 112 0
     202DESIGN @f@a@d_main
     203VIEW struct.bd
     204GRAPHIC 5104,0 113 0
     205DESIGN @f@a@d_main
     206VIEW struct.bd
     207GRAPHIC 5112,0 114 0
     208DESIGN @f@a@d_main
     209VIEW struct.bd
     210GRAPHIC 5096,0 115 0
     211DESIGN @f@a@d_main
     212VIEW struct.bd
     213GRAPHIC 5128,0 116 0
     214DESIGN @f@a@d_main
     215VIEW struct.bd
     216GRAPHIC 2592,0 117 0
     217DESIGN @f@a@d_main
     218VIEW struct.bd
     219GRAPHIC 5196,0 118 0
     220DESIGN @f@a@d_main
     221VIEW struct.bd
     222GRAPHIC 5588,0 119 0
     223DESIGN @f@a@d_main
     224VIEW struct.bd
     225GRAPHIC 10192,0 121 0
     226DESIGN @f@a@d_main
     227VIEW struct.bd
     228GRAPHIC 10200,0 123 0
     229DESIGN @f@a@d_main
     230VIEW struct.bd
     231GRAPHIC 2586,0 124 0
     232DESIGN @f@a@d_main
     233VIEW struct.bd
     234GRAPHIC 5194,0 125 0
     235DESIGN @f@a@d_main
     236VIEW struct.bd
     237GRAPHIC 5743,0 126 0
     238DESIGN @f@a@d_main
     239VIEW struct.bd
     240GRAPHIC 5960,0 127 0
     241DESIGN @f@a@d_main
     242VIEW struct.bd
     243GRAPHIC 6014,0 128 0
     244DESIGN @f@a@d_main
     245VIEW struct.bd
     246GRAPHIC 6016,0 129 0
     247DESIGN @f@a@d_main
     248VIEW struct.bd
     249GRAPHIC 6012,0 130 0
     250DESIGN @f@a@d_main
     251VIEW struct.bd
     252GRAPHIC 5120,0 131 0
     253DESIGN @f@a@d_main
     254VIEW struct.bd
     255GRAPHIC 5144,0 132 0
     256DESIGN @f@a@d_main
     257VIEW struct.bd
     258GRAPHIC 332,0 133 0
     259DESIGN @f@a@d_main
     260VIEW struct.bd
     261GRAPHIC 12304,0 134 0
     262DESIGN @f@a@d_main
     263VIEW struct.bd
     264GRAPHIC 12641,0 135 0
     265DESIGN @f@a@d_main
     266VIEW struct.bd
     267GRAPHIC 8508,0 136 0
     268DESIGN @f@a@d_main
     269VIEW struct.bd
     270GRAPHIC 8516,0 137 0
     271DESIGN @f@a@d_main
     272VIEW struct.bd
     273GRAPHIC 8583,0 138 0
     274DESIGN @f@a@d_main
     275VIEW struct.bd
     276GRAPHIC 4399,0 139 0
     277DESIGN @f@a@d_main
     278VIEW struct.bd
     279GRAPHIC 4417,0 140 0
     280DESIGN @f@a@d_main
     281VIEW struct.bd
     282GRAPHIC 4741,0 141 0
     283DESIGN @f@a@d_main
     284VIEW struct.bd
     285GRAPHIC 12647,0 144 0
     286DESIGN @f@a@d_main
     287VIEW struct.bd
     288GRAPHIC 12653,0 145 0
     289DESIGN @f@a@d_main
     290VIEW struct.bd
     291GRAPHIC 11403,0 146 0
     292DESIGN @f@a@d_main
     293VIEW struct.bd
     294GRAPHIC 4405,0 147 0
     295DESIGN @f@a@d_main
     296VIEW struct.bd
     297GRAPHIC 10314,0 148 0
     298DESIGN @f@a@d_main
     299VIEW struct.bd
     300GRAPHIC 6544,0 149 0
     301DESIGN @f@a@d_main
     302VIEW struct.bd
     303GRAPHIC 6450,0 150 0
     304DESIGN @f@a@d_main
     305VIEW struct.bd
     306GRAPHIC 5948,0 151 0
     307DESIGN @f@a@d_main
     308VIEW struct.bd
     309GRAPHIC 2640,0 152 0
     310DESIGN @f@a@d_main
     311VIEW struct.bd
     312GRAPHIC 9231,0 153 0
     313DESIGN @f@a@d_main
     314VIEW struct.bd
     315GRAPHIC 9239,0 154 0
     316DESIGN @f@a@d_main
     317VIEW struct.bd
     318GRAPHIC 9941,0 155 0
     319DESIGN @f@a@d_main
     320VIEW struct.bd
     321GRAPHIC 362,0 156 0
     322DESIGN @f@a@d_main
     323VIEW struct.bd
     324GRAPHIC 368,0 157 0
     325DESIGN @f@a@d_main
     326VIEW struct.bd
     327GRAPHIC 2297,0 158 0
     328DESIGN @f@a@d_main
     329VIEW struct.bd
     330GRAPHIC 2574,0 159 0
     331DESIGN @f@a@d_main
     332VIEW struct.bd
     333GRAPHIC 2580,0 160 0
     334DESIGN @f@a@d_main
     335VIEW struct.bd
     336GRAPHIC 10465,0 162 0
     337DESIGN @f@a@d_main
     338VIEW struct.bd
     339GRAPHIC 2924,0 163 0
     340DESIGN @f@a@d_main
     341VIEW struct.bd
     342GRAPHIC 2598,0 164 0
     343DESIGN @f@a@d_main
     344VIEW struct.bd
     345GRAPHIC 10264,0 165 0
     346DESIGN @f@a@d_main
     347VIEW struct.bd
     348GRAPHIC 13206,0 166 0
     349DESIGN @f@a@d_main
     350VIEW struct.bd
     351GRAPHIC 8730,0 167 0
     352DESIGN @f@a@d_main
     353VIEW struct.bd
     354GRAPHIC 8746,0 168 0
     355DESIGN @f@a@d_main
     356VIEW struct.bd
     357GRAPHIC 5478,0 169 0
     358DESIGN @f@a@d_main
     359VIEW struct.bd
     360GRAPHIC 5472,0 170 0
     361DESIGN @f@a@d_main
     362VIEW struct.bd
     363GRAPHIC 10627,0 171 0
     364DESIGN @f@a@d_main
     365VIEW struct.bd
     366GRAPHIC 10635,0 172 0
     367DESIGN @f@a@d_main
     368VIEW struct.bd
     369GRAPHIC 9949,0 173 0
     370DESIGN @f@a@d_main
     371VIEW struct.bd
     372GRAPHIC 10302,0 174 0
     373DESIGN @f@a@d_main
     374VIEW struct.bd
     375GRAPHIC 10308,0 175 0
     376DESIGN @f@a@d_main
     377VIEW struct.bd
     378GRAPHIC 10296,0 176 0
     379DESIGN @f@a@d_main
     380VIEW struct.bd
     381GRAPHIC 13208,0 177 0
     382DESIGN @f@a@d_main
     383VIEW struct.bd
     384GRAPHIC 11856,0 178 0
     385DESIGN @f@a@d_main
     386VIEW struct.bd
     387GRAPHIC 1981,0 179 0
     388DESIGN @f@a@d_main
     389VIEW struct.bd
     390GRAPHIC 10449,0 180 0
     391DESIGN @f@a@d_main
     392VIEW struct.bd
     393GRAPHIC 8414,0 181 0
     394DESIGN @f@a@d_main
     395VIEW struct.bd
     396GRAPHIC 2468,0 182 0
     397DESIGN @f@a@d_main
     398VIEW struct.bd
     399GRAPHIC 2492,0 183 0
     400DESIGN @f@a@d_main
     401VIEW struct.bd
     402GRAPHIC 2486,0 184 0
     403DESIGN @f@a@d_main
     404VIEW struct.bd
     405GRAPHIC 2474,0 185 0
     406DESIGN @f@a@d_main
     407VIEW struct.bd
     408GRAPHIC 2498,0 186 0
     409DESIGN @f@a@d_main
     410VIEW struct.bd
     411GRAPHIC 2504,0 187 0
     412DESIGN @f@a@d_main
     413VIEW struct.bd
     414GRAPHIC 2480,0 188 0
     415DESIGN @f@a@d_main
     416VIEW struct.bd
     417GRAPHIC 320,0 189 0
     418DESIGN @f@a@d_main
     419VIEW struct.bd
     420NO_GRAPHIC 190
     421DESIGN @f@a@d_main
     422VIEW struct.bd
     423GRAPHIC 6276,0 192 0
     424DESIGN @f@a@d_main
     425VIEW struct.bd
     426GRAPHIC 3888,0 193 0
     427DESIGN @f@a@d_main
     428VIEW struct.bd
     429NO_GRAPHIC 195
    427430LIBRARY FACT_FAD_lib
    428431DESIGN adc_buffer
    429432VIEW beha
    430 GRAPHIC 5678,0 196 0
    431 DESIGN @f@a@d_main
    432 VIEW struct.bd
    433 NO_GRAPHIC 203
    434 DESIGN @f@a@d_main
    435 VIEW struct.bd
    436 GRAPHIC 9175,0 205 0
     433GRAPHIC 5678,0 197 0
     434DESIGN @f@a@d_main
     435VIEW struct.bd
     436NO_GRAPHIC 204
     437DESIGN @f@a@d_main
     438VIEW struct.bd
     439GRAPHIC 9175,0 206 0
    437440DESIGN clock_generator_var_ps
    438441VIEW symbol.sb
    439 GRAPHIC 168,0 207 0
     442GRAPHIC 168,0 208 0
    440443DESIGN clock_generator_var_ps
    441444VIEW symbol.sb
    442 GRAPHIC 848,0 208 0
     445GRAPHIC 848,0 209 0
    443446DESIGN clock_generator_var_ps
    444447VIEW symbol.sb
    445 GRAPHIC 703,0 209 0
     448GRAPHIC 703,0 210 0
    446449DESIGN clock_generator_var_ps
    447450VIEW symbol.sb
    448 GRAPHIC 698,0 210 0
     451GRAPHIC 698,0 211 0
    449452DESIGN clock_generator_var_ps
    450453VIEW symbol.sb
    451 GRAPHIC 126,0 211 0
     454GRAPHIC 126,0 212 0
    452455DESIGN clock_generator_var_ps
    453456VIEW symbol.sb
    454 GRAPHIC 643,0 212 0
     457GRAPHIC 643,0 213 0
    455458DESIGN clock_generator_var_ps
    456459VIEW symbol.sb
    457 GRAPHIC 121,0 213 0
     460GRAPHIC 121,0 214 0
    458461DESIGN clock_generator_var_ps
    459462VIEW symbol.sb
    460 GRAPHIC 481,0 214 0
     463GRAPHIC 481,0 215 0
    461464DESIGN clock_generator_var_ps
    462465VIEW symbol.sb
    463 GRAPHIC 544,0 215 0
     466GRAPHIC 544,0 216 0
    464467DESIGN clock_generator_var_ps
    465468VIEW symbol.sb
    466 GRAPHIC 524,0 216 0
     469GRAPHIC 524,0 217 0
    467470DESIGN clock_generator_var_ps
    468471VIEW symbol.sb
    469 GRAPHIC 539,0 217 0
     472GRAPHIC 539,0 218 0
    470473DESIGN clock_generator_var_ps
    471474VIEW symbol.sb
    472 GRAPHIC 534,0 218 0
     475GRAPHIC 534,0 219 0
    473476DESIGN clock_generator_var_ps
    474477VIEW symbol.sb
    475 GRAPHIC 475,0 219 0
     478GRAPHIC 475,0 220 0
    476479DESIGN clock_generator_var_ps
    477480VIEW symbol.sb
    478 GRAPHIC 463,0 220 0
     481GRAPHIC 463,0 221 0
    479482DESIGN clock_generator_var_ps
    480483VIEW symbol.sb
    481 GRAPHIC 469,0 221 0
    482 DESIGN @f@a@d_main
    483 VIEW struct.bd
    484 GRAPHIC 13117,0 225 0
    485 DESIGN @f@a@d_main
    486 VIEW struct.bd
    487 GRAPHIC 13124,0 226 1
    488 DESIGN @f@a@d_main
    489 VIEW struct.bd
    490 NO_GRAPHIC 234
    491 DESIGN @f@a@d_main
    492 VIEW struct.bd
    493 GRAPHIC 5072,0 236 0
     484GRAPHIC 469,0 222 0
     485DESIGN @f@a@d_main
     486VIEW struct.bd
     487GRAPHIC 13117,0 226 0
     488DESIGN @f@a@d_main
     489VIEW struct.bd
     490GRAPHIC 13124,0 227 1
     491DESIGN @f@a@d_main
     492VIEW struct.bd
     493NO_GRAPHIC 235
     494DESIGN @f@a@d_main
     495VIEW struct.bd
     496GRAPHIC 5072,0 237 0
    494497DESIGN control_unit
    495498VIEW symbol.sb
    496 GRAPHIC 130,0 238 0
     499GRAPHIC 130,0 239 0
    497500DESIGN control_unit
    498501VIEW symbol.sb
    499 GRAPHIC 135,0 239 0
     502GRAPHIC 135,0 240 0
    500503DESIGN control_unit
    501504VIEW symbol.sb
    502 GRAPHIC 170,0 240 0
     505GRAPHIC 170,0 241 0
    503506DESIGN control_unit
    504507VIEW symbol.sb
    505 GRAPHIC 175,0 241 0
     508GRAPHIC 175,0 242 0
    506509DESIGN control_unit
    507510VIEW symbol.sb
    508 GRAPHIC 160,0 242 0
     511GRAPHIC 160,0 243 0
    509512DESIGN control_unit
    510513VIEW symbol.sb
    511 GRAPHIC 145,0 243 0
     514GRAPHIC 145,0 244 0
    512515DESIGN control_unit
    513516VIEW symbol.sb
    514 GRAPHIC 140,0 244 0
     517GRAPHIC 140,0 245 0
    515518DESIGN control_unit
    516519VIEW symbol.sb
    517 GRAPHIC 180,0 245 0
     520GRAPHIC 180,0 246 0
    518521DESIGN control_unit
    519522VIEW symbol.sb
    520 GRAPHIC 558,0 246 0
     523GRAPHIC 558,0 247 0
    521524DESIGN control_unit
    522525VIEW symbol.sb
    523 GRAPHIC 564,0 248 0
     526GRAPHIC 564,0 249 0
    524527DESIGN control_unit
    525528VIEW symbol.sb
    526 GRAPHIC 350,0 250 0
     529GRAPHIC 350,0 251 0
    527530DESIGN control_unit
    528531VIEW symbol.sb
    529 GRAPHIC 165,0 251 0
     532GRAPHIC 165,0 252 0
    530533DESIGN control_unit
    531534VIEW symbol.sb
    532 GRAPHIC 155,0 252 0
     535GRAPHIC 155,0 253 0
    533536DESIGN control_unit
    534537VIEW symbol.sb
    535 GRAPHIC 150,0 253 0
    536 DESIGN @f@a@d_main
    537 VIEW struct.bd
    538 GRAPHIC 8277,0 256 0
     538GRAPHIC 150,0 254 0
     539DESIGN @f@a@d_main
     540VIEW struct.bd
     541GRAPHIC 8277,0 257 0
    539542DESIGN data@r@a@m_64b_16b_width14_5
    540543VIEW data@r@a@m_64b_16b_width14_5_a
    541 GRAPHIC 48,0 258 0
     544GRAPHIC 48,0 259 0
    542545DESIGN data@r@a@m_64b_16b_width14_5
    543546VIEW data@r@a@m_64b_16b_width14_5_a
    544 GRAPHIC 53,0 259 0
     547GRAPHIC 53,0 260 0
    545548DESIGN data@r@a@m_64b_16b_width14_5
    546549VIEW data@r@a@m_64b_16b_width14_5_a
    547 GRAPHIC 58,0 260 0
     550GRAPHIC 58,0 261 0
    548551DESIGN data@r@a@m_64b_16b_width14_5
    549552VIEW data@r@a@m_64b_16b_width14_5_a
    550 GRAPHIC 63,0 261 0
     553GRAPHIC 63,0 262 0
    551554DESIGN data@r@a@m_64b_16b_width14_5
    552555VIEW data@r@a@m_64b_16b_width14_5_a
    553 GRAPHIC 68,0 262 0
     556GRAPHIC 68,0 263 0
    554557DESIGN data@r@a@m_64b_16b_width14_5
    555558VIEW data@r@a@m_64b_16b_width14_5_a
    556 GRAPHIC 73,0 263 0
     559GRAPHIC 73,0 264 0
    557560DESIGN data@r@a@m_64b_16b_width14_5
    558561VIEW data@r@a@m_64b_16b_width14_5_a
    559 GRAPHIC 78,0 264 0
    560 DESIGN @f@a@d_main
    561 VIEW struct.bd
    562 GRAPHIC 1399,0 267 0
    563 DESIGN data_generator
    564 VIEW symbol.sb
    565 GRAPHIC 14,0 268 1
    566 DESIGN data_generator
    567 VIEW @behavioral
    568 GRAPHIC 48,0 272 0
    569 DESIGN data_generator
    570 VIEW @behavioral
    571 GRAPHIC 53,0 274 0
    572 DESIGN data_generator
    573 VIEW @behavioral
    574 GRAPHIC 58,0 275 0
    575 DESIGN data_generator
    576 VIEW @behavioral
    577 GRAPHIC 73,0 276 0
    578 DESIGN data_generator
    579 VIEW @behavioral
    580 GRAPHIC 78,0 277 0
    581 DESIGN data_generator
    582 VIEW @behavioral
    583 GRAPHIC 402,0 278 0
    584 DESIGN data_generator
    585 VIEW @behavioral
    586 GRAPHIC 407,0 279 0
    587 DESIGN data_generator
    588 VIEW @behavioral
    589 GRAPHIC 1479,0 280 0
    590 DESIGN data_generator
    591 VIEW @behavioral
    592 GRAPHIC 1122,0 282 0
    593 DESIGN data_generator
    594 VIEW @behavioral
    595 GRAPHIC 963,0 284 0
    596 DESIGN data_generator
    597 VIEW @behavioral
    598 GRAPHIC 1127,0 286 0
    599 DESIGN data_generator
    600 VIEW @behavioral
    601 GRAPHIC 1048,0 288 0
    602 DESIGN data_generator
    603 VIEW @behavioral
    604 GRAPHIC 958,0 289 0
    605 DESIGN data_generator
    606 VIEW @behavioral
    607 GRAPHIC 1053,0 290 0
    608 DESIGN data_generator
    609 VIEW @behavioral
    610 GRAPHIC 1201,0 291 0
    611 DESIGN data_generator
    612 VIEW @behavioral
    613 GRAPHIC 1196,0 292 0
    614 DESIGN data_generator
    615 VIEW @behavioral
    616 GRAPHIC 1206,0 293 0
    617 DESIGN data_generator
    618 VIEW @behavioral
    619 GRAPHIC 473,0 294 0
    620 DESIGN data_generator
    621 VIEW @behavioral
    622 GRAPHIC 412,0 295 0
    623 DESIGN data_generator
    624 VIEW @behavioral
    625 GRAPHIC 1085,0 296 0
    626 DESIGN data_generator
    627 VIEW @behavioral
    628 GRAPHIC 1090,0 297 0
    629 DESIGN data_generator
    630 VIEW @behavioral
    631 GRAPHIC 1240,0 298 0
    632 DESIGN data_generator
    633 VIEW @behavioral
    634 GRAPHIC 526,0 299 0
    635 DESIGN data_generator
    636 VIEW @behavioral
    637 GRAPHIC 88,0 300 0
    638 DESIGN data_generator
    639 VIEW @behavioral
    640 GRAPHIC 285,0 301 0
    641 DESIGN data_generator
    642 VIEW @behavioral
    643 GRAPHIC 93,0 302 0
    644 DESIGN data_generator
    645 VIEW @behavioral
    646 GRAPHIC 98,0 303 0
    647 DESIGN data_generator
    648 VIEW @behavioral
    649 GRAPHIC 1164,0 304 0
    650 DESIGN data_generator
    651 VIEW @behavioral
    652 GRAPHIC 1159,0 306 0
    653 DESIGN data_generator
    654 VIEW @behavioral
    655 GRAPHIC 898,0 307 0
    656 DESIGN data_generator
    657 VIEW @behavioral
    658 GRAPHIC 637,0 308 0
    659 DESIGN data_generator
    660 VIEW @behavioral
    661 GRAPHIC 1395,0 309 0
    662 DESIGN data_generator
    663 VIEW @behavioral
    664 GRAPHIC 1427,0 310 0
    665 DESIGN data_generator
    666 VIEW @behavioral
    667 GRAPHIC 676,0 311 0
    668 DESIGN data_generator
    669 VIEW @behavioral
    670 GRAPHIC 1551,0 312 0
    671 DESIGN data_generator
    672 VIEW @behavioral
    673 GRAPHIC 1583,0 315 0
    674 DESIGN data_generator
    675 VIEW @behavioral
    676 GRAPHIC 681,0 316 0
    677 DESIGN data_generator
    678 VIEW @behavioral
    679 GRAPHIC 801,0 318 0
    680 DESIGN data_generator
    681 VIEW @behavioral
    682 GRAPHIC 1464,0 320 0
    683 DESIGN data_generator
    684 VIEW @behavioral
    685 GRAPHIC 1469,0 321 0
    686 DESIGN data_generator
    687 VIEW @behavioral
    688 GRAPHIC 1459,0 322 0
    689 DESIGN data_generator
    690 VIEW @behavioral
    691 GRAPHIC 1474,0 323 0
    692 DESIGN data_generator
    693 VIEW @behavioral
    694 GRAPHIC 806,0 324 0
    695 DESIGN data_generator
    696 VIEW @behavioral
    697 GRAPHIC 811,0 325 0
    698 DESIGN data_generator
    699 VIEW @behavioral
    700 GRAPHIC 1519,0 326 0
    701 DESIGN @f@a@d_main
    702 VIEW struct.bd
    703 GRAPHIC 4903,0 329 0
    704 DESIGN @f@a@d_main
    705 VIEW struct.bd
    706 NO_GRAPHIC 347
    707 DESIGN @f@a@d_main
    708 VIEW struct.bd
    709 GRAPHIC 11209,0 349 0
    710 DESIGN @f@a@d_main
    711 VIEW struct.bd
    712 GRAPHIC 11216,0 350 1
    713 DESIGN @f@a@d_main
    714 VIEW struct.bd
    715 NO_GRAPHIC 364
    716 DESIGN @f@a@d_main
    717 VIEW struct.bd
    718 GRAPHIC 2311,0 366 0
    719 DESIGN memory_manager
    720 VIEW symbol.sb
    721 GRAPHIC 14,0 367 1
    722 DESIGN memory_manager
    723 VIEW beha
    724 GRAPHIC 138,0 372 0
    725 DESIGN memory_manager
    726 VIEW beha
    727 GRAPHIC 194,0 373 0
    728 DESIGN memory_manager
    729 VIEW beha
    730 GRAPHIC 349,0 374 0
    731 DESIGN memory_manager
    732 VIEW beha
    733 GRAPHIC 949,0 375 0
    734 DESIGN memory_manager
    735 VIEW beha
    736 GRAPHIC 569,0 377 0
    737 DESIGN memory_manager
    738 VIEW beha
    739 GRAPHIC 224,0 379 0
    740 DESIGN memory_manager
    741 VIEW beha
    742 GRAPHIC 254,0 380 0
    743 DESIGN memory_manager
    744 VIEW beha
    745 GRAPHIC 804,0 381 0
    746 DESIGN memory_manager
    747 VIEW beha
    748 GRAPHIC 433,0 382 0
    749 DESIGN memory_manager
    750 VIEW beha
    751 GRAPHIC 622,0 383 0
    752 DESIGN memory_manager
    753 VIEW beha
    754 GRAPHIC 289,0 384 0
    755 DESIGN memory_manager
    756 VIEW beha
    757 GRAPHIC 309,0 385 0
    758 DESIGN memory_manager
    759 VIEW beha
    760 GRAPHIC 284,0 386 0
    761 DESIGN memory_manager
    762 VIEW beha
    763 GRAPHIC 294,0 387 0
    764 DESIGN memory_manager
    765 VIEW beha
    766 GRAPHIC 304,0 388 0
    767 DESIGN memory_manager
    768 VIEW beha
    769 GRAPHIC 299,0 389 0
    770 DESIGN memory_manager
    771 VIEW beha
    772 GRAPHIC 379,0 390 0
    773 DESIGN memory_manager
    774 VIEW beha
    775 GRAPHIC 915,0 391 0
    776 DESIGN memory_manager
    777 VIEW beha
    778 GRAPHIC 51,0 392 0
    779 DESIGN @f@a@d_main
    780 VIEW struct.bd
    781 GRAPHIC 5793,0 395 0
     562GRAPHIC 78,0 265 0
     563DESIGN @f@a@d_main
     564VIEW struct.bd
     565GRAPHIC 1399,0 268 0
     566DESIGN data_generator
     567VIEW symbol.sb
     568GRAPHIC 14,0 269 1
     569DESIGN data_generator
     570VIEW @behavioral
     571GRAPHIC 48,0 273 0
     572DESIGN data_generator
     573VIEW @behavioral
     574GRAPHIC 53,0 275 0
     575DESIGN data_generator
     576VIEW @behavioral
     577GRAPHIC 58,0 276 0
     578DESIGN data_generator
     579VIEW @behavioral
     580GRAPHIC 73,0 277 0
     581DESIGN data_generator
     582VIEW @behavioral
     583GRAPHIC 78,0 278 0
     584DESIGN data_generator
     585VIEW @behavioral
     586GRAPHIC 402,0 279 0
     587DESIGN data_generator
     588VIEW @behavioral
     589GRAPHIC 407,0 280 0
     590DESIGN data_generator
     591VIEW @behavioral
     592GRAPHIC 1479,0 281 0
     593DESIGN data_generator
     594VIEW @behavioral
     595GRAPHIC 1122,0 283 0
     596DESIGN data_generator
     597VIEW @behavioral
     598GRAPHIC 963,0 285 0
     599DESIGN data_generator
     600VIEW @behavioral
     601GRAPHIC 1127,0 287 0
     602DESIGN data_generator
     603VIEW @behavioral
     604GRAPHIC 1048,0 289 0
     605DESIGN data_generator
     606VIEW @behavioral
     607GRAPHIC 958,0 290 0
     608DESIGN data_generator
     609VIEW @behavioral
     610GRAPHIC 1053,0 291 0
     611DESIGN data_generator
     612VIEW @behavioral
     613GRAPHIC 1201,0 292 0
     614DESIGN data_generator
     615VIEW @behavioral
     616GRAPHIC 1196,0 293 0
     617DESIGN data_generator
     618VIEW @behavioral
     619GRAPHIC 1206,0 294 0
     620DESIGN data_generator
     621VIEW @behavioral
     622GRAPHIC 473,0 295 0
     623DESIGN data_generator
     624VIEW @behavioral
     625GRAPHIC 412,0 296 0
     626DESIGN data_generator
     627VIEW @behavioral
     628GRAPHIC 1085,0 297 0
     629DESIGN data_generator
     630VIEW @behavioral
     631GRAPHIC 1090,0 298 0
     632DESIGN data_generator
     633VIEW @behavioral
     634GRAPHIC 1240,0 299 0
     635DESIGN data_generator
     636VIEW @behavioral
     637GRAPHIC 526,0 300 0
     638DESIGN data_generator
     639VIEW @behavioral
     640GRAPHIC 88,0 301 0
     641DESIGN data_generator
     642VIEW @behavioral
     643GRAPHIC 285,0 302 0
     644DESIGN data_generator
     645VIEW @behavioral
     646GRAPHIC 93,0 303 0
     647DESIGN data_generator
     648VIEW @behavioral
     649GRAPHIC 98,0 304 0
     650DESIGN data_generator
     651VIEW @behavioral
     652GRAPHIC 1164,0 305 0
     653DESIGN data_generator
     654VIEW @behavioral
     655GRAPHIC 1159,0 307 0
     656DESIGN data_generator
     657VIEW @behavioral
     658GRAPHIC 898,0 308 0
     659DESIGN data_generator
     660VIEW @behavioral
     661GRAPHIC 637,0 309 0
     662DESIGN data_generator
     663VIEW @behavioral
     664GRAPHIC 1395,0 310 0
     665DESIGN data_generator
     666VIEW @behavioral
     667GRAPHIC 1427,0 311 0
     668DESIGN data_generator
     669VIEW @behavioral
     670GRAPHIC 676,0 312 0
     671DESIGN data_generator
     672VIEW @behavioral
     673GRAPHIC 1551,0 313 0
     674DESIGN data_generator
     675VIEW @behavioral
     676GRAPHIC 1583,0 316 0
     677DESIGN data_generator
     678VIEW @behavioral
     679GRAPHIC 681,0 317 0
     680DESIGN data_generator
     681VIEW @behavioral
     682GRAPHIC 801,0 319 0
     683DESIGN data_generator
     684VIEW @behavioral
     685GRAPHIC 1464,0 321 0
     686DESIGN data_generator
     687VIEW @behavioral
     688GRAPHIC 1469,0 322 0
     689DESIGN data_generator
     690VIEW @behavioral
     691GRAPHIC 1459,0 323 0
     692DESIGN data_generator
     693VIEW @behavioral
     694GRAPHIC 1474,0 324 0
     695DESIGN data_generator
     696VIEW @behavioral
     697GRAPHIC 806,0 325 0
     698DESIGN data_generator
     699VIEW @behavioral
     700GRAPHIC 811,0 326 0
     701DESIGN data_generator
     702VIEW @behavioral
     703GRAPHIC 1519,0 327 0
     704DESIGN @f@a@d_main
     705VIEW struct.bd
     706GRAPHIC 4903,0 330 0
     707DESIGN @f@a@d_main
     708VIEW struct.bd
     709NO_GRAPHIC 348
     710DESIGN @f@a@d_main
     711VIEW struct.bd
     712GRAPHIC 11209,0 350 0
     713DESIGN @f@a@d_main
     714VIEW struct.bd
     715GRAPHIC 11216,0 351 1
     716DESIGN @f@a@d_main
     717VIEW struct.bd
     718NO_GRAPHIC 365
     719DESIGN @f@a@d_main
     720VIEW struct.bd
     721GRAPHIC 2311,0 367 0
     722DESIGN memory_manager
     723VIEW symbol.sb
     724GRAPHIC 14,0 368 1
     725DESIGN memory_manager
     726VIEW beha
     727GRAPHIC 138,0 373 0
     728DESIGN memory_manager
     729VIEW beha
     730GRAPHIC 194,0 374 0
     731DESIGN memory_manager
     732VIEW beha
     733GRAPHIC 349,0 375 0
     734DESIGN memory_manager
     735VIEW beha
     736GRAPHIC 949,0 376 0
     737DESIGN memory_manager
     738VIEW beha
     739GRAPHIC 569,0 378 0
     740DESIGN memory_manager
     741VIEW beha
     742GRAPHIC 224,0 380 0
     743DESIGN memory_manager
     744VIEW beha
     745GRAPHIC 254,0 381 0
     746DESIGN memory_manager
     747VIEW beha
     748GRAPHIC 804,0 382 0
     749DESIGN memory_manager
     750VIEW beha
     751GRAPHIC 433,0 383 0
     752DESIGN memory_manager
     753VIEW beha
     754GRAPHIC 622,0 384 0
     755DESIGN memory_manager
     756VIEW beha
     757GRAPHIC 289,0 385 0
     758DESIGN memory_manager
     759VIEW beha
     760GRAPHIC 309,0 386 0
     761DESIGN memory_manager
     762VIEW beha
     763GRAPHIC 284,0 387 0
     764DESIGN memory_manager
     765VIEW beha
     766GRAPHIC 294,0 388 0
     767DESIGN memory_manager
     768VIEW beha
     769GRAPHIC 304,0 389 0
     770DESIGN memory_manager
     771VIEW beha
     772GRAPHIC 299,0 390 0
     773DESIGN memory_manager
     774VIEW beha
     775GRAPHIC 379,0 391 0
     776DESIGN memory_manager
     777VIEW beha
     778GRAPHIC 915,0 392 0
     779DESIGN memory_manager
     780VIEW beha
     781GRAPHIC 51,0 393 0
     782DESIGN @f@a@d_main
     783VIEW struct.bd
     784GRAPHIC 5793,0 396 0
    782785DESIGN spi_interface
    783786VIEW symbol.sb
    784 GRAPHIC 1121,0 397 0
     787GRAPHIC 1121,0 398 0
    785788DESIGN spi_interface
    786789VIEW symbol.sb
    787 GRAPHIC 326,0 398 0
     790GRAPHIC 326,0 399 0
    788791DESIGN spi_interface
    789792VIEW symbol.sb
    790 GRAPHIC 197,0 399 0
     793GRAPHIC 197,0 400 0
    791794DESIGN spi_interface
    792795VIEW symbol.sb
    793 GRAPHIC 321,0 400 0
     796GRAPHIC 321,0 401 0
    794797DESIGN spi_interface
    795798VIEW symbol.sb
    796 GRAPHIC 1198,0 401 0
     799GRAPHIC 1198,0 402 0
    797800DESIGN spi_interface
    798801VIEW symbol.sb
    799 GRAPHIC 1017,0 402 0
     802GRAPHIC 1017,0 403 0
    800803DESIGN spi_interface
    801804VIEW symbol.sb
    802 GRAPHIC 1229,0 403 0
     805GRAPHIC 1229,0 404 0
    803806DESIGN spi_interface
    804807VIEW symbol.sb
    805 GRAPHIC 126,0 404 0
     808GRAPHIC 126,0 405 0
    806809DESIGN spi_interface
    807810VIEW symbol.sb
    808 GRAPHIC 819,0 405 0
     811GRAPHIC 819,0 406 0
    809812DESIGN spi_interface
    810813VIEW symbol.sb
    811 GRAPHIC 1022,0 406 0
     814GRAPHIC 1022,0 407 0
    812815DESIGN spi_interface
    813816VIEW symbol.sb
    814 GRAPHIC 824,0 407 0
     817GRAPHIC 824,0 408 0
    815818DESIGN spi_interface
    816819VIEW symbol.sb
    817 GRAPHIC 1283,0 408 0
    818 DESIGN @f@a@d_main
    819 VIEW struct.bd
    820 GRAPHIC 1768,0 411 0
     820GRAPHIC 1283,0 409 0
     821DESIGN @f@a@d_main
     822VIEW struct.bd
     823GRAPHIC 1768,0 412 0
    821824DESIGN trigger_counter
    822825VIEW beha
    823 GRAPHIC 48,0 413 0
     826GRAPHIC 48,0 414 0
    824827DESIGN trigger_counter
    825828VIEW beha
    826 GRAPHIC 53,0 414 0
     829GRAPHIC 53,0 415 0
    827830DESIGN trigger_counter
    828831VIEW beha
    829 GRAPHIC 148,0 415 0
    830 DESIGN @f@a@d_main
    831 VIEW struct.bd
    832 GRAPHIC 12625,0 418 0
    833 DESIGN @f@a@d_main
    834 VIEW struct.bd
    835 NO_GRAPHIC 426
    836 DESIGN @f@a@d_main
    837 VIEW struct.bd
    838 GRAPHIC 1606,0 428 0
    839 DESIGN w5300_modul
    840 VIEW symbol.sb
    841 GRAPHIC 14,0 429 1
    842 DESIGN w5300_modul
    843 VIEW @behavioral
    844 GRAPHIC 48,0 433 0
    845 DESIGN w5300_modul
    846 VIEW @behavioral
    847 GRAPHIC 53,0 434 0
    848 DESIGN w5300_modul
    849 VIEW @behavioral
    850 GRAPHIC 58,0 435 0
    851 DESIGN w5300_modul
    852 VIEW @behavioral
    853 GRAPHIC 63,0 436 0
    854 DESIGN w5300_modul
    855 VIEW @behavioral
    856 GRAPHIC 68,0 437 0
    857 DESIGN w5300_modul
    858 VIEW @behavioral
    859 GRAPHIC 73,0 438 0
    860 DESIGN w5300_modul
    861 VIEW @behavioral
    862 GRAPHIC 491,0 439 0
    863 DESIGN w5300_modul
    864 VIEW @behavioral
    865 GRAPHIC 83,0 440 0
    866 DESIGN w5300_modul
    867 VIEW @behavioral
    868 GRAPHIC 88,0 441 0
    869 DESIGN w5300_modul
    870 VIEW @behavioral
    871 GRAPHIC 93,0 442 0
    872 DESIGN w5300_modul
    873 VIEW @behavioral
    874 GRAPHIC 98,0 443 0
    875 DESIGN w5300_modul
    876 VIEW @behavioral
    877 GRAPHIC 103,0 444 0
    878 DESIGN w5300_modul
    879 VIEW @behavioral
    880 GRAPHIC 108,0 445 0
    881 DESIGN w5300_modul
    882 VIEW @behavioral
    883 GRAPHIC 113,0 446 0
    884 DESIGN w5300_modul
    885 VIEW @behavioral
    886 GRAPHIC 885,0 447 0
    887 DESIGN w5300_modul
    888 VIEW @behavioral
    889 GRAPHIC 118,0 448 0
    890 DESIGN w5300_modul
    891 VIEW @behavioral
    892 GRAPHIC 353,0 449 0
    893 DESIGN w5300_modul
    894 VIEW @behavioral
    895 GRAPHIC 348,0 450 0
    896 DESIGN w5300_modul
    897 VIEW @behavioral
    898 GRAPHIC 385,0 451 0
    899 DESIGN w5300_modul
    900 VIEW @behavioral
    901 GRAPHIC 521,0 452 0
    902 DESIGN w5300_modul
    903 VIEW @behavioral
    904 GRAPHIC 1187,0 454 0
    905 DESIGN w5300_modul
    906 VIEW @behavioral
    907 GRAPHIC 1192,0 455 0
    908 DESIGN w5300_modul
    909 VIEW @behavioral
    910 GRAPHIC 576,0 456 0
    911 DESIGN w5300_modul
    912 VIEW @behavioral
    913 GRAPHIC 566,0 458 0
    914 DESIGN w5300_modul
    915 VIEW @behavioral
    916 GRAPHIC 551,0 459 0
    917 DESIGN w5300_modul
    918 VIEW @behavioral
    919 GRAPHIC 561,0 460 0
    920 DESIGN w5300_modul
    921 VIEW @behavioral
    922 GRAPHIC 571,0 461 0
    923 DESIGN w5300_modul
    924 VIEW @behavioral
    925 GRAPHIC 640,0 462 0
    926 DESIGN w5300_modul
    927 VIEW @behavioral
    928 GRAPHIC 1052,0 463 0
    929 DESIGN w5300_modul
    930 VIEW @behavioral
    931 GRAPHIC 1057,0 465 0
    932 DESIGN w5300_modul
    933 VIEW @behavioral
    934 GRAPHIC 556,0 467 0
    935 DESIGN w5300_modul
    936 VIEW @behavioral
    937 GRAPHIC 670,0 469 0
    938 DESIGN w5300_modul
    939 VIEW @behavioral
    940 GRAPHIC 723,0 470 0
    941 DESIGN w5300_modul
    942 VIEW @behavioral
    943 GRAPHIC 917,0 471 0
    944 DESIGN w5300_modul
    945 VIEW @behavioral
    946 GRAPHIC 949,0 472 0
    947 DESIGN w5300_modul
    948 VIEW @behavioral
    949 GRAPHIC 954,0 473 0
    950 DESIGN w5300_modul
    951 VIEW @behavioral
    952 GRAPHIC 988,0 474 0
    953 DESIGN w5300_modul
    954 VIEW @behavioral
    955 GRAPHIC 1020,0 475 0
    956 DESIGN w5300_modul
    957 VIEW @behavioral
    958 GRAPHIC 1130,0 476 0
    959 DESIGN w5300_modul
    960 VIEW @behavioral
    961 GRAPHIC 1096,0 477 0
    962 DESIGN w5300_modul
    963 VIEW @behavioral
    964 GRAPHIC 1091,0 478 0
     832GRAPHIC 148,0 416 0
     833DESIGN @f@a@d_main
     834VIEW struct.bd
     835GRAPHIC 12625,0 419 0
     836DESIGN @f@a@d_main
     837VIEW struct.bd
     838NO_GRAPHIC 427
     839DESIGN @f@a@d_main
     840VIEW struct.bd
     841GRAPHIC 1606,0 429 0
     842DESIGN w5300_modul
     843VIEW symbol.sb
     844GRAPHIC 14,0 430 1
     845DESIGN w5300_modul
     846VIEW @behavioral
     847GRAPHIC 48,0 434 0
     848DESIGN w5300_modul
     849VIEW @behavioral
     850GRAPHIC 53,0 435 0
     851DESIGN w5300_modul
     852VIEW @behavioral
     853GRAPHIC 58,0 436 0
     854DESIGN w5300_modul
     855VIEW @behavioral
     856GRAPHIC 63,0 437 0
     857DESIGN w5300_modul
     858VIEW @behavioral
     859GRAPHIC 68,0 438 0
     860DESIGN w5300_modul
     861VIEW @behavioral
     862GRAPHIC 73,0 439 0
     863DESIGN w5300_modul
     864VIEW @behavioral
     865GRAPHIC 491,0 440 0
     866DESIGN w5300_modul
     867VIEW @behavioral
     868GRAPHIC 83,0 441 0
     869DESIGN w5300_modul
     870VIEW @behavioral
     871GRAPHIC 88,0 442 0
     872DESIGN w5300_modul
     873VIEW @behavioral
     874GRAPHIC 93,0 443 0
     875DESIGN w5300_modul
     876VIEW @behavioral
     877GRAPHIC 98,0 444 0
     878DESIGN w5300_modul
     879VIEW @behavioral
     880GRAPHIC 103,0 445 0
     881DESIGN w5300_modul
     882VIEW @behavioral
     883GRAPHIC 108,0 446 0
     884DESIGN w5300_modul
     885VIEW @behavioral
     886GRAPHIC 113,0 447 0
     887DESIGN w5300_modul
     888VIEW @behavioral
     889GRAPHIC 885,0 448 0
     890DESIGN w5300_modul
     891VIEW @behavioral
     892GRAPHIC 118,0 449 0
     893DESIGN w5300_modul
     894VIEW @behavioral
     895GRAPHIC 353,0 450 0
     896DESIGN w5300_modul
     897VIEW @behavioral
     898GRAPHIC 348,0 451 0
     899DESIGN w5300_modul
     900VIEW @behavioral
     901GRAPHIC 385,0 452 0
     902DESIGN w5300_modul
     903VIEW @behavioral
     904GRAPHIC 521,0 453 0
     905DESIGN w5300_modul
     906VIEW @behavioral
     907GRAPHIC 1187,0 455 0
     908DESIGN w5300_modul
     909VIEW @behavioral
     910GRAPHIC 1192,0 456 0
     911DESIGN w5300_modul
     912VIEW @behavioral
     913GRAPHIC 576,0 457 0
     914DESIGN w5300_modul
     915VIEW @behavioral
     916GRAPHIC 566,0 459 0
     917DESIGN w5300_modul
     918VIEW @behavioral
     919GRAPHIC 551,0 460 0
     920DESIGN w5300_modul
     921VIEW @behavioral
     922GRAPHIC 561,0 461 0
     923DESIGN w5300_modul
     924VIEW @behavioral
     925GRAPHIC 571,0 462 0
     926DESIGN w5300_modul
     927VIEW @behavioral
     928GRAPHIC 640,0 463 0
     929DESIGN w5300_modul
     930VIEW @behavioral
     931GRAPHIC 1052,0 464 0
     932DESIGN w5300_modul
     933VIEW @behavioral
     934GRAPHIC 1057,0 466 0
     935DESIGN w5300_modul
     936VIEW @behavioral
     937GRAPHIC 556,0 468 0
     938DESIGN w5300_modul
     939VIEW @behavioral
     940GRAPHIC 1283,0 470 0
     941DESIGN w5300_modul
     942VIEW @behavioral
     943GRAPHIC 1315,0 471 0
     944DESIGN w5300_modul
     945VIEW @behavioral
     946GRAPHIC 1320,0 472 0
     947DESIGN w5300_modul
     948VIEW @behavioral
     949GRAPHIC 670,0 473 0
     950DESIGN w5300_modul
     951VIEW @behavioral
     952GRAPHIC 723,0 474 0
     953DESIGN w5300_modul
     954VIEW @behavioral
     955GRAPHIC 917,0 475 0
     956DESIGN w5300_modul
     957VIEW @behavioral
     958GRAPHIC 949,0 476 0
     959DESIGN w5300_modul
     960VIEW @behavioral
     961GRAPHIC 954,0 477 0
     962DESIGN w5300_modul
     963VIEW @behavioral
     964GRAPHIC 988,0 478 0
     965DESIGN w5300_modul
     966VIEW @behavioral
     967GRAPHIC 1020,0 479 0
     968DESIGN w5300_modul
     969VIEW @behavioral
     970GRAPHIC 1130,0 480 0
     971DESIGN w5300_modul
     972VIEW @behavioral
     973GRAPHIC 1096,0 481 0
     974DESIGN w5300_modul
     975VIEW @behavioral
     976GRAPHIC 1091,0 482 0
    965977LIBRARY FACT_FAD_lib
    966978DESIGN @f@a@d_main
    967979VIEW struct.bd
    968 NO_GRAPHIC 481
    969 DESIGN @f@a@d_main
    970 VIEW struct.bd
    971 GRAPHIC 5678,0 484 0
    972 DESIGN @f@a@d_main
    973 VIEW struct.bd
    974 GRAPHIC 9175,0 485 0
    975 DESIGN @f@a@d_main
    976 VIEW struct.bd
    977 GRAPHIC 13117,0 486 0
    978 DESIGN @f@a@d_main
    979 VIEW struct.bd
    980 GRAPHIC 5072,0 487 0
    981 DESIGN @f@a@d_main
    982 VIEW struct.bd
    983 GRAPHIC 8277,0 488 0
    984 DESIGN @f@a@d_main
    985 VIEW struct.bd
    986 GRAPHIC 1399,0 489 0
    987 DESIGN @f@a@d_main
    988 VIEW struct.bd
    989 GRAPHIC 4903,0 490 0
    990 DESIGN @f@a@d_main
    991 VIEW struct.bd
    992 GRAPHIC 11209,0 491 0
    993 DESIGN @f@a@d_main
    994 VIEW struct.bd
    995 GRAPHIC 2311,0 492 0
    996 DESIGN @f@a@d_main
    997 VIEW struct.bd
    998 GRAPHIC 5793,0 493 0
    999 DESIGN @f@a@d_main
    1000 VIEW struct.bd
    1001 GRAPHIC 1768,0 494 0
    1002 DESIGN @f@a@d_main
    1003 VIEW struct.bd
    1004 GRAPHIC 12625,0 495 0
    1005 DESIGN @f@a@d_main
    1006 VIEW struct.bd
    1007 GRAPHIC 1606,0 496 0
    1008 DESIGN @f@a@d_main
    1009 VIEW struct.bd
    1010 NO_GRAPHIC 499
    1011 DESIGN @f@a@d_main
    1012 VIEW struct.bd
    1013 GRAPHIC 6529,0 501 0
    1014 DESIGN @f@a@d_main
    1015 VIEW struct.bd
    1016 GRAPHIC 9957,0 504 0
    1017 DESIGN @f@a@d_main
    1018 VIEW struct.bd
    1019 GRAPHIC 8721,0 507 0
    1020 DESIGN @f@a@d_main
    1021 VIEW struct.bd
    1022 GRAPHIC 12295,0 510 0
    1023 DESIGN @f@a@d_main
    1024 VIEW struct.bd
    1025 GRAPHIC 9472,0 513 0
    1026 DESIGN @f@a@d_main
    1027 VIEW struct.bd
    1028 GRAPHIC 9662,0 516 0
    1029 DESIGN @f@a@d_main
    1030 VIEW struct.bd
    1031 GRAPHIC 9679,0 519 0
    1032 DESIGN @f@a@d_main
    1033 VIEW struct.bd
    1034 GRAPHIC 9710,0 522 0
    1035 DESIGN @f@a@d_main
    1036 VIEW struct.bd
    1037 GRAPHIC 8562,0 525 0
    1038 DESIGN @f@a@d_main
    1039 VIEW struct.bd
    1040 GRAPHIC 10380,0 536 0
    1041 DESIGN @f@a@d_main
    1042 VIEW struct.bd
    1043 GRAPHIC 13266,0 539 0
    1044 DESIGN @f@a@d_main
    1045 VIEW struct.bd
    1046 NO_GRAPHIC 542
    1047 DESIGN @f@a@d_main
    1048 VIEW struct.bd
    1049 GRAPHIC 5678,0 544 0
    1050 DESIGN @f@a@d_main
    1051 VIEW struct.bd
    1052 GRAPHIC 5646,0 546 0
    1053 DESIGN @f@a@d_main
    1054 VIEW struct.bd
    1055 GRAPHIC 4272,0 547 0
    1056 DESIGN @f@a@d_main
    1057 VIEW struct.bd
    1058 GRAPHIC 2786,0 548 0
    1059 DESIGN @f@a@d_main
    1060 VIEW struct.bd
    1061 GRAPHIC 5626,0 549 0
    1062 DESIGN @f@a@d_main
    1063 VIEW struct.bd
    1064 GRAPHIC 5634,0 550 0
    1065 DESIGN @f@a@d_main
    1066 VIEW struct.bd
    1067 GRAPHIC 9175,0 552 0
    1068 DESIGN @f@a@d_main
    1069 VIEW struct.bd
    1070 GRAPHIC 4042,0 554 0
    1071 DESIGN @f@a@d_main
    1072 VIEW struct.bd
    1073 GRAPHIC 10036,0 555 0
    1074 DESIGN @f@a@d_main
    1075 VIEW struct.bd
    1076 GRAPHIC 9253,0 556 0
    1077 DESIGN @f@a@d_main
    1078 VIEW struct.bd
    1079 GRAPHIC 9261,0 557 0
    1080 DESIGN @f@a@d_main
    1081 VIEW struct.bd
    1082 GRAPHIC 6072,0 558 0
    1083 DESIGN @f@a@d_main
    1084 VIEW struct.bd
    1085 GRAPHIC 3984,0 559 0
    1086 DESIGN @f@a@d_main
    1087 VIEW struct.bd
    1088 GRAPHIC 3888,0 560 0
    1089 DESIGN @f@a@d_main
    1090 VIEW struct.bd
    1091 GRAPHIC 9353,0 561 0
    1092 DESIGN @f@a@d_main
    1093 VIEW struct.bd
    1094 GRAPHIC 9269,0 562 0
    1095 DESIGN @f@a@d_main
    1096 VIEW struct.bd
    1097 GRAPHIC 9325,0 563 0
    1098 DESIGN @f@a@d_main
    1099 VIEW struct.bd
    1100 GRAPHIC 9283,0 564 0
    1101 DESIGN @f@a@d_main
    1102 VIEW struct.bd
    1103 GRAPHIC 9297,0 565 0
    1104 DESIGN @f@a@d_main
    1105 VIEW struct.bd
    1106 GRAPHIC 9367,0 566 0
    1107 DESIGN @f@a@d_main
    1108 VIEW struct.bd
    1109 GRAPHIC 9397,0 567 0
    1110 DESIGN @f@a@d_main
    1111 VIEW struct.bd
    1112 GRAPHIC 9382,0 568 0
    1113 DESIGN @f@a@d_main
    1114 VIEW struct.bd
    1115 GRAPHIC 13117,0 570 0
    1116 DESIGN @f@a@d_main
    1117 VIEW struct.bd
    1118 GRAPHIC 13124,0 571 1
    1119 DESIGN @f@a@d_main
    1120 VIEW struct.bd
    1121 GRAPHIC 13143,0 575 0
    1122 DESIGN @f@a@d_main
    1123 VIEW struct.bd
    1124 GRAPHIC 13159,0 576 0
    1125 DESIGN @f@a@d_main
    1126 VIEW struct.bd
    1127 GRAPHIC 13165,0 577 0
    1128 DESIGN @f@a@d_main
    1129 VIEW struct.bd
    1130 GRAPHIC 13210,0 578 0
    1131 DESIGN @f@a@d_main
    1132 VIEW struct.bd
    1133 GRAPHIC 5072,0 580 0
    1134 DESIGN @f@a@d_main
    1135 VIEW struct.bd
    1136 GRAPHIC 5582,0 582 0
    1137 DESIGN @f@a@d_main
    1138 VIEW struct.bd
    1139 GRAPHIC 5090,0 583 0
    1140 DESIGN @f@a@d_main
    1141 VIEW struct.bd
    1142 GRAPHIC 5130,0 584 0
    1143 DESIGN @f@a@d_main
    1144 VIEW struct.bd
    1145 GRAPHIC 5184,0 585 0
    1146 DESIGN @f@a@d_main
    1147 VIEW struct.bd
    1148 GRAPHIC 5122,0 586 0
    1149 DESIGN @f@a@d_main
    1150 VIEW struct.bd
    1151 GRAPHIC 5106,0 587 0
    1152 DESIGN @f@a@d_main
    1153 VIEW struct.bd
    1154 GRAPHIC 5098,0 588 0
    1155 DESIGN @f@a@d_main
    1156 VIEW struct.bd
    1157 GRAPHIC 5190,0 589 0
    1158 DESIGN @f@a@d_main
    1159 VIEW struct.bd
    1160 GRAPHIC 10194,0 590 0
    1161 DESIGN @f@a@d_main
    1162 VIEW struct.bd
    1163 GRAPHIC 10202,0 591 0
    1164 DESIGN @f@a@d_main
    1165 VIEW struct.bd
    1166 GRAPHIC 6002,0 592 0
    1167 DESIGN @f@a@d_main
    1168 VIEW struct.bd
    1169 GRAPHIC 5146,0 593 0
    1170 DESIGN @f@a@d_main
    1171 VIEW struct.bd
    1172 GRAPHIC 5138,0 594 0
    1173 DESIGN @f@a@d_main
    1174 VIEW struct.bd
    1175 GRAPHIC 5114,0 595 0
    1176 DESIGN @f@a@d_main
    1177 VIEW struct.bd
    1178 GRAPHIC 8277,0 597 0
    1179 DESIGN @f@a@d_main
    1180 VIEW struct.bd
    1181 GRAPHIC 5602,0 599 0
    1182 DESIGN @f@a@d_main
    1183 VIEW struct.bd
    1184 GRAPHIC 334,0 600 0
    1185 DESIGN @f@a@d_main
    1186 VIEW struct.bd
    1187 GRAPHIC 328,0 601 0
    1188 DESIGN @f@a@d_main
    1189 VIEW struct.bd
    1190 GRAPHIC 322,0 602 0
    1191 DESIGN @f@a@d_main
    1192 VIEW struct.bd
    1193 GRAPHIC 4240,0 603 0
    1194 DESIGN @f@a@d_main
    1195 VIEW struct.bd
    1196 GRAPHIC 364,0 604 0
    1197 DESIGN @f@a@d_main
    1198 VIEW struct.bd
    1199 GRAPHIC 370,0 605 0
    1200 DESIGN @f@a@d_main
    1201 VIEW struct.bd
    1202 GRAPHIC 1399,0 607 0
    1203 DESIGN @f@a@d_main
    1204 VIEW struct.bd
    1205 GRAPHIC 1406,0 608 1
    1206 DESIGN @f@a@d_main
    1207 VIEW struct.bd
    1208 GRAPHIC 5602,0 612 0
    1209 DESIGN @f@a@d_main
    1210 VIEW struct.bd
    1211 GRAPHIC 334,0 613 0
    1212 DESIGN @f@a@d_main
    1213 VIEW struct.bd
    1214 GRAPHIC 328,0 614 0
    1215 DESIGN @f@a@d_main
    1216 VIEW struct.bd
    1217 GRAPHIC 322,0 615 0
    1218 DESIGN @f@a@d_main
    1219 VIEW struct.bd
    1220 GRAPHIC 2299,0 616 0
    1221 DESIGN @f@a@d_main
    1222 VIEW struct.bd
    1223 GRAPHIC 2576,0 617 0
    1224 DESIGN @f@a@d_main
    1225 VIEW struct.bd
    1226 GRAPHIC 2582,0 618 0
    1227 DESIGN @f@a@d_main
    1228 VIEW struct.bd
    1229 GRAPHIC 10467,0 619 0
    1230 DESIGN @f@a@d_main
    1231 VIEW struct.bd
    1232 GRAPHIC 2588,0 620 0
    1233 DESIGN @f@a@d_main
    1234 VIEW struct.bd
    1235 GRAPHIC 5184,0 621 0
    1236 DESIGN @f@a@d_main
    1237 VIEW struct.bd
    1238 GRAPHIC 5745,0 622 0
    1239 DESIGN @f@a@d_main
    1240 VIEW struct.bd
    1241 GRAPHIC 2594,0 623 0
    1242 DESIGN @f@a@d_main
    1243 VIEW struct.bd
    1244 GRAPHIC 5190,0 624 0
    1245 DESIGN @f@a@d_main
    1246 VIEW struct.bd
    1247 GRAPHIC 5404,0 625 0
    1248 DESIGN @f@a@d_main
    1249 VIEW struct.bd
    1250 GRAPHIC 6018,0 626 0
    1251 DESIGN @f@a@d_main
    1252 VIEW struct.bd
    1253 GRAPHIC 6002,0 627 0
    1254 DESIGN @f@a@d_main
    1255 VIEW struct.bd
    1256 GRAPHIC 6008,0 628 0
    1257 DESIGN @f@a@d_main
    1258 VIEW struct.bd
    1259 GRAPHIC 5138,0 629 0
    1260 DESIGN @f@a@d_main
    1261 VIEW struct.bd
    1262 GRAPHIC 2600,0 630 0
    1263 DESIGN @f@a@d_main
    1264 VIEW struct.bd
    1265 GRAPHIC 5480,0 631 0
    1266 DESIGN @f@a@d_main
    1267 VIEW struct.bd
    1268 GRAPHIC 5474,0 632 0
    1269 DESIGN @f@a@d_main
    1270 VIEW struct.bd
    1271 GRAPHIC 6064,0 633 0
    1272 DESIGN @f@a@d_main
    1273 VIEW struct.bd
    1274 GRAPHIC 2642,0 634 0
    1275 DESIGN @f@a@d_main
    1276 VIEW struct.bd
    1277 GRAPHIC 1411,0 635 0
    1278 DESIGN @f@a@d_main
    1279 VIEW struct.bd
    1280 GRAPHIC 1682,0 636 0
    1281 DESIGN @f@a@d_main
    1282 VIEW struct.bd
    1283 GRAPHIC 1983,0 637 0
    1284 DESIGN @f@a@d_main
    1285 VIEW struct.bd
    1286 GRAPHIC 10439,0 638 0
    1287 DESIGN @f@a@d_main
    1288 VIEW struct.bd
    1289 GRAPHIC 5950,0 639 0
    1290 DESIGN @f@a@d_main
    1291 VIEW struct.bd
    1292 GRAPHIC 5962,0 640 0
    1293 DESIGN @f@a@d_main
    1294 VIEW struct.bd
    1295 GRAPHIC 5626,0 641 0
    1296 DESIGN @f@a@d_main
    1297 VIEW struct.bd
    1298 GRAPHIC 2778,0 642 0
    1299 DESIGN @f@a@d_main
    1300 VIEW struct.bd
    1301 GRAPHIC 9006,0 643 0
    1302 DESIGN @f@a@d_main
    1303 VIEW struct.bd
    1304 GRAPHIC 5634,0 644 0
    1305 DESIGN @f@a@d_main
    1306 VIEW struct.bd
    1307 GRAPHIC 8577,0 645 0
    1308 DESIGN @f@a@d_main
    1309 VIEW struct.bd
    1310 GRAPHIC 12649,0 646 0
    1311 DESIGN @f@a@d_main
    1312 VIEW struct.bd
    1313 GRAPHIC 12655,0 647 0
    1314 DESIGN @f@a@d_main
    1315 VIEW struct.bd
    1316 GRAPHIC 4401,0 648 0
    1317 DESIGN @f@a@d_main
    1318 VIEW struct.bd
    1319 GRAPHIC 4419,0 649 0
    1320 DESIGN @f@a@d_main
    1321 VIEW struct.bd
    1322 GRAPHIC 10298,0 650 0
    1323 DESIGN @f@a@d_main
    1324 VIEW struct.bd
    1325 GRAPHIC 10304,0 651 0
    1326 DESIGN @f@a@d_main
    1327 VIEW struct.bd
    1328 GRAPHIC 10316,0 652 0
    1329 DESIGN @f@a@d_main
    1330 VIEW struct.bd
    1331 GRAPHIC 10310,0 653 0
    1332 DESIGN @f@a@d_main
    1333 VIEW struct.bd
    1334 GRAPHIC 4743,0 654 0
    1335 DESIGN @f@a@d_main
    1336 VIEW struct.bd
    1337 GRAPHIC 4407,0 655 0
    1338 DESIGN @f@a@d_main
    1339 VIEW struct.bd
    1340 GRAPHIC 11405,0 656 0
    1341 DESIGN @f@a@d_main
    1342 VIEW struct.bd
    1343 GRAPHIC 4903,0 658 0
    1344 DESIGN @f@a@d_main
    1345 VIEW struct.bd
    1346 GRAPHIC 4757,0 660 0
    1347 DESIGN @f@a@d_main
    1348 VIEW struct.bd
    1349 GRAPHIC 4401,0 661 0
    1350 DESIGN @f@a@d_main
    1351 VIEW struct.bd
    1352 GRAPHIC 4419,0 662 0
    1353 DESIGN @f@a@d_main
    1354 VIEW struct.bd
    1355 GRAPHIC 4671,0 663 0
    1356 DESIGN @f@a@d_main
    1357 VIEW struct.bd
    1358 GRAPHIC 4679,0 664 0
    1359 DESIGN @f@a@d_main
    1360 VIEW struct.bd
    1361 GRAPHIC 4687,0 665 0
    1362 DESIGN @f@a@d_main
    1363 VIEW struct.bd
    1364 GRAPHIC 4695,0 666 0
    1365 DESIGN @f@a@d_main
    1366 VIEW struct.bd
    1367 GRAPHIC 4407,0 667 0
    1368 DESIGN @f@a@d_main
    1369 VIEW struct.bd
    1370 GRAPHIC 4743,0 668 0
    1371 DESIGN @f@a@d_main
    1372 VIEW struct.bd
    1373 GRAPHIC 10298,0 669 0
    1374 DESIGN @f@a@d_main
    1375 VIEW struct.bd
    1376 GRAPHIC 10310,0 670 0
    1377 DESIGN @f@a@d_main
    1378 VIEW struct.bd
    1379 GRAPHIC 10304,0 671 0
    1380 DESIGN @f@a@d_main
    1381 VIEW struct.bd
    1382 GRAPHIC 10316,0 672 0
    1383 DESIGN @f@a@d_main
    1384 VIEW struct.bd
    1385 GRAPHIC 10322,0 673 0
    1386 DESIGN @f@a@d_main
    1387 VIEW struct.bd
    1388 GRAPHIC 4948,0 674 0
    1389 DESIGN @f@a@d_main
    1390 VIEW struct.bd
    1391 GRAPHIC 10010,0 675 0
    1392 DESIGN @f@a@d_main
    1393 VIEW struct.bd
    1394 GRAPHIC 11209,0 677 0
    1395 DESIGN @f@a@d_main
    1396 VIEW struct.bd
    1397 GRAPHIC 11216,0 678 1
    1398 DESIGN @f@a@d_main
    1399 VIEW struct.bd
    1400 GRAPHIC 10699,0 684 0
    1401 DESIGN @f@a@d_main
    1402 VIEW struct.bd
    1403 GRAPHIC 10723,0 685 0
    1404 DESIGN @f@a@d_main
    1405 VIEW struct.bd
    1406 GRAPHIC 10737,0 686 0
    1407 DESIGN @f@a@d_main
    1408 VIEW struct.bd
    1409 GRAPHIC 10751,0 687 0
    1410 DESIGN @f@a@d_main
    1411 VIEW struct.bd
    1412 GRAPHIC 12707,0 688 0
    1413 DESIGN @f@a@d_main
    1414 VIEW struct.bd
    1415 GRAPHIC 10707,0 689 0
    1416 DESIGN @f@a@d_main
    1417 VIEW struct.bd
    1418 GRAPHIC 10685,0 690 0
    1419 DESIGN @f@a@d_main
    1420 VIEW struct.bd
    1421 GRAPHIC 10691,0 691 0
    1422 DESIGN @f@a@d_main
    1423 VIEW struct.bd
    1424 GRAPHIC 2311,0 693 0
    1425 DESIGN @f@a@d_main
    1426 VIEW struct.bd
    1427 GRAPHIC 2318,0 694 1
    1428 DESIGN @f@a@d_main
    1429 VIEW struct.bd
    1430 GRAPHIC 6082,0 699 0
    1431 DESIGN @f@a@d_main
    1432 VIEW struct.bd
    1433 GRAPHIC 2588,0 700 0
    1434 DESIGN @f@a@d_main
    1435 VIEW struct.bd
    1436 GRAPHIC 2582,0 701 0
    1437 DESIGN @f@a@d_main
    1438 VIEW struct.bd
    1439 GRAPHIC 10467,0 702 0
    1440 DESIGN @f@a@d_main
    1441 VIEW struct.bd
    1442 GRAPHIC 5168,0 703 0
    1443 DESIGN @f@a@d_main
    1444 VIEW struct.bd
    1445 GRAPHIC 2576,0 704 0
    1446 DESIGN @f@a@d_main
    1447 VIEW struct.bd
    1448 GRAPHIC 2594,0 705 0
    1449 DESIGN @f@a@d_main
    1450 VIEW struct.bd
    1451 GRAPHIC 6018,0 706 0
    1452 DESIGN @f@a@d_main
    1453 VIEW struct.bd
    1454 GRAPHIC 2600,0 707 0
    1455 DESIGN @f@a@d_main
    1456 VIEW struct.bd
    1457 GRAPHIC 2642,0 708 0
    1458 DESIGN @f@a@d_main
    1459 VIEW struct.bd
    1460 GRAPHIC 2488,0 709 0
    1461 DESIGN @f@a@d_main
    1462 VIEW struct.bd
    1463 GRAPHIC 2482,0 710 0
    1464 DESIGN @f@a@d_main
    1465 VIEW struct.bd
    1466 GRAPHIC 2494,0 711 0
    1467 DESIGN @f@a@d_main
    1468 VIEW struct.bd
    1469 GRAPHIC 2476,0 712 0
    1470 DESIGN @f@a@d_main
    1471 VIEW struct.bd
    1472 GRAPHIC 2506,0 713 0
    1473 DESIGN @f@a@d_main
    1474 VIEW struct.bd
    1475 GRAPHIC 2500,0 714 0
    1476 DESIGN @f@a@d_main
    1477 VIEW struct.bd
    1478 GRAPHIC 2470,0 715 0
    1479 DESIGN @f@a@d_main
    1480 VIEW struct.bd
    1481 GRAPHIC 8416,0 716 0
    1482 DESIGN @f@a@d_main
    1483 VIEW struct.bd
    1484 GRAPHIC 2299,0 717 0
    1485 DESIGN @f@a@d_main
    1486 VIEW struct.bd
    1487 GRAPHIC 5793,0 719 0
    1488 DESIGN @f@a@d_main
    1489 VIEW struct.bd
    1490 GRAPHIC 5805,0 721 0
    1491 DESIGN @f@a@d_main
    1492 VIEW struct.bd
    1493 GRAPHIC 5745,0 722 0
    1494 DESIGN @f@a@d_main
    1495 VIEW struct.bd
    1496 GRAPHIC 5146,0 723 0
    1497 DESIGN @f@a@d_main
    1498 VIEW struct.bd
    1499 GRAPHIC 5404,0 724 0
    1500 DESIGN @f@a@d_main
    1501 VIEW struct.bd
    1502 GRAPHIC 6008,0 725 0
    1503 DESIGN @f@a@d_main
    1504 VIEW struct.bd
    1505 GRAPHIC 5829,0 726 0
    1506 DESIGN @f@a@d_main
    1507 VIEW struct.bd
    1508 GRAPHIC 6160,0 727 0
    1509 DESIGN @f@a@d_main
    1510 VIEW struct.bd
    1511 GRAPHIC 8732,0 728 0
    1512 DESIGN @f@a@d_main
    1513 VIEW struct.bd
    1514 GRAPHIC 5480,0 729 0
    1515 DESIGN @f@a@d_main
    1516 VIEW struct.bd
    1517 GRAPHIC 5837,0 730 0
    1518 DESIGN @f@a@d_main
    1519 VIEW struct.bd
    1520 GRAPHIC 5474,0 731 0
    1521 DESIGN @f@a@d_main
    1522 VIEW struct.bd
    1523 GRAPHIC 5821,0 732 0
    1524 DESIGN @f@a@d_main
    1525 VIEW struct.bd
    1526 GRAPHIC 1768,0 734 0
    1527 DESIGN @f@a@d_main
    1528 VIEW struct.bd
    1529 GRAPHIC 1983,0 736 0
    1530 DESIGN @f@a@d_main
    1531 VIEW struct.bd
    1532 GRAPHIC 10439,0 737 0
    1533 DESIGN @f@a@d_main
    1534 VIEW struct.bd
    1535 GRAPHIC 6276,0 738 0
    1536 DESIGN @f@a@d_main
    1537 VIEW struct.bd
    1538 GRAPHIC 12625,0 740 0
    1539 DESIGN @f@a@d_main
    1540 VIEW struct.bd
    1541 GRAPHIC 12687,0 742 0
    1542 DESIGN @f@a@d_main
    1543 VIEW struct.bd
    1544 GRAPHIC 12643,0 743 0
    1545 DESIGN @f@a@d_main
    1546 VIEW struct.bd
    1547 GRAPHIC 12635,0 744 0
    1548 DESIGN @f@a@d_main
    1549 VIEW struct.bd
    1550 GRAPHIC 12667,0 745 0
    1551 DESIGN @f@a@d_main
    1552 VIEW struct.bd
    1553 GRAPHIC 12649,0 746 0
    1554 DESIGN @f@a@d_main
    1555 VIEW struct.bd
    1556 GRAPHIC 12655,0 747 0
    1557 DESIGN @f@a@d_main
    1558 VIEW struct.bd
    1559 GRAPHIC 1606,0 749 0
    1560 DESIGN @f@a@d_main
    1561 VIEW struct.bd
    1562 GRAPHIC 1613,0 750 1
    1563 DESIGN @f@a@d_main
    1564 VIEW struct.bd
    1565 GRAPHIC 3888,0 754 0
    1566 DESIGN @f@a@d_main
    1567 VIEW struct.bd
    1568 GRAPHIC 376,0 755 0
    1569 DESIGN @f@a@d_main
    1570 VIEW struct.bd
    1571 GRAPHIC 384,0 756 0
    1572 DESIGN @f@a@d_main
    1573 VIEW struct.bd
    1574 GRAPHIC 392,0 757 0
    1575 DESIGN @f@a@d_main
    1576 VIEW struct.bd
    1577 GRAPHIC 400,0 758 0
    1578 DESIGN @f@a@d_main
    1579 VIEW struct.bd
    1580 GRAPHIC 408,0 759 0
    1581 DESIGN @f@a@d_main
    1582 VIEW struct.bd
    1583 GRAPHIC 5222,0 760 0
    1584 DESIGN @f@a@d_main
    1585 VIEW struct.bd
    1586 GRAPHIC 424,0 761 0
    1587 DESIGN @f@a@d_main
    1588 VIEW struct.bd
    1589 GRAPHIC 432,0 762 0
    1590 DESIGN @f@a@d_main
    1591 VIEW struct.bd
    1592 GRAPHIC 2482,0 763 0
    1593 DESIGN @f@a@d_main
    1594 VIEW struct.bd
    1595 GRAPHIC 2488,0 764 0
    1596 DESIGN @f@a@d_main
    1597 VIEW struct.bd
    1598 GRAPHIC 370,0 765 0
    1599 DESIGN @f@a@d_main
    1600 VIEW struct.bd
    1601 GRAPHIC 364,0 766 0
    1602 DESIGN @f@a@d_main
    1603 VIEW struct.bd
    1604 GRAPHIC 2476,0 767 0
    1605 DESIGN @f@a@d_main
    1606 VIEW struct.bd
    1607 GRAPHIC 8416,0 768 0
    1608 DESIGN @f@a@d_main
    1609 VIEW struct.bd
    1610 GRAPHIC 2470,0 769 0
    1611 DESIGN @f@a@d_main
    1612 VIEW struct.bd
    1613 GRAPHIC 2506,0 770 0
    1614 DESIGN @f@a@d_main
    1615 VIEW struct.bd
    1616 GRAPHIC 2500,0 771 0
    1617 DESIGN @f@a@d_main
    1618 VIEW struct.bd
    1619 GRAPHIC 2494,0 772 0
    1620 DESIGN @f@a@d_main
    1621 VIEW struct.bd
    1622 GRAPHIC 10266,0 773 0
    1623 DESIGN @f@a@d_main
    1624 VIEW struct.bd
    1625 GRAPHIC 13159,0 774 0
    1626 DESIGN @f@a@d_main
    1627 VIEW struct.bd
    1628 GRAPHIC 13165,0 775 0
    1629 DESIGN @f@a@d_main
    1630 VIEW struct.bd
    1631 GRAPHIC 5950,0 776 0
    1632 DESIGN @f@a@d_main
    1633 VIEW struct.bd
    1634 GRAPHIC 5962,0 777 0
    1635 DESIGN @f@a@d_main
    1636 VIEW struct.bd
    1637 GRAPHIC 5090,0 778 0
    1638 DESIGN @f@a@d_main
    1639 VIEW struct.bd
    1640 GRAPHIC 5114,0 779 0
    1641 DESIGN @f@a@d_main
    1642 VIEW struct.bd
    1643 GRAPHIC 5122,0 780 0
    1644 DESIGN @f@a@d_main
    1645 VIEW struct.bd
    1646 GRAPHIC 5130,0 781 0
    1647 DESIGN @f@a@d_main
    1648 VIEW struct.bd
    1649 GRAPHIC 10194,0 782 0
    1650 DESIGN @f@a@d_main
    1651 VIEW struct.bd
    1652 GRAPHIC 10202,0 783 0
    1653 DESIGN @f@a@d_main
    1654 VIEW struct.bd
    1655 GRAPHIC 5106,0 784 0
    1656 DESIGN @f@a@d_main
    1657 VIEW struct.bd
    1658 GRAPHIC 6362,0 785 0
    1659 DESIGN @f@a@d_main
    1660 VIEW struct.bd
    1661 GRAPHIC 6452,0 786 0
    1662 DESIGN @f@a@d_main
    1663 VIEW struct.bd
    1664 GRAPHIC 8752,0 787 0
    1665 DESIGN @f@a@d_main
    1666 VIEW struct.bd
    1667 GRAPHIC 9233,0 788 0
    1668 DESIGN @f@a@d_main
    1669 VIEW struct.bd
    1670 GRAPHIC 9241,0 789 0
    1671 DESIGN @f@a@d_main
    1672 VIEW struct.bd
    1673 GRAPHIC 9943,0 790 0
    1674 DESIGN @f@a@d_main
    1675 VIEW struct.bd
    1676 GRAPHIC 9951,0 791 0
    1677 DESIGN @f@a@d_main
    1678 VIEW struct.bd
    1679 GRAPHIC 11858,0 792 0
    1680 DESIGN @f@a@d_main
    1681 VIEW struct.bd
    1682 GRAPHIC 10637,0 793 0
    1683 DESIGN @f@a@d_main
    1684 VIEW struct.bd
    1685 GRAPHIC 10629,0 794 0
    1686 DESIGN @f@a@d_main
    1687 VIEW struct.bd
    1688 GRAPHIC 6276,0 798 0
    1689 DESIGN @f@a@d_main
    1690 VIEW struct.bd
    1691 GRAPHIC 3888,0 799 0
    1692 DESIGN @f@a@d_main
    1693 VIEW struct.bd
    1694 NO_GRAPHIC 801
     980NO_GRAPHIC 485
     981DESIGN @f@a@d_main
     982VIEW struct.bd
     983GRAPHIC 5678,0 488 0
     984DESIGN @f@a@d_main
     985VIEW struct.bd
     986GRAPHIC 9175,0 489 0
     987DESIGN @f@a@d_main
     988VIEW struct.bd
     989GRAPHIC 13117,0 490 0
     990DESIGN @f@a@d_main
     991VIEW struct.bd
     992GRAPHIC 5072,0 491 0
     993DESIGN @f@a@d_main
     994VIEW struct.bd
     995GRAPHIC 8277,0 492 0
     996DESIGN @f@a@d_main
     997VIEW struct.bd
     998GRAPHIC 1399,0 493 0
     999DESIGN @f@a@d_main
     1000VIEW struct.bd
     1001GRAPHIC 4903,0 494 0
     1002DESIGN @f@a@d_main
     1003VIEW struct.bd
     1004GRAPHIC 11209,0 495 0
     1005DESIGN @f@a@d_main
     1006VIEW struct.bd
     1007GRAPHIC 2311,0 496 0
     1008DESIGN @f@a@d_main
     1009VIEW struct.bd
     1010GRAPHIC 5793,0 497 0
     1011DESIGN @f@a@d_main
     1012VIEW struct.bd
     1013GRAPHIC 1768,0 498 0
     1014DESIGN @f@a@d_main
     1015VIEW struct.bd
     1016GRAPHIC 12625,0 499 0
     1017DESIGN @f@a@d_main
     1018VIEW struct.bd
     1019GRAPHIC 1606,0 500 0
     1020DESIGN @f@a@d_main
     1021VIEW struct.bd
     1022NO_GRAPHIC 503
     1023DESIGN @f@a@d_main
     1024VIEW struct.bd
     1025GRAPHIC 6529,0 505 0
     1026DESIGN @f@a@d_main
     1027VIEW struct.bd
     1028GRAPHIC 9957,0 508 0
     1029DESIGN @f@a@d_main
     1030VIEW struct.bd
     1031GRAPHIC 8721,0 511 0
     1032DESIGN @f@a@d_main
     1033VIEW struct.bd
     1034GRAPHIC 12295,0 514 0
     1035DESIGN @f@a@d_main
     1036VIEW struct.bd
     1037GRAPHIC 9472,0 517 0
     1038DESIGN @f@a@d_main
     1039VIEW struct.bd
     1040GRAPHIC 9662,0 520 0
     1041DESIGN @f@a@d_main
     1042VIEW struct.bd
     1043GRAPHIC 9679,0 523 0
     1044DESIGN @f@a@d_main
     1045VIEW struct.bd
     1046GRAPHIC 9710,0 526 0
     1047DESIGN @f@a@d_main
     1048VIEW struct.bd
     1049GRAPHIC 8562,0 529 0
     1050DESIGN @f@a@d_main
     1051VIEW struct.bd
     1052GRAPHIC 10380,0 540 0
     1053DESIGN @f@a@d_main
     1054VIEW struct.bd
     1055GRAPHIC 13266,0 543 0
     1056DESIGN @f@a@d_main
     1057VIEW struct.bd
     1058NO_GRAPHIC 546
     1059DESIGN @f@a@d_main
     1060VIEW struct.bd
     1061GRAPHIC 5678,0 548 0
     1062DESIGN @f@a@d_main
     1063VIEW struct.bd
     1064GRAPHIC 5646,0 550 0
     1065DESIGN @f@a@d_main
     1066VIEW struct.bd
     1067GRAPHIC 4272,0 551 0
     1068DESIGN @f@a@d_main
     1069VIEW struct.bd
     1070GRAPHIC 2786,0 552 0
     1071DESIGN @f@a@d_main
     1072VIEW struct.bd
     1073GRAPHIC 5626,0 553 0
     1074DESIGN @f@a@d_main
     1075VIEW struct.bd
     1076GRAPHIC 5634,0 554 0
     1077DESIGN @f@a@d_main
     1078VIEW struct.bd
     1079GRAPHIC 9175,0 556 0
     1080DESIGN @f@a@d_main
     1081VIEW struct.bd
     1082GRAPHIC 4042,0 558 0
     1083DESIGN @f@a@d_main
     1084VIEW struct.bd
     1085GRAPHIC 10036,0 559 0
     1086DESIGN @f@a@d_main
     1087VIEW struct.bd
     1088GRAPHIC 9253,0 560 0
     1089DESIGN @f@a@d_main
     1090VIEW struct.bd
     1091GRAPHIC 9261,0 561 0
     1092DESIGN @f@a@d_main
     1093VIEW struct.bd
     1094GRAPHIC 6072,0 562 0
     1095DESIGN @f@a@d_main
     1096VIEW struct.bd
     1097GRAPHIC 3984,0 563 0
     1098DESIGN @f@a@d_main
     1099VIEW struct.bd
     1100GRAPHIC 3888,0 564 0
     1101DESIGN @f@a@d_main
     1102VIEW struct.bd
     1103GRAPHIC 9353,0 565 0
     1104DESIGN @f@a@d_main
     1105VIEW struct.bd
     1106GRAPHIC 9269,0 566 0
     1107DESIGN @f@a@d_main
     1108VIEW struct.bd
     1109GRAPHIC 9325,0 567 0
     1110DESIGN @f@a@d_main
     1111VIEW struct.bd
     1112GRAPHIC 9283,0 568 0
     1113DESIGN @f@a@d_main
     1114VIEW struct.bd
     1115GRAPHIC 9297,0 569 0
     1116DESIGN @f@a@d_main
     1117VIEW struct.bd
     1118GRAPHIC 9367,0 570 0
     1119DESIGN @f@a@d_main
     1120VIEW struct.bd
     1121GRAPHIC 9397,0 571 0
     1122DESIGN @f@a@d_main
     1123VIEW struct.bd
     1124GRAPHIC 9382,0 572 0
     1125DESIGN @f@a@d_main
     1126VIEW struct.bd
     1127GRAPHIC 13117,0 574 0
     1128DESIGN @f@a@d_main
     1129VIEW struct.bd
     1130GRAPHIC 13124,0 575 1
     1131DESIGN @f@a@d_main
     1132VIEW struct.bd
     1133GRAPHIC 13143,0 579 0
     1134DESIGN @f@a@d_main
     1135VIEW struct.bd
     1136GRAPHIC 13159,0 580 0
     1137DESIGN @f@a@d_main
     1138VIEW struct.bd
     1139GRAPHIC 13165,0 581 0
     1140DESIGN @f@a@d_main
     1141VIEW struct.bd
     1142GRAPHIC 13210,0 582 0
     1143DESIGN @f@a@d_main
     1144VIEW struct.bd
     1145GRAPHIC 5072,0 584 0
     1146DESIGN @f@a@d_main
     1147VIEW struct.bd
     1148GRAPHIC 5582,0 586 0
     1149DESIGN @f@a@d_main
     1150VIEW struct.bd
     1151GRAPHIC 5090,0 587 0
     1152DESIGN @f@a@d_main
     1153VIEW struct.bd
     1154GRAPHIC 5130,0 588 0
     1155DESIGN @f@a@d_main
     1156VIEW struct.bd
     1157GRAPHIC 5184,0 589 0
     1158DESIGN @f@a@d_main
     1159VIEW struct.bd
     1160GRAPHIC 5122,0 590 0
     1161DESIGN @f@a@d_main
     1162VIEW struct.bd
     1163GRAPHIC 5106,0 591 0
     1164DESIGN @f@a@d_main
     1165VIEW struct.bd
     1166GRAPHIC 5098,0 592 0
     1167DESIGN @f@a@d_main
     1168VIEW struct.bd
     1169GRAPHIC 5190,0 593 0
     1170DESIGN @f@a@d_main
     1171VIEW struct.bd
     1172GRAPHIC 10194,0 594 0
     1173DESIGN @f@a@d_main
     1174VIEW struct.bd
     1175GRAPHIC 10202,0 595 0
     1176DESIGN @f@a@d_main
     1177VIEW struct.bd
     1178GRAPHIC 6002,0 596 0
     1179DESIGN @f@a@d_main
     1180VIEW struct.bd
     1181GRAPHIC 5146,0 597 0
     1182DESIGN @f@a@d_main
     1183VIEW struct.bd
     1184GRAPHIC 5138,0 598 0
     1185DESIGN @f@a@d_main
     1186VIEW struct.bd
     1187GRAPHIC 5114,0 599 0
     1188DESIGN @f@a@d_main
     1189VIEW struct.bd
     1190GRAPHIC 8277,0 601 0
     1191DESIGN @f@a@d_main
     1192VIEW struct.bd
     1193GRAPHIC 5602,0 603 0
     1194DESIGN @f@a@d_main
     1195VIEW struct.bd
     1196GRAPHIC 334,0 604 0
     1197DESIGN @f@a@d_main
     1198VIEW struct.bd
     1199GRAPHIC 328,0 605 0
     1200DESIGN @f@a@d_main
     1201VIEW struct.bd
     1202GRAPHIC 322,0 606 0
     1203DESIGN @f@a@d_main
     1204VIEW struct.bd
     1205GRAPHIC 4240,0 607 0
     1206DESIGN @f@a@d_main
     1207VIEW struct.bd
     1208GRAPHIC 364,0 608 0
     1209DESIGN @f@a@d_main
     1210VIEW struct.bd
     1211GRAPHIC 370,0 609 0
     1212DESIGN @f@a@d_main
     1213VIEW struct.bd
     1214GRAPHIC 1399,0 611 0
     1215DESIGN @f@a@d_main
     1216VIEW struct.bd
     1217GRAPHIC 1406,0 612 1
     1218DESIGN @f@a@d_main
     1219VIEW struct.bd
     1220GRAPHIC 5602,0 616 0
     1221DESIGN @f@a@d_main
     1222VIEW struct.bd
     1223GRAPHIC 334,0 617 0
     1224DESIGN @f@a@d_main
     1225VIEW struct.bd
     1226GRAPHIC 328,0 618 0
     1227DESIGN @f@a@d_main
     1228VIEW struct.bd
     1229GRAPHIC 322,0 619 0
     1230DESIGN @f@a@d_main
     1231VIEW struct.bd
     1232GRAPHIC 2299,0 620 0
     1233DESIGN @f@a@d_main
     1234VIEW struct.bd
     1235GRAPHIC 2576,0 621 0
     1236DESIGN @f@a@d_main
     1237VIEW struct.bd
     1238GRAPHIC 2582,0 622 0
     1239DESIGN @f@a@d_main
     1240VIEW struct.bd
     1241GRAPHIC 10467,0 623 0
     1242DESIGN @f@a@d_main
     1243VIEW struct.bd
     1244GRAPHIC 2588,0 624 0
     1245DESIGN @f@a@d_main
     1246VIEW struct.bd
     1247GRAPHIC 5184,0 625 0
     1248DESIGN @f@a@d_main
     1249VIEW struct.bd
     1250GRAPHIC 5745,0 626 0
     1251DESIGN @f@a@d_main
     1252VIEW struct.bd
     1253GRAPHIC 2594,0 627 0
     1254DESIGN @f@a@d_main
     1255VIEW struct.bd
     1256GRAPHIC 5190,0 628 0
     1257DESIGN @f@a@d_main
     1258VIEW struct.bd
     1259GRAPHIC 5404,0 629 0
     1260DESIGN @f@a@d_main
     1261VIEW struct.bd
     1262GRAPHIC 6018,0 630 0
     1263DESIGN @f@a@d_main
     1264VIEW struct.bd
     1265GRAPHIC 6002,0 631 0
     1266DESIGN @f@a@d_main
     1267VIEW struct.bd
     1268GRAPHIC 6008,0 632 0
     1269DESIGN @f@a@d_main
     1270VIEW struct.bd
     1271GRAPHIC 5138,0 633 0
     1272DESIGN @f@a@d_main
     1273VIEW struct.bd
     1274GRAPHIC 2600,0 634 0
     1275DESIGN @f@a@d_main
     1276VIEW struct.bd
     1277GRAPHIC 5480,0 635 0
     1278DESIGN @f@a@d_main
     1279VIEW struct.bd
     1280GRAPHIC 5474,0 636 0
     1281DESIGN @f@a@d_main
     1282VIEW struct.bd
     1283GRAPHIC 6064,0 637 0
     1284DESIGN @f@a@d_main
     1285VIEW struct.bd
     1286GRAPHIC 2642,0 638 0
     1287DESIGN @f@a@d_main
     1288VIEW struct.bd
     1289GRAPHIC 1411,0 639 0
     1290DESIGN @f@a@d_main
     1291VIEW struct.bd
     1292GRAPHIC 1682,0 640 0
     1293DESIGN @f@a@d_main
     1294VIEW struct.bd
     1295GRAPHIC 1983,0 641 0
     1296DESIGN @f@a@d_main
     1297VIEW struct.bd
     1298GRAPHIC 10439,0 642 0
     1299DESIGN @f@a@d_main
     1300VIEW struct.bd
     1301GRAPHIC 5950,0 643 0
     1302DESIGN @f@a@d_main
     1303VIEW struct.bd
     1304GRAPHIC 5962,0 644 0
     1305DESIGN @f@a@d_main
     1306VIEW struct.bd
     1307GRAPHIC 5626,0 645 0
     1308DESIGN @f@a@d_main
     1309VIEW struct.bd
     1310GRAPHIC 2778,0 646 0
     1311DESIGN @f@a@d_main
     1312VIEW struct.bd
     1313GRAPHIC 9006,0 647 0
     1314DESIGN @f@a@d_main
     1315VIEW struct.bd
     1316GRAPHIC 5634,0 648 0
     1317DESIGN @f@a@d_main
     1318VIEW struct.bd
     1319GRAPHIC 8577,0 649 0
     1320DESIGN @f@a@d_main
     1321VIEW struct.bd
     1322GRAPHIC 12649,0 650 0
     1323DESIGN @f@a@d_main
     1324VIEW struct.bd
     1325GRAPHIC 12655,0 651 0
     1326DESIGN @f@a@d_main
     1327VIEW struct.bd
     1328GRAPHIC 4401,0 652 0
     1329DESIGN @f@a@d_main
     1330VIEW struct.bd
     1331GRAPHIC 4419,0 653 0
     1332DESIGN @f@a@d_main
     1333VIEW struct.bd
     1334GRAPHIC 10298,0 654 0
     1335DESIGN @f@a@d_main
     1336VIEW struct.bd
     1337GRAPHIC 10304,0 655 0
     1338DESIGN @f@a@d_main
     1339VIEW struct.bd
     1340GRAPHIC 10316,0 656 0
     1341DESIGN @f@a@d_main
     1342VIEW struct.bd
     1343GRAPHIC 10310,0 657 0
     1344DESIGN @f@a@d_main
     1345VIEW struct.bd
     1346GRAPHIC 4743,0 658 0
     1347DESIGN @f@a@d_main
     1348VIEW struct.bd
     1349GRAPHIC 4407,0 659 0
     1350DESIGN @f@a@d_main
     1351VIEW struct.bd
     1352GRAPHIC 11405,0 660 0
     1353DESIGN @f@a@d_main
     1354VIEW struct.bd
     1355GRAPHIC 4903,0 662 0
     1356DESIGN @f@a@d_main
     1357VIEW struct.bd
     1358GRAPHIC 4757,0 664 0
     1359DESIGN @f@a@d_main
     1360VIEW struct.bd
     1361GRAPHIC 4401,0 665 0
     1362DESIGN @f@a@d_main
     1363VIEW struct.bd
     1364GRAPHIC 4419,0 666 0
     1365DESIGN @f@a@d_main
     1366VIEW struct.bd
     1367GRAPHIC 4671,0 667 0
     1368DESIGN @f@a@d_main
     1369VIEW struct.bd
     1370GRAPHIC 4679,0 668 0
     1371DESIGN @f@a@d_main
     1372VIEW struct.bd
     1373GRAPHIC 4687,0 669 0
     1374DESIGN @f@a@d_main
     1375VIEW struct.bd
     1376GRAPHIC 4695,0 670 0
     1377DESIGN @f@a@d_main
     1378VIEW struct.bd
     1379GRAPHIC 4407,0 671 0
     1380DESIGN @f@a@d_main
     1381VIEW struct.bd
     1382GRAPHIC 4743,0 672 0
     1383DESIGN @f@a@d_main
     1384VIEW struct.bd
     1385GRAPHIC 10298,0 673 0
     1386DESIGN @f@a@d_main
     1387VIEW struct.bd
     1388GRAPHIC 10310,0 674 0
     1389DESIGN @f@a@d_main
     1390VIEW struct.bd
     1391GRAPHIC 10304,0 675 0
     1392DESIGN @f@a@d_main
     1393VIEW struct.bd
     1394GRAPHIC 10316,0 676 0
     1395DESIGN @f@a@d_main
     1396VIEW struct.bd
     1397GRAPHIC 10322,0 677 0
     1398DESIGN @f@a@d_main
     1399VIEW struct.bd
     1400GRAPHIC 4948,0 678 0
     1401DESIGN @f@a@d_main
     1402VIEW struct.bd
     1403GRAPHIC 10010,0 679 0
     1404DESIGN @f@a@d_main
     1405VIEW struct.bd
     1406GRAPHIC 11209,0 681 0
     1407DESIGN @f@a@d_main
     1408VIEW struct.bd
     1409GRAPHIC 11216,0 682 1
     1410DESIGN @f@a@d_main
     1411VIEW struct.bd
     1412GRAPHIC 10699,0 688 0
     1413DESIGN @f@a@d_main
     1414VIEW struct.bd
     1415GRAPHIC 10723,0 689 0
     1416DESIGN @f@a@d_main
     1417VIEW struct.bd
     1418GRAPHIC 10737,0 690 0
     1419DESIGN @f@a@d_main
     1420VIEW struct.bd
     1421GRAPHIC 10751,0 691 0
     1422DESIGN @f@a@d_main
     1423VIEW struct.bd
     1424GRAPHIC 12707,0 692 0
     1425DESIGN @f@a@d_main
     1426VIEW struct.bd
     1427GRAPHIC 10707,0 693 0
     1428DESIGN @f@a@d_main
     1429VIEW struct.bd
     1430GRAPHIC 10685,0 694 0
     1431DESIGN @f@a@d_main
     1432VIEW struct.bd
     1433GRAPHIC 10691,0 695 0
     1434DESIGN @f@a@d_main
     1435VIEW struct.bd
     1436GRAPHIC 2311,0 697 0
     1437DESIGN @f@a@d_main
     1438VIEW struct.bd
     1439GRAPHIC 2318,0 698 1
     1440DESIGN @f@a@d_main
     1441VIEW struct.bd
     1442GRAPHIC 6082,0 703 0
     1443DESIGN @f@a@d_main
     1444VIEW struct.bd
     1445GRAPHIC 2588,0 704 0
     1446DESIGN @f@a@d_main
     1447VIEW struct.bd
     1448GRAPHIC 2582,0 705 0
     1449DESIGN @f@a@d_main
     1450VIEW struct.bd
     1451GRAPHIC 10467,0 706 0
     1452DESIGN @f@a@d_main
     1453VIEW struct.bd
     1454GRAPHIC 5168,0 707 0
     1455DESIGN @f@a@d_main
     1456VIEW struct.bd
     1457GRAPHIC 2576,0 708 0
     1458DESIGN @f@a@d_main
     1459VIEW struct.bd
     1460GRAPHIC 2594,0 709 0
     1461DESIGN @f@a@d_main
     1462VIEW struct.bd
     1463GRAPHIC 6018,0 710 0
     1464DESIGN @f@a@d_main
     1465VIEW struct.bd
     1466GRAPHIC 2600,0 711 0
     1467DESIGN @f@a@d_main
     1468VIEW struct.bd
     1469GRAPHIC 2642,0 712 0
     1470DESIGN @f@a@d_main
     1471VIEW struct.bd
     1472GRAPHIC 2488,0 713 0
     1473DESIGN @f@a@d_main
     1474VIEW struct.bd
     1475GRAPHIC 2482,0 714 0
     1476DESIGN @f@a@d_main
     1477VIEW struct.bd
     1478GRAPHIC 2494,0 715 0
     1479DESIGN @f@a@d_main
     1480VIEW struct.bd
     1481GRAPHIC 2476,0 716 0
     1482DESIGN @f@a@d_main
     1483VIEW struct.bd
     1484GRAPHIC 2506,0 717 0
     1485DESIGN @f@a@d_main
     1486VIEW struct.bd
     1487GRAPHIC 2500,0 718 0
     1488DESIGN @f@a@d_main
     1489VIEW struct.bd
     1490GRAPHIC 2470,0 719 0
     1491DESIGN @f@a@d_main
     1492VIEW struct.bd
     1493GRAPHIC 8416,0 720 0
     1494DESIGN @f@a@d_main
     1495VIEW struct.bd
     1496GRAPHIC 2299,0 721 0
     1497DESIGN @f@a@d_main
     1498VIEW struct.bd
     1499GRAPHIC 5793,0 723 0
     1500DESIGN @f@a@d_main
     1501VIEW struct.bd
     1502GRAPHIC 5805,0 725 0
     1503DESIGN @f@a@d_main
     1504VIEW struct.bd
     1505GRAPHIC 5745,0 726 0
     1506DESIGN @f@a@d_main
     1507VIEW struct.bd
     1508GRAPHIC 5146,0 727 0
     1509DESIGN @f@a@d_main
     1510VIEW struct.bd
     1511GRAPHIC 5404,0 728 0
     1512DESIGN @f@a@d_main
     1513VIEW struct.bd
     1514GRAPHIC 6008,0 729 0
     1515DESIGN @f@a@d_main
     1516VIEW struct.bd
     1517GRAPHIC 5829,0 730 0
     1518DESIGN @f@a@d_main
     1519VIEW struct.bd
     1520GRAPHIC 6160,0 731 0
     1521DESIGN @f@a@d_main
     1522VIEW struct.bd
     1523GRAPHIC 8732,0 732 0
     1524DESIGN @f@a@d_main
     1525VIEW struct.bd
     1526GRAPHIC 5480,0 733 0
     1527DESIGN @f@a@d_main
     1528VIEW struct.bd
     1529GRAPHIC 5837,0 734 0
     1530DESIGN @f@a@d_main
     1531VIEW struct.bd
     1532GRAPHIC 5474,0 735 0
     1533DESIGN @f@a@d_main
     1534VIEW struct.bd
     1535GRAPHIC 5821,0 736 0
     1536DESIGN @f@a@d_main
     1537VIEW struct.bd
     1538GRAPHIC 1768,0 738 0
     1539DESIGN @f@a@d_main
     1540VIEW struct.bd
     1541GRAPHIC 1983,0 740 0
     1542DESIGN @f@a@d_main
     1543VIEW struct.bd
     1544GRAPHIC 10439,0 741 0
     1545DESIGN @f@a@d_main
     1546VIEW struct.bd
     1547GRAPHIC 6276,0 742 0
     1548DESIGN @f@a@d_main
     1549VIEW struct.bd
     1550GRAPHIC 12625,0 744 0
     1551DESIGN @f@a@d_main
     1552VIEW struct.bd
     1553GRAPHIC 12687,0 746 0
     1554DESIGN @f@a@d_main
     1555VIEW struct.bd
     1556GRAPHIC 12643,0 747 0
     1557DESIGN @f@a@d_main
     1558VIEW struct.bd
     1559GRAPHIC 12635,0 748 0
     1560DESIGN @f@a@d_main
     1561VIEW struct.bd
     1562GRAPHIC 12667,0 749 0
     1563DESIGN @f@a@d_main
     1564VIEW struct.bd
     1565GRAPHIC 12649,0 750 0
     1566DESIGN @f@a@d_main
     1567VIEW struct.bd
     1568GRAPHIC 12655,0 751 0
     1569DESIGN @f@a@d_main
     1570VIEW struct.bd
     1571GRAPHIC 1606,0 753 0
     1572DESIGN @f@a@d_main
     1573VIEW struct.bd
     1574GRAPHIC 1613,0 754 1
     1575DESIGN @f@a@d_main
     1576VIEW struct.bd
     1577GRAPHIC 3888,0 758 0
     1578DESIGN @f@a@d_main
     1579VIEW struct.bd
     1580GRAPHIC 376,0 759 0
     1581DESIGN @f@a@d_main
     1582VIEW struct.bd
     1583GRAPHIC 384,0 760 0
     1584DESIGN @f@a@d_main
     1585VIEW struct.bd
     1586GRAPHIC 392,0 761 0
     1587DESIGN @f@a@d_main
     1588VIEW struct.bd
     1589GRAPHIC 400,0 762 0
     1590DESIGN @f@a@d_main
     1591VIEW struct.bd
     1592GRAPHIC 408,0 763 0
     1593DESIGN @f@a@d_main
     1594VIEW struct.bd
     1595GRAPHIC 5222,0 764 0
     1596DESIGN @f@a@d_main
     1597VIEW struct.bd
     1598GRAPHIC 424,0 765 0
     1599DESIGN @f@a@d_main
     1600VIEW struct.bd
     1601GRAPHIC 432,0 766 0
     1602DESIGN @f@a@d_main
     1603VIEW struct.bd
     1604GRAPHIC 2482,0 767 0
     1605DESIGN @f@a@d_main
     1606VIEW struct.bd
     1607GRAPHIC 2488,0 768 0
     1608DESIGN @f@a@d_main
     1609VIEW struct.bd
     1610GRAPHIC 370,0 769 0
     1611DESIGN @f@a@d_main
     1612VIEW struct.bd
     1613GRAPHIC 364,0 770 0
     1614DESIGN @f@a@d_main
     1615VIEW struct.bd
     1616GRAPHIC 2476,0 771 0
     1617DESIGN @f@a@d_main
     1618VIEW struct.bd
     1619GRAPHIC 8416,0 772 0
     1620DESIGN @f@a@d_main
     1621VIEW struct.bd
     1622GRAPHIC 2470,0 773 0
     1623DESIGN @f@a@d_main
     1624VIEW struct.bd
     1625GRAPHIC 2506,0 774 0
     1626DESIGN @f@a@d_main
     1627VIEW struct.bd
     1628GRAPHIC 2500,0 775 0
     1629DESIGN @f@a@d_main
     1630VIEW struct.bd
     1631GRAPHIC 2494,0 776 0
     1632DESIGN @f@a@d_main
     1633VIEW struct.bd
     1634GRAPHIC 10266,0 777 0
     1635DESIGN @f@a@d_main
     1636VIEW struct.bd
     1637GRAPHIC 13159,0 778 0
     1638DESIGN @f@a@d_main
     1639VIEW struct.bd
     1640GRAPHIC 13165,0 779 0
     1641DESIGN @f@a@d_main
     1642VIEW struct.bd
     1643GRAPHIC 5950,0 780 0
     1644DESIGN @f@a@d_main
     1645VIEW struct.bd
     1646GRAPHIC 5962,0 781 0
     1647DESIGN @f@a@d_main
     1648VIEW struct.bd
     1649GRAPHIC 5090,0 782 0
     1650DESIGN @f@a@d_main
     1651VIEW struct.bd
     1652GRAPHIC 5114,0 783 0
     1653DESIGN @f@a@d_main
     1654VIEW struct.bd
     1655GRAPHIC 5122,0 784 0
     1656DESIGN @f@a@d_main
     1657VIEW struct.bd
     1658GRAPHIC 5130,0 785 0
     1659DESIGN @f@a@d_main
     1660VIEW struct.bd
     1661GRAPHIC 10194,0 786 0
     1662DESIGN @f@a@d_main
     1663VIEW struct.bd
     1664GRAPHIC 10202,0 787 0
     1665DESIGN @f@a@d_main
     1666VIEW struct.bd
     1667GRAPHIC 5106,0 788 0
     1668DESIGN @f@a@d_main
     1669VIEW struct.bd
     1670GRAPHIC 13695,0 789 0
     1671DESIGN @f@a@d_main
     1672VIEW struct.bd
     1673GRAPHIC 13921,0 790 0
     1674DESIGN @f@a@d_main
     1675VIEW struct.bd
     1676GRAPHIC 13929,0 791 0
     1677DESIGN @f@a@d_main
     1678VIEW struct.bd
     1679GRAPHIC 6362,0 792 0
     1680DESIGN @f@a@d_main
     1681VIEW struct.bd
     1682GRAPHIC 6452,0 793 0
     1683DESIGN @f@a@d_main
     1684VIEW struct.bd
     1685GRAPHIC 8752,0 794 0
     1686DESIGN @f@a@d_main
     1687VIEW struct.bd
     1688GRAPHIC 9233,0 795 0
     1689DESIGN @f@a@d_main
     1690VIEW struct.bd
     1691GRAPHIC 9241,0 796 0
     1692DESIGN @f@a@d_main
     1693VIEW struct.bd
     1694GRAPHIC 9943,0 797 0
     1695DESIGN @f@a@d_main
     1696VIEW struct.bd
     1697GRAPHIC 9951,0 798 0
     1698DESIGN @f@a@d_main
     1699VIEW struct.bd
     1700GRAPHIC 11858,0 799 0
     1701DESIGN @f@a@d_main
     1702VIEW struct.bd
     1703GRAPHIC 10637,0 800 0
     1704DESIGN @f@a@d_main
     1705VIEW struct.bd
     1706GRAPHIC 10629,0 801 0
     1707DESIGN @f@a@d_main
     1708VIEW struct.bd
     1709GRAPHIC 6276,0 805 0
     1710DESIGN @f@a@d_main
     1711VIEW struct.bd
     1712GRAPHIC 3888,0 806 0
     1713DESIGN @f@a@d_main
     1714VIEW struct.bd
     1715NO_GRAPHIC 808
  • firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/struct.bd

    r10121 r10123  
    9191number "9"
    9292)
     93(EmbeddedInstance
     94name "eb1"
     95number "7"
     96)
    9397]
    9498libraryRefs [
     
    105109(vvPair
    106110variable "HDLDir"
    107 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     111value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    108112)
    109113(vvPair
    110114variable "HDSDir"
    111 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     115value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    112116)
    113117(vvPair
    114118variable "SideDataDesignDir"
    115 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
     119value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.info"
    116120)
    117121(vvPair
    118122variable "SideDataUserDir"
    119 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
     123value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd.user"
    120124)
    121125(vvPair
    122126variable "SourceDir"
    123 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     127value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    124128)
    125129(vvPair
     
    137141(vvPair
    138142variable "d"
    139 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
     143value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
    140144)
    141145(vvPair
    142146variable "d_logical"
    143 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
     147value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
    144148)
    145149(vvPair
    146150variable "date"
    147 value "26.01.2011"
     151value "27.01.2011"
    148152)
    149153(vvPair
    150154variable "day"
    151 value "Mi"
     155value "Do"
    152156)
    153157(vvPair
    154158variable "day_long"
    155 value "Mittwoch"
     159value "Donnerstag"
    156160)
    157161(vvPair
    158162variable "dd"
    159 value "26"
     163value "27"
    160164)
    161165(vvPair
     
    233237(vvPair
    234238variable "p"
    235 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
     239value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\struct.bd"
    236240)
    237241(vvPair
    238242variable "p_logical"
    239 value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
     243value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\struct.bd"
    240244)
    241245(vvPair
     
    293297(vvPair
    294298variable "time"
    295 value "11:50:58"
     299value "17:58:52"
    296300)
    297301(vvPair
     
    684688n "wiz_reset"
    685689t "std_logic"
    686 o 46
     690o 47
    687691suid 2,0
    688692i "'1'"
     
    723727b "(7 DOWNTO 0)"
    724728posAdd 0
    725 o 35
     729o 36
    726730suid 7,0
    727731i "(OTHERS => '0')"
     
    760764preAdd 0
    761765posAdd 0
    762 o 10
     766o 11
    763767suid 18,0
    764768)
     
    795799n "adc_oeb"
    796800t "std_logic"
    797 o 27
     801o 28
    798802suid 21,0
    799803i "'1'"
     
    833837preAdd 0
    834838posAdd 0
    835 o 8
     839o 9
    836840suid 24,0
    837841)
     
    868872t "std_logic_vector"
    869873b "(1 downto 0)"
    870 o 9
     874o 10
    871875suid 25,0
    872876)
     
    905909t "std_logic_vector"
    906910b "(9 DOWNTO 0)"
    907 o 43
     911o 44
    908912suid 26,0
    909913)
     
    941945n "wiz_cs"
    942946t "std_logic"
    943 o 44
     947o 45
    944948suid 28,0
    945949i "'1'"
     
    979983t "std_logic_vector"
    980984b "(15 DOWNTO 0)"
    981 o 49
     985o 50
    982986suid 27,0
    983987)
     
    10141018n "wiz_int"
    10151019t "std_logic"
    1016 o 11
     1020o 12
    10171021suid 31,0
    10181022)
     
    10501054n "wiz_rd"
    10511055t "std_logic"
    1052 o 45
     1056o 46
    10531057suid 30,0
    10541058i "'1'"
     
    10871091n "wiz_wr"
    10881092t "std_logic"
    1089 o 47
     1093o 48
    10901094suid 29,0
    10911095i "'1'"
     
    11231127n "CLK_25_PS"
    11241128t "std_logic"
    1125 o 15
     1129o 16
    11261130suid 35,0
    11271131)
     
    11581162n "CLK_50"
    11591163t "std_logic"
    1160 o 16
     1164o 17
    11611165suid 37,0
    11621166)
     
    12261230n "adc_data_array"
    12271231t "adc_data_array_type"
    1228 o 6
     1232o 7
    12291233suid 39,0
    12301234)
     
    12611265t "std_logic_vector"
    12621266b "(3 DOWNTO 0)"
    1263 o 7
     1267o 8
    12641268suid 40,0
    12651269)
     
    12971301t "std_logic_vector"
    12981302b "(3 downto 0)"
    1299 o 32
     1303o 33
    13001304suid 48,0
    13011305i "(others => '0')"
     
    13331337n "drs_dwrite"
    13341338t "std_logic"
    1335 o 33
     1339o 34
    13361340suid 49,0
    13371341i "'1'"
     
    13681372n "SROUT_in_0"
    13691373t "std_logic"
    1370 o 2
     1374o 3
    13711375suid 42,0
    13721376)
     
    14021406n "SROUT_in_1"
    14031407t "std_logic"
    1404 o 3
     1408o 4
    14051409suid 43,0
    14061410)
     
    14361440n "SROUT_in_2"
    14371441t "std_logic"
    1438 o 4
     1442o 5
    14391443suid 44,0
    14401444)
     
    14701474n "SROUT_in_3"
    14711475t "std_logic"
    1472 o 5
     1476o 6
    14731477suid 45,0
    14741478)
     
    15051509n "RSRLOAD"
    15061510t "std_logic"
    1507 o 23
     1511o 24
    15081512suid 56,0
    15091513i "'0'"
     
    15411545n "SRCLK"
    15421546t "std_logic"
    1543 o 24
     1547o 25
    15441548suid 57,0
    15451549i "'0'"
     
    15781582n "dac_cs"
    15791583t "std_logic"
    1580 o 30
     1584o 31
    15811585suid 64,0
    15821586)
     
    16141618n "sclk"
    16151619t "std_logic"
    1616 o 40
     1620o 41
    16171621suid 62,0
    16181622)
     
    16511655t "std_logic_vector"
    16521656b "(3 DOWNTO 0)"
    1653 o 41
     1657o 42
    16541658suid 65,0
    16551659)
     
    16891693preAdd 0
    16901694posAdd 0
    1691 o 48
     1695o 49
    16921696suid 63,0
    16931697)
     
    17251729n "mosi"
    17261730t "std_logic"
    1727 o 36
     1731o 37
    17281732suid 66,0
    17291733i "'0'"
     
    17641768eolc "-- default domino wave off"
    17651769posAdd 0
    1766 o 31
     1770o 32
    17671771suid 67,0
    17681772i "'0'"
     
    18001804n "adc_clk_en"
    18011805t "std_logic"
    1802 o 26
     1806o 27
    18031807suid 69,0
    18041808i "'0'"
     
    18391843preAdd 0
    18401844posAdd 0
    1841 o 17
     1845o 18
    18421846suid 76,0
    18431847)
     
    18751879n "LOCKED_extraOUT"
    18761880t "std_logic"
    1877 o 18
     1881o 19
    18781882suid 70,0
    18791883)
     
    19141918preAdd 0
    19151919posAdd 0
    1916 o 37
     1920o 38
    19171921suid 77,0
    19181922i "(OTHERS => '0')"
     
    19511955n "PS_DIR_IN"
    19521956t "std_logic"
    1953 o 22
     1957o 23
    19541958suid 80,0
    19551959)
     
    19871991n "PSCLK_OUT"
    19881992t "std_logic"
    1989 o 19
     1993o 20
    19901994suid 74,0
    19911995)
     
    20232027n "PSDONE_extraOUT"
    20242028t "std_logic"
    2025 o 20
     2029o 21
    20262030suid 71,0
    20272031)
     
    20592063n "PSINCDEC_OUT"
    20602064t "std_logic"
    2061 o 21
     2065o 22
    20622066suid 72,0
    20632067)
     
    20972101preAdd 0
    20982102posAdd 0
    2099 o 38
     2103o 39
    21002104suid 79,0
    21012105i "'0'"
     
    21372141preAdd 0
    21382142posAdd 0
    2139 o 42
     2143o 43
    21402144suid 78,0
    21412145i "'0'"
     
    21742178n "CLK25_OUT"
    21752179t "std_logic"
    2176 o 12
     2180o 13
    21772181suid 83,0
    21782182)
     
    22102214n "CLK25_PSOUT"
    22112215t "std_logic"
    2212 o 13
     2216o 14
    22132217suid 84,0
    22142218)
     
    22462250n "CLK50_OUT"
    22472251t "std_logic"
    2248 o 14
     2252o 15
    22492253suid 82,0
    22502254)
     
    22822286n "SRIN_out"
    22832287t "std_logic"
    2284 o 25
     2288o 26
    22852289suid 85,0
    22862290i "'0'"
     
    23192323n "amber"
    23202324t "std_logic"
    2321 o 29
     2325o 30
    23222326suid 87,0
    23232327)
     
    23552359n "green"
    23562360t "std_logic"
    2357 o 34
     2361o 35
    23582362suid 86,0
    23592363)
     
    23912395n "red"
    23922396t "std_logic"
    2393 o 39
     2397o 40
    23942398suid 88,0
    23952399)
     
    24272431n "additional_flasher_out"
    24282432t "std_logic"
    2429 o 28
     2433o 29
    24302434suid 90,0
     2435)
     2436)
     2437)
     2438*62 (CptPort
     2439uid 14682,0
     2440ps "OnEdgeStrategy"
     2441shape (Triangle
     2442uid 14683,0
     2443ro 90
     2444va (VaSet
     2445vasetType 1
     2446fg "0,65535,0"
     2447)
     2448xt "51250,138625,52000,139375"
     2449)
     2450tg (CPTG
     2451uid 14684,0
     2452ps "CptPortTextPlaceStrategy"
     2453stg "VerticalLayoutStrategy"
     2454f (Text
     2455uid 14685,0
     2456va (VaSet
     2457)
     2458xt "53000,138500,58500,139500"
     2459st "D_T_in : (1:0)"
     2460blo "53000,139300"
     2461)
     2462)
     2463thePort (LogicalPort
     2464decl (Decl
     2465n "D_T_in"
     2466t "std_logic_vector"
     2467b "(1 DOWNTO 0)"
     2468o 2
     2469suid 91,0
    24312470)
    24322471)
     
    24492488stg "VerticalLayoutStrategy"
    24502489textVec [
    2451 *62 (Text
     2490*63 (Text
    24522491uid 172,0
    24532492va (VaSet
     
    24592498tm "BdLibraryNameMgr"
    24602499)
    2461 *63 (Text
     2500*64 (Text
    24622501uid 173,0
    24632502va (VaSet
     
    24692508tm "CptNameMgr"
    24702509)
    2471 *64 (Text
     2510*65 (Text
    24722511uid 174,0
    24732512va (VaSet
     
    25212560archFileType "UNKNOWN"
    25222561)
    2523 *65 (PortIoIn
     2562*66 (PortIoIn
    25242563uid 231,0
    25252564shape (CompositeShape
     
    25662605)
    25672606)
    2568 *66 (PortIoIn
     2607*67 (PortIoIn
    25692608uid 251,0
    25702609shape (CompositeShape
     
    26112650)
    26122651)
    2613 *67 (HdlText
     2652*68 (HdlText
    26142653uid 265,0
    26152654optionalChildren [
    2616 *68 (EmbeddedText
     2655*69 (EmbeddedText
    26172656uid 271,0
    26182657commentText (CommentText
     
    26342673va (VaSet
    26352674)
    2636 xt "32200,83200,39700,86200"
     2675xt "32200,83200,43700,86200"
    26372676st "
    26382677-- hard-wired IDs
    2639 board_id <= \"0101\";
    2640 crate_id <= \"01\";
     2678board_id <= LINE(5 downto 2);
     2679crate_id <= LINE(1 downto 0);
    26412680"
    26422681tm "HdlTextMgr"
     
    26642703stg "VerticalLayoutStrategy"
    26652704textVec [
    2666 *69 (Text
     2705*70 (Text
    26672706uid 268,0
    26682707va (VaSet
     
    26742713tm "HdlTextNameMgr"
    26752714)
    2676 *70 (Text
     2715*71 (Text
    26772716uid 269,0
    26782717va (VaSet
     
    27002739viewiconposition 0
    27012740)
    2702 *71 (Net
     2741*72 (Net
    27032742uid 275,0
    27042743decl (Decl
     
    27162755font "Courier New,8,0"
    27172756)
    2718 xt "39000,62400,67500,63200"
    2719 st "SIGNAL board_id        : std_logic_vector(3 downto 0)
    2720 "
    2721 )
    2722 )
    2723 *72 (Net
     2757xt "39000,63200,67500,64000"
     2758st "SIGNAL board_id        : std_logic_vector(3 downto 0)"
     2759)
     2760)
     2761*73 (Net
    27242762uid 283,0
    27252763decl (Decl
     
    27352773font "Courier New,8,0"
    27362774)
    2737 xt "39000,63200,67500,64000"
    2738 st "SIGNAL crate_id        : std_logic_vector(1 downto 0)
    2739 "
    2740 )
    2741 )
    2742 *73 (PortIoOut
     2775xt "39000,64000,67500,64800"
     2776st "SIGNAL crate_id        : std_logic_vector(1 downto 0)"
     2777)
     2778)
     2779*74 (PortIoOut
    27432780uid 472,0
    27442781shape (CompositeShape
     
    27842821)
    27852822)
    2786 *74 (PortIoOut
     2823*75 (PortIoOut
    27872824uid 478,0
    27882825shape (CompositeShape
     
    28282865)
    28292866)
    2830 *75 (PortIoOut
     2867*76 (PortIoOut
    28312868uid 484,0
    28322869shape (CompositeShape
     
    28722909)
    28732910)
    2874 *76 (PortIoInOut
     2911*77 (PortIoInOut
    28752912uid 490,0
    28762913shape (CompositeShape
     
    29142951)
    29152952)
    2916 *77 (PortIoIn
     2953*78 (PortIoIn
    29172954uid 496,0
    29182955shape (CompositeShape
     
    29582995)
    29592996)
    2960 *78 (PortIoOut
     2997*79 (PortIoOut
    29612998uid 502,0
    29622999shape (CompositeShape
     
    30023039)
    30033040)
    3004 *79 (PortIoOut
     3041*80 (PortIoOut
    30053042uid 508,0
    30063043shape (CompositeShape
     
    30463083)
    30473084)
    3048 *80 (Net
     3085*81 (Net
    30493086uid 1465,0
    30503087decl (Decl
     
    30593096font "Courier New,8,0"
    30603097)
    3061 xt "39000,61600,63000,62400"
    3062 st "SIGNAL adc_data_array  : adc_data_array_type
    3063 "
    3064 )
    3065 )
    3066 *81 (Net
     3098xt "39000,62400,63000,63200"
     3099st "SIGNAL adc_data_array  : adc_data_array_type"
     3100)
     3101)
     3102*82 (Net
    30673103uid 2407,0
    30683104decl (Decl
     
    30783114font "Courier New,8,0"
    30793115)
    3080 xt "39000,37400,67500,38200"
    3081 st "RSRLOAD         : std_logic                    := '0'
    3082 "
    3083 )
    3084 )
    3085 *82 (PortIoOut
     3116xt "39000,38200,67500,39000"
     3117st "RSRLOAD         : std_logic                    := '0'"
     3118)
     3119)
     3120*83 (PortIoOut
    30863121uid 2415,0
    30873122shape (CompositeShape
     
    31283163)
    31293164)
    3130 *83 (Net
     3165*84 (Net
    31313166uid 2421,0
    31323167decl (Decl
     
    31423177font "Courier New,8,0"
    31433178)
    3144 xt "39000,60000,71000,60800"
    3145 st "SIGNAL SRCLK           : std_logic                    := '0'
    3146 "
    3147 )
    3148 )
    3149 *84 (Net
     3179xt "39000,60800,71000,61600"
     3180st "SIGNAL SRCLK           : std_logic                    := '0'"
     3181)
     3182)
     3183*85 (Net
    31503184uid 3019,0
    31513185decl (Decl
     
    31613195font "Courier New,8,0"
    31623196)
    3163 xt "39000,66400,67500,67200"
    3164 st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)
    3165 "
    3166 )
    3167 )
    3168 *85 (Net
     3197xt "39000,68000,67500,68800"
     3198st "SIGNAL sensor_cs       : std_logic_vector(3 DOWNTO 0)"
     3199)
     3200)
     3201*86 (Net
    31693202uid 3025,0
    31703203decl (Decl
     
    31793212font "Courier New,8,0"
    31803213)
    3181 xt "39000,24600,54000,25400"
    3182 st "DAC_CS          : std_logic
    3183 "
    3184 )
    3185 )
    3186 *86 (PortIoOut
     3214xt "39000,25400,54000,26200"
     3215st "DAC_CS          : std_logic"
     3216)
     3217)
     3218*87 (PortIoOut
    31873219uid 3153,0
    31883220shape (CompositeShape
     
    32293261)
    32303262)
    3231 *87 (Net
     3263*88 (Net
    32323264uid 3216,0
    32333265decl (Decl
     
    32443276font "Courier New,8,0"
    32453277)
    3246 xt "39000,17400,54000,18200"
    3247 st "X_50M           : STD_LOGIC
    3248 "
    3249 )
    3250 )
    3251 *88 (Net
     3278xt "39000,18200,54000,19000"
     3279st "X_50M           : STD_LOGIC"
     3280)
     3281)
     3282*89 (Net
    32523283uid 3226,0
    32533284decl (Decl
     
    32623293font "Courier New,8,0"
    32633294)
    3264 xt "39000,15800,54000,16600"
    3265 st "TRG             : STD_LOGIC
    3266 "
    3267 )
    3268 )
    3269 *89 (HdlText
     3295xt "39000,16600,54000,17400"
     3296st "TRG             : STD_LOGIC"
     3297)
     3298)
     3299*90 (HdlText
    32703300uid 3248,0
    32713301optionalChildren [
    3272 *90 (EmbeddedText
     3302*91 (EmbeddedText
    32733303uid 3254,0
    32743304commentText (CommentText
     
    33223352stg "VerticalLayoutStrategy"
    33233353textVec [
    3324 *91 (Text
     3354*92 (Text
    33253355uid 3251,0
    33263356va (VaSet
     
    33323362tm "HdlTextNameMgr"
    33333363)
    3334 *92 (Text
     3364*93 (Text
    33353365uid 3252,0
    33363366va (VaSet
     
    33583388viewiconposition 0
    33593389)
    3360 *93 (Net
     3390*94 (Net
    33613391uid 3266,0
    33623392decl (Decl
     
    33723402font "Courier New,8,0"
    33733403)
    3374 xt "39000,20600,64000,21400"
    3375 st "A_CLK           : std_logic_vector(3 downto 0)
    3376 "
    3377 )
    3378 )
    3379 *94 (Net
     3404xt "39000,21400,64000,22200"
     3405st "A_CLK           : std_logic_vector(3 downto 0)"
     3406)
     3407)
     3408*95 (Net
    33803409uid 3268,0
    33813410decl (Decl
     
    33903419font "Courier New,8,0"
    33913420)
    3392 xt "39000,52800,57500,53600"
    3393 st "SIGNAL CLK_25_PS       : std_logic
    3394 "
    3395 )
    3396 )
    3397 *95 (PortIoOut
     3421xt "39000,53600,57500,54400"
     3422st "SIGNAL CLK_25_PS       : std_logic"
     3423)
     3424)
     3425*96 (PortIoOut
    33983426uid 3284,0
    33993427shape (CompositeShape
     
    34403468)
    34413469)
    3442 *96 (Net
     3470*97 (Net
    34433471uid 3290,0
    34443472decl (Decl
     
    34553483font "Courier New,8,0"
    34563484)
    3457 xt "39000,31800,54000,32600"
    3458 st "OE_ADC          : STD_LOGIC
    3459 "
    3460 )
    3461 )
    3462 *97 (PortIoIn
     3485xt "39000,32600,54000,33400"
     3486st "OE_ADC          : STD_LOGIC"
     3487)
     3488)
     3489*98 (PortIoIn
    34633490uid 3292,0
    34643491shape (CompositeShape
     
    35053532)
    35063533)
    3507 *98 (Net
     3534*99 (Net
    35083535uid 3298,0
    35093536decl (Decl
     
    35203547)
    35213548xt "39000,7000,64000,7800"
    3522 st "A_OTR           : std_logic_vector(3 DOWNTO 0)
    3523 "
    3524 )
    3525 )
    3526 *99 (HdlText
     3549st "A_OTR           : std_logic_vector(3 DOWNTO 0)"
     3550)
     3551)
     3552*100 (HdlText
    35273553uid 3300,0
    35283554optionalChildren [
    3529 *100 (EmbeddedText
     3555*101 (EmbeddedText
    35303556uid 3306,0
    35313557commentText (CommentText
     
    35793605stg "VerticalLayoutStrategy"
    35803606textVec [
    3581 *101 (Text
     3607*102 (Text
    35823608uid 3303,0
    35833609va (VaSet
     
    35893615tm "HdlTextNameMgr"
    35903616)
    3591 *102 (Text
     3617*103 (Text
    35923618uid 3304,0
    35933619va (VaSet
     
    36153641viewiconposition 0
    36163642)
    3617 *103 (PortIoIn
     3643*104 (PortIoIn
    36183644uid 3310,0
    36193645shape (CompositeShape
     
    36603686)
    36613687)
    3662 *104 (PortIoIn
     3688*105 (PortIoIn
    36633689uid 3332,0
    36643690shape (CompositeShape
     
    37053731)
    37063732)
    3707 *105 (PortIoIn
     3733*106 (PortIoIn
    37083734uid 3338,0
    37093735shape (CompositeShape
     
    37503776)
    37513777)
    3752 *106 (PortIoIn
     3778*107 (PortIoIn
    37533779uid 3344,0
    37543780shape (CompositeShape
     
    37953821)
    37963822)
    3797 *107 (Net
     3823*108 (Net
    37983824uid 3374,0
    37993825decl (Decl
     
    38103836)
    38113837xt "39000,3800,64500,4600"
    3812 st "A0_D            : std_logic_vector(11 DOWNTO 0)
    3813 "
    3814 )
    3815 )
    3816 *108 (Net
     3838st "A0_D            : std_logic_vector(11 DOWNTO 0)"
     3839)
     3840)
     3841*109 (Net
    38173842uid 3376,0
    38183843decl (Decl
     
    38293854)
    38303855xt "39000,4600,64500,5400"
    3831 st "A1_D            : std_logic_vector(11 DOWNTO 0)
    3832 "
    3833 )
    3834 )
    3835 *109 (Net
     3856st "A1_D            : std_logic_vector(11 DOWNTO 0)"
     3857)
     3858)
     3859*110 (Net
    38363860uid 3378,0
    38373861decl (Decl
     
    38483872)
    38493873xt "39000,5400,64500,6200"
    3850 st "A2_D            : std_logic_vector(11 DOWNTO 0)
    3851 "
    3852 )
    3853 )
    3854 *110 (Net
     3874st "A2_D            : std_logic_vector(11 DOWNTO 0)"
     3875)
     3876)
     3877*111 (Net
    38553878uid 3380,0
    38563879decl (Decl
     
    38673890)
    38683891xt "39000,6200,64500,7000"
    3869 st "A3_D            : std_logic_vector(11 DOWNTO 0)
    3870 "
    3871 )
    3872 )
    3873 *111 (HdlText
     3892st "A3_D            : std_logic_vector(11 DOWNTO 0)"
     3893)
     3894)
     3895*112 (HdlText
    38743896uid 3394,0
    38753897optionalChildren [
    3876 *112 (EmbeddedText
     3898*113 (EmbeddedText
    38773899uid 3400,0
    38783900commentText (CommentText
     
    39263948stg "VerticalLayoutStrategy"
    39273949textVec [
    3928 *113 (Text
     3950*114 (Text
    39293951uid 3397,0
    39303952va (VaSet
     
    39363958tm "HdlTextNameMgr"
    39373959)
    3938 *114 (Text
     3960*115 (Text
    39393961uid 3398,0
    39403962va (VaSet
     
    39623984viewiconposition 0
    39633985)
    3964 *115 (Net
     3986*116 (Net
    39653987uid 3460,0
    39663988decl (Decl
     
    39753997font "Courier New,8,0"
    39763998)
    3977 xt "39000,21400,54000,22200"
    3978 st "D0_SRCLK        : STD_LOGIC
    3979 "
    3980 )
    3981 )
    3982 *116 (Net
     3999xt "39000,22200,54000,23000"
     4000st "D0_SRCLK        : STD_LOGIC"
     4001)
     4002)
     4003*117 (Net
    39834004uid 3462,0
    39844005decl (Decl
     
    39934014font "Courier New,8,0"
    39944015)
    3995 xt "39000,22200,54000,23000"
    3996 st "D1_SRCLK        : STD_LOGIC
    3997 "
    3998 )
    3999 )
    4000 *117 (Net
     4016xt "39000,23000,54000,23800"
     4017st "D1_SRCLK        : STD_LOGIC"
     4018)
     4019)
     4020*118 (Net
    40014021uid 3464,0
    40024022decl (Decl
     
    40114031font "Courier New,8,0"
    40124032)
    4013 xt "39000,23000,54000,23800"
    4014 st "D2_SRCLK        : STD_LOGIC
    4015 "
    4016 )
    4017 )
    4018 *118 (Net
     4033xt "39000,23800,54000,24600"
     4034st "D2_SRCLK        : STD_LOGIC"
     4035)
     4036)
     4037*119 (Net
    40194038uid 3466,0
    40204039decl (Decl
     
    40294048font "Courier New,8,0"
    40304049)
    4031 xt "39000,23800,54000,24600"
    4032 st "D3_SRCLK        : STD_LOGIC
    4033 "
    4034 )
    4035 )
    4036 *119 (PortIoIn
     4050xt "39000,24600,54000,25400"
     4051st "D3_SRCLK        : STD_LOGIC"
     4052)
     4053)
     4054*120 (PortIoIn
    40374055uid 3476,0
    40384056shape (CompositeShape
     
    40794097)
    40804098)
    4081 *120 (PortIoIn
     4099*121 (PortIoIn
    40824100uid 3482,0
    40834101shape (CompositeShape
     
    41244142)
    41254143)
    4126 *121 (PortIoIn
     4144*122 (PortIoIn
    41274145uid 3488,0
    41284146shape (CompositeShape
     
    41694187)
    41704188)
    4171 *122 (PortIoIn
     4189*123 (PortIoIn
    41724190uid 3494,0
    41734191shape (CompositeShape
     
    42144232)
    42154233)
    4216 *123 (Net
     4234*124 (Net
    42174235uid 3500,0
    42184236decl (Decl
     
    42284246)
    42294247xt "39000,7800,54000,8600"
    4230 st "D0_SROUT        : std_logic
    4231 "
    4232 )
    4233 )
    4234 *124 (Net
     4248st "D0_SROUT        : std_logic"
     4249)
     4250)
     4251*125 (Net
    42354252uid 3502,0
    42364253decl (Decl
     
    42464263)
    42474264xt "39000,8600,54000,9400"
    4248 st "D1_SROUT        : std_logic
    4249 "
    4250 )
    4251 )
    4252 *125 (Net
     4265st "D1_SROUT        : std_logic"
     4266)
     4267)
     4268*126 (Net
    42534269uid 3504,0
    42544270decl (Decl
     
    42644280)
    42654281xt "39000,9400,54000,10200"
    4266 st "D2_SROUT        : std_logic
    4267 "
    4268 )
    4269 )
    4270 *126 (Net
     4282st "D2_SROUT        : std_logic"
     4283)
     4284)
     4285*127 (Net
    42714286uid 3506,0
    42724287decl (Decl
     
    42824297)
    42834298xt "39000,10200,54000,11000"
    4284 st "D3_SROUT        : std_logic
    4285 "
    4286 )
    4287 )
    4288 *127 (PortIoOut
     4299st "D3_SROUT        : std_logic"
     4300)
     4301)
     4302*128 (PortIoOut
    42894303uid 3508,0
    42904304shape (CompositeShape
     
    43314345)
    43324346)
    4333 *128 (Net
     4347*129 (Net
    43344348uid 3514,0
    43354349decl (Decl
     
    43464360font "Courier New,8,0"
    43474361)
    4348 xt "39000,27000,73500,27800"
    4349 st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')
    4350 "
    4351 )
    4352 )
    4353 *129 (PortIoOut
     4362xt "39000,27800,73500,28600"
     4363st "D_A             : std_logic_vector(3 DOWNTO 0) := (others => '0')"
     4364)
     4365)
     4366*130 (PortIoOut
    43544367uid 3516,0
    43554368shape (CompositeShape
     
    43964409)
    43974410)
    4398 *130 (Net
     4411*131 (Net
    43994412uid 3522,0
    44004413decl (Decl
     
    44104423font "Courier New,8,0"
    44114424)
    4412 xt "39000,26200,67500,27000"
    4413 st "DWRITE          : std_logic                    := '0'
    4414 "
    4415 )
    4416 )
    4417 *131 (PortIoOut
     4425xt "39000,27000,67500,27800"
     4426st "DWRITE          : std_logic                    := '0'"
     4427)
     4428)
     4429*132 (PortIoOut
    44184430uid 3536,0
    44194431shape (CompositeShape
     
    44594471)
    44604472)
    4461 *132 (HdlText
     4473*133 (HdlText
    44624474uid 3542,0
    44634475optionalChildren [
    4464 *133 (EmbeddedText
     4476*134 (EmbeddedText
    44654477uid 3612,0
    44664478commentText (CommentText
     
    45144526stg "VerticalLayoutStrategy"
    45154527textVec [
    4516 *134 (Text
     4528*135 (Text
    45174529uid 3545,0
    45184530va (VaSet
     
    45244536tm "HdlTextNameMgr"
    45254537)
    4526 *135 (Text
     4538*136 (Text
    45274539uid 3546,0
    45284540va (VaSet
     
    45504562viewiconposition 0
    45514563)
    4552 *136 (PortIoOut
     4564*137 (PortIoOut
    45534565uid 3548,0
    45544566shape (CompositeShape
     
    45944606)
    45954607)
    4596 *137 (PortIoOut
     4608*138 (PortIoOut
    45974609uid 3554,0
    45984610shape (CompositeShape
     
    46384650)
    46394651)
    4640 *138 (PortIoOut
     4652*139 (PortIoOut
    46414653uid 3560,0
    46424654shape (CompositeShape
     
    46824694)
    46834695)
    4684 *139 (PortIoOut
     4696*140 (PortIoOut
    46854697uid 3566,0
    46864698shape (CompositeShape
     
    47264738)
    47274739)
    4728 *140 (Net
     4740*141 (Net
    47294741uid 3604,0
    47304742decl (Decl
     
    47394751font "Courier New,8,0"
    47404752)
    4741 xt "39000,39800,54000,40600"
    4742 st "T0_CS           : std_logic
    4743 "
    4744 )
    4745 )
    4746 *141 (Net
     4753xt "39000,40600,54000,41400"
     4754st "T0_CS           : std_logic"
     4755)
     4756)
     4757*142 (Net
    47474758uid 3606,0
    47484759decl (Decl
     
    47574768font "Courier New,8,0"
    47584769)
    4759 xt "39000,40600,54000,41400"
    4760 st "T1_CS           : std_logic
    4761 "
    4762 )
    4763 )
    4764 *142 (Net
     4770xt "39000,41400,54000,42200"
     4771st "T1_CS           : std_logic"
     4772)
     4773)
     4774*143 (Net
    47654775uid 3608,0
    47664776decl (Decl
     
    47754785font "Courier New,8,0"
    47764786)
    4777 xt "39000,41400,54000,42200"
    4778 st "T2_CS           : std_logic
    4779 "
    4780 )
    4781 )
    4782 *143 (Net
     4787xt "39000,42200,54000,43000"
     4788st "T2_CS           : std_logic"
     4789)
     4790)
     4791*144 (Net
    47834792uid 3610,0
    47844793decl (Decl
     
    47934802font "Courier New,8,0"
    47944803)
    4795 xt "39000,42200,54000,43000"
    4796 st "T3_CS           : std_logic
    4797 "
    4798 )
    4799 )
    4800 *144 (PortIoOut
     4804xt "39000,43000,54000,43800"
     4805st "T3_CS           : std_logic"
     4806)
     4807)
     4808*145 (PortIoOut
    48014809uid 3624,0
    48024810shape (CompositeShape
     
    48424850)
    48434851)
    4844 *145 (Net
     4852*146 (Net
    48454853uid 3630,0
    48464854decl (Decl
     
    48554863font "Courier New,8,0"
    48564864)
    4857 xt "39000,39000,54000,39800"
    4858 st "S_CLK           : std_logic
    4859 "
    4860 )
    4861 )
    4862 *146 (Net
     4865xt "39000,39800,54000,40600"
     4866st "S_CLK           : std_logic"
     4867)
     4868)
     4869*147 (Net
    48634870uid 3632,0
    48644871decl (Decl
     
    48744881font "Courier New,8,0"
    48754882)
    4876 xt "39000,43800,64000,44600"
    4877 st "W_A             : std_logic_vector(9 DOWNTO 0)
    4878 "
    4879 )
    4880 )
    4881 *147 (Net
     4883xt "39000,44600,64000,45400"
     4884st "W_A             : std_logic_vector(9 DOWNTO 0)"
     4885)
     4886)
     4887*148 (Net
    48824888uid 3634,0
    48834889decl (Decl
     
    48934899font "Courier New,8,0"
    48944900)
    4895 xt "39000,48600,64500,49400"
    4896 st "W_D             : std_logic_vector(15 DOWNTO 0)
    4897 "
    4898 )
    4899 )
    4900 *148 (Net
     4901xt "39000,49400,64500,50200"
     4902st "W_D             : std_logic_vector(15 DOWNTO 0)"
     4903)
     4904)
     4905*149 (Net
    49014906uid 3636,0
    49024907decl (Decl
     
    49124917font "Courier New,8,0"
    49134918)
    4914 xt "39000,46200,67500,47000"
    4915 st "W_RES           : std_logic                    := '1'
    4916 "
    4917 )
    4918 )
    4919 *149 (Net
     4919xt "39000,47000,67500,47800"
     4920st "W_RES           : std_logic                    := '1'"
     4921)
     4922)
     4923*150 (Net
    49204924uid 3638,0
    49214925decl (Decl
     
    49314935font "Courier New,8,0"
    49324936)
    4933 xt "39000,45400,67500,46200"
    4934 st "W_RD            : std_logic                    := '1'
    4935 "
    4936 )
    4937 )
    4938 *150 (Net
     4937xt "39000,46200,67500,47000"
     4938st "W_RD            : std_logic                    := '1'"
     4939)
     4940)
     4941*151 (Net
    49394942uid 3640,0
    49404943decl (Decl
     
    49504953font "Courier New,8,0"
    49514954)
    4952 xt "39000,47000,67500,47800"
    4953 st "W_WR            : std_logic                    := '1'
    4954 "
    4955 )
    4956 )
    4957 *151 (Net
     4955xt "39000,47800,67500,48600"
     4956st "W_WR            : std_logic                    := '1'"
     4957)
     4958)
     4959*152 (Net
    49584960uid 3642,0
    49594961decl (Decl
     
    49684970font "Courier New,8,0"
    49694971)
    4970 xt "39000,16600,54000,17400"
    4971 st "W_INT           : std_logic
    4972 "
    4973 )
    4974 )
    4975 *152 (Net
     4972xt "39000,17400,54000,18200"
     4973st "W_INT           : std_logic"
     4974)
     4975)
     4976*153 (Net
    49764977uid 3644,0
    49774978decl (Decl
     
    49874988font "Courier New,8,0"
    49884989)
    4989 xt "39000,44600,67500,45400"
    4990 st "W_CS            : std_logic                    := '1'
    4991 "
    4992 )
    4993 )
    4994 *153 (PortIoInOut
     4990xt "39000,45400,67500,46200"
     4991st "W_CS            : std_logic                    := '1'"
     4992)
     4993)
     4994*154 (PortIoInOut
    49954995uid 3674,0
    49964996shape (CompositeShape
     
    50345034)
    50355035)
    5036 *154 (Net
     5036*155 (Net
    50375037uid 3680,0
    50385038decl (Decl
     
    50485048font "Courier New,8,0"
    50495049)
    5050 xt "39000,31000,67500,31800"
    5051 st "MOSI            : std_logic                    := '0'
    5052 "
    5053 )
    5054 )
    5055 *155 (PortIoOut
     5050xt "39000,31800,67500,32600"
     5051st "MOSI            : std_logic                    := '0'"
     5052)
     5053)
     5054*156 (PortIoOut
    50565055uid 3688,0
    50575056shape (CompositeShape
     
    50975096)
    50985097)
    5099 *156 (Net
     5098*157 (Net
    51005099uid 3694,0
    51015100decl (Decl
     
    51125111font "Courier New,8,0"
    51135112)
    5114 xt "39000,47800,54000,48600"
    5115 st "MISO            : std_logic
    5116 "
    5117 )
    5118 )
    5119 *157 (HdlText
     5113xt "39000,48600,54000,49400"
     5114st "MISO            : std_logic"
     5115)
     5116)
     5117*158 (HdlText
    51205118uid 3700,0
    51215119optionalChildren [
    5122 *158 (EmbeddedText
     5120*159 (EmbeddedText
    51235121uid 3706,0
    51245122commentText (CommentText
     
    51805178stg "VerticalLayoutStrategy"
    51815179textVec [
    5182 *159 (Text
     5180*160 (Text
    51835181uid 3703,0
    51845182va (VaSet
     
    51905188tm "HdlTextNameMgr"
    51915189)
    5192 *160 (Text
     5190*161 (Text
    51935191uid 3704,0
    51945192va (VaSet
     
    52165214viewiconposition 0
    52175215)
    5218 *161 (PortIoOut
     5216*162 (PortIoOut
    52195217uid 3710,0
    52205218shape (CompositeShape
     
    52605258)
    52615259)
    5262 *162 (PortIoOut
     5260*163 (PortIoOut
    52635261uid 3716,0
    52645262shape (CompositeShape
     
    53045302)
    53055303)
    5306 *163 (PortIoOut
     5304*164 (PortIoOut
    53075305uid 3722,0
    53085306shape (CompositeShape
     
    53485346)
    53495347)
    5350 *164 (PortIoOut
     5348*165 (PortIoOut
    53515349uid 3728,0
    53525350shape (CompositeShape
     
    53925390)
    53935391)
    5394 *165 (PortIoOut
     5392*166 (PortIoOut
    53955393uid 3734,0
    53965394shape (CompositeShape
     
    54365434)
    54375435)
    5438 *166 (PortIoOut
     5436*167 (PortIoOut
    54395437uid 3740,0
    54405438shape (CompositeShape
     
    54805478)
    54815479)
    5482 *167 (PortIoOut
     5480*168 (PortIoOut
    54835481uid 3752,0
    54845482shape (CompositeShape
     
    55245522)
    55255523)
    5526 *168 (Net
     5524*169 (Net
    55275525uid 3864,0
    55285526decl (Decl
     
    55375535font "Courier New,8,0"
    55385536)
    5539 xt "39000,43000,54000,43800"
    5540 st "TRG_V           : std_logic
    5541 "
    5542 )
    5543 )
    5544 *169 (Net
     5537xt "39000,43800,54000,44600"
     5538st "TRG_V           : std_logic"
     5539)
     5540)
     5541*170 (Net
    55455542uid 3866,0
    55465543decl (Decl
     
    55555552font "Courier New,8,0"
    55565553)
    5557 xt "39000,35000,54000,35800"
    5558 st "RS485_C_RE      : std_logic
    5559 "
    5560 )
    5561 )
    5562 *170 (Net
     5554xt "39000,35800,54000,36600"
     5555st "RS485_C_RE      : std_logic"
     5556)
     5557)
     5558*171 (Net
    55635559uid 3868,0
    55645560decl (Decl
     
    55735569font "Courier New,8,0"
    55745570)
    5575 xt "39000,33400,54000,34200"
    5576 st "RS485_C_DE      : std_logic
    5577 "
    5578 )
    5579 )
    5580 *171 (Net
     5571xt "39000,34200,54000,35000"
     5572st "RS485_C_DE      : std_logic"
     5573)
     5574)
     5575*172 (Net
    55815576uid 3870,0
    55825577decl (Decl