- Timestamp:
- 03/18/11 16:21:41 (14 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FTM/ftm_definitions.vhd
r10243 r10246 22 22 -- kw 25.02.: changes for HDL-Designer (use FACT_FTM.lib.ftm_...), 23 23 -- DD_BLOCK_SIZE added (set to 0x008 for first tests), SD_ADDR_coin_win_[p,c] defined 24 -- 25 -- modified: Quirin Weitzel, March 14 2011 26 -- second merger with library file from dortmund (changes below) 27 -- kw 01.03.: added array sd_block_default_ftu_active_list (type and defaults) 28 -- kw 02.03.: added DD_BLOCK_SIZE_GENERAL (on-time counter + temperatures), changed DD_BLOCK_SIZE to 0x010 for testing 29 -- kw 03.03.: added FTM_HEADER_LENGTH 30 -- 24 31 ---------------------------------------------------------------------------------- 25 32 … … 76 83 constant INT_CLK_FREQUENCY_2 : integer := 250000000; -- 250MHz 77 84 constant LOW_FREQUENCY : integer := 1000000; -- has to be smaller than INT_CLK_FREQUENCY_1 78 85 constant SCALER_FREQ_DIVIDER : integer := 3000; -- for simulation, should normally be 1 86 79 87 --FTM address and firmware ID 80 88 constant FTM_ADDRESS : std_logic_vector(7 downto 0) := "11000000"; -- 192 … … 174 182 constant CMD_PING : std_logic_vector := X"0010"; -- ping all FTUs 175 183 184 -- header length of data packages 185 constant FTM_HEADER_LENGTH : std_logic_vector (7 DOWNTO 0) := X"0B"; 186 176 187 -- FTU-list parameters 177 188 constant FL_BLOCK_SIZE : std_logic_vector := X"0F9"; -- FTU-list size -- 9 + (40 * 6) = 249 … … 192 203 193 204 -- dynamic data block 194 constant DD_BLOCK_SIZE : std_logic_vector (11 downto 0) := X"008"; -- 7 + (40 * 12) = 0x1E7 --total size of dynamic data block 195 205 --constant DD_BLOCK_SIZE : std_logic_vector (11 downto 0) := X"010"; -- 7 + (40 * 12) = 0x1E7 --total size of dynamic data block 206 constant DD_BLOCK_SIZE : std_logic_vector (11 downto 0) := X"1E7"; -- 7 + (40 * 12) = 0x1E7 --total size of dynamic data block 207 constant DD_BLOCK_SIZE_GENERAL : integer := 7; -- dynamic block size without FTU data 208 196 209 -- addresses in static data block 197 210 constant SD_ADDR_general_settings : std_logic_vector := X"000"; … … 235 248 type sd_block_default_array_type is array (0 to (SD_BLOCK_SIZE_GENERAL - 1)) of std_logic_vector (15 downto 0); 236 249 type sd_block_ftu_default_array_type is array (0 to (SD_FTU_DATA_SIZE - 1)) of std_logic_vector (15 downto 0); 237 type sd_block_ activeFTUlist_default_array_type is array (0 to (NO_OF_CRATES- 1)) of std_logic_vector (15 downto 0);250 type sd_block_default_ftu_active_list_type is array (0 to (SD_FTU_ACTIVE_NUM - 1)) of std_logic_vector (15 downto 0); 238 251 239 252 -- general default values … … 289 302 290 303 --default values for active FTU lists 291 constant sd_block_ activeFTUlist_default_array : sd_block_activeFTUlist_default_array_type := (304 constant sd_block_default_ftu_active_list : sd_block_default_ftu_active_list_type := ( 292 305 X"0001", 293 306 X"0000", … … 300 313 constant width_PLC : integer := 6; -- counter width pulse duration 301 314 302 303 -- Timing counter 315 -- Timing counter 304 316 constant tc_width : integer := 32; -- width (number of bits) of timing counter 305 constant zero : unsigned (tc_width - 1 downto 0) := (others => '0');317 -- constant zero : unsigned (tc_width - 1 downto 0) := (others => '0'); 306 318 307 319 end ftm_constants;
Note:
See TracChangeset
for help on using the changeset viewer.