Changeset 10847


Ignore:
Timestamp:
05/27/11 08:42:39 (14 years ago)
Author:
weitzel
Message:
FTM LP corrections
Location:
firmware/FTM/Lightpulser_interface
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • firmware/FTM/Lightpulser_interface/Lightpulser_interface.vhd

    r10761 r10847  
    151151
    152152-- input latch
    153 input_latch : process (clk_50, start_config)
     153input_latch : process (clk_50)--removed start_config from sensitivity list
    154154  begin
    155155    if rising_edge(clk_50) then
  • firmware/FTM/Lightpulser_interface/single_LP.vhd

    r10791 r10847  
    111111
    112112inst_LP_delay: delayed_pulse
    113         generic map(pulse_width         => FLD_PULSE_WIDTH)
     113        generic map(pulse_width         => FLD_PULSE_LENGTH)
    114114        port map(clk_250MHz             => clk_250,
    115115                delay                   => LP_delay(9 downto 0),
Note: See TracChangeset for help on using the changeset viewer.