Changeset 10901 for firmware/FAD/FACT_FAD_20MHz_VAR_PS
- Timestamp:
- 06/01/11 16:53:00 (14 years ago)
- Location:
- firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds
- Files:
-
- 10 added
- 4 edited
Legend:
- Unmodified
- Added
- Removed
-
TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd ¶
r10883 r10901 400 400 ) 401 401 version "29.1" 402 appVersion "2009. 1 (Build 12)"402 appVersion "2009.2 (Build 10)" 403 403 noEmbeddedEditors 1 404 404 model (BlockDiag … … 407 407 (vvPair 408 408 variable "HDLDir" 409 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"409 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl" 410 410 ) 411 411 (vvPair 412 412 variable "HDSDir" 413 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"413 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" 414 414 ) 415 415 (vvPair 416 416 variable "SideDataDesignDir" 417 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"417 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info" 418 418 ) 419 419 (vvPair 420 420 variable "SideDataUserDir" 421 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"421 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user" 422 422 ) 423 423 (vvPair 424 424 variable "SourceDir" 425 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"425 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" 426 426 ) 427 427 (vvPair … … 439 439 (vvPair 440 440 variable "d" 441 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"441 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main" 442 442 ) 443 443 (vvPair 444 444 variable "d_logical" 445 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"445 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main" 446 446 ) 447 447 (vvPair 448 448 variable "date" 449 value " 25.05.2011"449 value "01.06.2011" 450 450 ) 451 451 (vvPair … … 459 459 (vvPair 460 460 variable "dd" 461 value " 25"461 value "01" 462 462 ) 463 463 (vvPair … … 487 487 (vvPair 488 488 variable "host" 489 value " IHP110"489 value "E5B-LABOR6" 490 490 ) 491 491 (vvPair … … 523 523 (vvPair 524 524 variable "mm" 525 value "0 5"525 value "06" 526 526 ) 527 527 (vvPair … … 531 531 (vvPair 532 532 variable "month" 533 value " Mai"533 value "Jun" 534 534 ) 535 535 (vvPair 536 536 variable "month_long" 537 value " Mai"537 value "Juni" 538 538 ) 539 539 (vvPair 540 540 variable "p" 541 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"541 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd" 542 542 ) 543 543 (vvPair 544 544 variable "p_logical" 545 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"545 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd" 546 546 ) 547 547 (vvPair … … 567 567 (vvPair 568 568 variable "task_ModelSimPath" 569 value " D:\\modeltech_6.5e\\win32"569 value "C:\\modeltech_6.6a\\win32" 570 570 ) 571 571 (vvPair … … 599 599 (vvPair 600 600 variable "time" 601 value "1 4:53:43"601 value "17:50:58" 602 602 ) 603 603 (vvPair … … 607 607 (vvPair 608 608 variable "user" 609 value "d aqct3"609 value "dneise" 610 610 ) 611 611 (vvPair 612 612 variable "version" 613 value "2009. 1 (Build 12)"613 value "2009.2 (Build 10)" 614 614 ) 615 615 (vvPair … … 691 691 ) 692 692 xt "-172000,126000,-125500,126800" 693 st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\" 694 " 693 st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\"" 695 694 ) 696 695 ) … … 710 709 ) 711 710 xt "-172000,60400,-129000,61200" 712 st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0) 713 " 711 st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 714 712 ) 715 713 ) … … 729 727 ) 730 728 xt "-172000,65200,-136500,66000" 731 st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0) 732 " 729 st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0)" 733 730 ) 734 731 ) … … 748 745 ) 749 746 xt "-172000,94800,-129000,95600" 750 st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) 751 " 747 st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)" 752 748 ) 753 749 ) … … 767 763 ) 768 764 xt "-172000,95600,-136500,96400" 769 st "SIGNAL ram_data : std_logic_vector(15 downto 0) 770 " 765 st "SIGNAL ram_data : std_logic_vector(15 downto 0)" 771 766 ) 772 767 ) … … 786 781 ) 787 782 xt "-172000,43200,-129000,44000" 788 st "wiz_reset : std_logic := '1' 789 " 783 st "wiz_reset : std_logic := '1'" 790 784 ) 791 785 ) … … 805 799 ) 806 800 xt "-172000,40800,-140500,41600" 807 st "wiz_addr : std_logic_vector(9 DOWNTO 0) 808 " 801 st "wiz_addr : std_logic_vector(9 DOWNTO 0)" 809 802 ) 810 803 ) … … 824 817 ) 825 818 xt "-172000,45600,-140000,46400" 826 st "wiz_data : std_logic_vector(15 DOWNTO 0) 827 " 819 st "wiz_data : std_logic_vector(15 DOWNTO 0)" 828 820 ) 829 821 ) … … 843 835 ) 844 836 xt "-172000,41600,-129000,42400" 845 st "wiz_cs : std_logic := '1' 846 " 837 st "wiz_cs : std_logic := '1'" 847 838 ) 848 839 ) … … 862 853 ) 863 854 xt "-172000,44000,-129000,44800" 864 st "wiz_wr : std_logic := '1' 865 " 855 st "wiz_wr : std_logic := '1'" 866 856 ) 867 857 ) … … 881 871 ) 882 872 xt "-172000,42400,-129000,43200" 883 st "wiz_rd : std_logic := '1' 884 " 873 st "wiz_rd : std_logic := '1'" 885 874 ) 886 875 ) … … 899 888 ) 900 889 xt "-172000,14400,-150000,15200" 901 st "wiz_int : std_logic 902 " 890 st "wiz_int : std_logic" 903 891 ) 904 892 ) … … 3375 3363 ) 3376 3364 xt "-172000,10400,-140500,11200" 3377 st "board_id : std_logic_vector(3 DOWNTO 0) 3378 " 3365 st "board_id : std_logic_vector(3 DOWNTO 0)" 3379 3366 ) 3380 3367 ) … … 3395 3382 ) 3396 3383 xt "-172000,13600,-150000,14400" 3397 st "trigger : std_logic 3398 " 3384 st "trigger : std_logic" 3399 3385 ) 3400 3386 ) … … 5358 5344 font "Arial,8,1" 5359 5345 ) 5360 xt "1 19700,123000,125900,124000"5346 xt "103700,117000,109900,118000" 5361 5347 st "FACT_FAD_lib" 5362 blo "1 19700,123800"5348 blo "103700,117800" 5363 5349 tm "BdLibraryNameMgr" 5364 5350 ) … … 5368 5354 font "Arial,8,1" 5369 5355 ) 5370 xt "1 19700,124000,125400,125000"5356 xt "103700,118000,109400,119000" 5371 5357 st "w5300_modul" 5372 blo "1 19700,124800"5358 blo "103700,118800" 5373 5359 tm "CptNameMgr" 5374 5360 ) … … 5378 5364 font "Arial,8,1" 5379 5365 ) 5380 xt "1 19700,125000,129300,126000"5366 xt "103700,119000,113300,120000" 5381 5367 st "w5300_modul_instance" 5382 blo "1 19700,125800"5368 blo "103700,119800" 5383 5369 tm "InstanceNameMgr" 5384 5370 ) … … 5441 5427 ) 5442 5428 xt "-172000,11200,-140500,12000" 5443 st "crate_id : std_logic_vector(1 DOWNTO 0) 5444 " 5429 st "crate_id : std_logic_vector(1 DOWNTO 0)" 5445 5430 ) 5446 5431 ) … … 5700 5685 ) 5701 5686 xt "-172000,96400,-129000,97200" 5702 st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0) 5703 " 5687 st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 5704 5688 ) 5705 5689 ) … … 5720 5704 ) 5721 5705 xt "-172000,122800,-125500,123600" 5722 st "SIGNAL wiz_write_ea : std_logic := '0' 5723 " 5706 st "SIGNAL wiz_write_ea : std_logic := '0'" 5724 5707 ) 5725 5708 ) … … 5741 5724 ) 5742 5725 xt "-172000,125200,-119500,126000" 5743 st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0') 5744 " 5726 st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0')" 5745 5727 ) 5746 5728 ) … … 5763 5745 ) 5764 5746 xt "-172000,122000,-119500,122800" 5765 st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0') 5766 " 5747 st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')" 5767 5748 ) 5768 5749 ) … … 5784 5765 ) 5785 5766 xt "-172000,121200,-119500,122000" 5786 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0') 5787 " 5767 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0')" 5788 5768 ) 5789 5769 ) … … 5804 5784 ) 5805 5785 xt "-172000,123600,-125500,124400" 5806 st "SIGNAL wiz_write_end : std_logic := '0' 5807 " 5786 st "SIGNAL wiz_write_end : std_logic := '0'" 5808 5787 ) 5809 5788 ) … … 5824 5803 ) 5825 5804 xt "-172000,124400,-125500,125200" 5826 st "SIGNAL wiz_write_header : std_logic := '0' 5827 " 5805 st "SIGNAL wiz_write_header : std_logic := '0'" 5828 5806 ) 5829 5807 ) … … 5842 5820 ) 5843 5821 xt "-172000,97200,-146500,98000" 5844 st "SIGNAL ram_write_ea : std_logic 5845 " 5822 st "SIGNAL ram_write_ea : std_logic" 5846 5823 ) 5847 5824 ) … … 5861 5838 ) 5862 5839 xt "-172000,98000,-125500,98800" 5863 st "SIGNAL ram_write_ready : std_logic := '0' 5864 " 5840 st "SIGNAL ram_write_ready : std_logic := '0'" 5865 5841 ) 5866 5842 ) … … 5879 5855 ) 5880 5856 xt "-172000,102000,-145000,102800" 5881 st "SIGNAL roi_max : roi_max_type 5882 " 5857 st "SIGNAL roi_max : roi_max_type" 5883 5858 ) 5884 5859 ) … … 5898 5873 ) 5899 5874 xt "-172000,91600,-136500,92400" 5900 st "SIGNAL package_length : std_logic_vector(15 downto 0) 5901 " 5875 st "SIGNAL package_length : std_logic_vector(15 downto 0)" 5902 5876 ) 5903 5877 ) … … 5917 5891 ) 5918 5892 xt "-172000,24000,-129000,24800" 5919 st "adc_oeb : std_logic := '1' 5920 " 5893 st "adc_oeb : std_logic := '1'" 5921 5894 ) 5922 5895 ) … … 6122 6095 bg "0,0,32768" 6123 6096 ) 6124 xt "85200,178000,9 6000,179000"6097 xt "85200,178000,94900,179000" 6125 6098 st " 6126 6099 by %user on %dd %month %year … … 6153 6126 bg "0,0,32768" 6154 6127 ) 6155 xt "102200,174000,105 500,175000"6128 xt "102200,174000,105200,175000" 6156 6129 st " 6157 6130 Project: … … 6184 6157 bg "0,0,32768" 6185 6158 ) 6186 xt "85200,176000,9 6100,177000"6159 xt "85200,176000,95200,177000" 6187 6160 st " 6188 6161 <enter diagram title here> … … 6215 6188 bg "0,0,32768" 6216 6189 ) 6217 xt "81200,176000,83 500,177000"6190 xt "81200,176000,83300,177000" 6218 6191 st " 6219 6192 Title: … … 6246 6219 bg "0,0,32768" 6247 6220 ) 6248 xt "102200,175200,11 2000,176200"6221 xt "102200,175200,111400,176200" 6249 6222 st " 6250 6223 <enter comments here> … … 6276 6249 bg "0,0,32768" 6277 6250 ) 6278 xt "106200,174000,110 900,175000"6251 xt "106200,174000,110700,175000" 6279 6252 st " 6280 6253 %project_name … … 6306 6279 fg "32768,0,0" 6307 6280 ) 6308 xt "88 450,174000,94550,176000"6281 xt "88700,174000,94300,176000" 6309 6282 st " 6310 6283 TU Dortmund … … 6339 6312 bg "0,0,32768" 6340 6313 ) 6341 xt "81200,177000,83 500,178000"6314 xt "81200,177000,83300,178000" 6342 6315 st " 6343 6316 Path: … … 6370 6343 bg "0,0,32768" 6371 6344 ) 6372 xt "81200,178000,8 4300,179000"6345 xt "81200,178000,83900,179000" 6373 6346 st " 6374 6347 Edited: … … 6401 6374 bg "0,0,32768" 6402 6375 ) 6403 xt "85200,177000,9 9300,178000"6376 xt "85200,177000,97600,178000" 6404 6377 st " 6405 6378 %library/%unit/%view … … 6441 6414 ) 6442 6415 xt "-172000,16000,-150000,16800" 6443 st "CLK_25_PS : std_logic 6444 " 6416 st "CLK_25_PS : std_logic" 6445 6417 ) 6446 6418 ) … … 6505 6477 ) 6506 6478 xt "-172000,16800,-150000,17600" 6507 st "CLK_50 : std_logic 6508 " 6479 st "CLK_50 : std_logic" 6509 6480 ) 6510 6481 ) … … 6525 6496 ) 6526 6497 xt "-172000,48400,-146500,49200" 6527 st "SIGNAL CLK_25 : std_logic 6528 " 6498 st "SIGNAL CLK_25 : std_logic" 6529 6499 ) 6530 6500 ) … … 6587 6557 ) 6588 6558 xt "-172000,3200,-150000,4000" 6589 st "CLK : std_logic 6590 " 6559 st "CLK : std_logic" 6591 6560 ) 6592 6561 ) … … 6606 6575 ) 6607 6576 xt "-172000,9600,-140500,10400" 6608 st "adc_otr_array : std_logic_vector(3 DOWNTO 0) 6609 " 6577 st "adc_otr_array : std_logic_vector(3 DOWNTO 0)" 6610 6578 ) 6611 6579 ) … … 6624 6592 ) 6625 6593 xt "-172000,8800,-145000,9600" 6626 st "adc_data_array : adc_data_array_type 6627 " 6594 st "adc_data_array : adc_data_array_type" 6628 6595 ) 6629 6596 ) … … 6688 6655 ) 6689 6656 xt "-172000,77200,-125500,78000" 6690 st "SIGNAL drs_clk_en : std_logic := '0' 6691 " 6657 st "SIGNAL drs_clk_en : std_logic := '0'" 6692 6658 ) 6693 6659 ) … … 6706 6672 ) 6707 6673 xt "-172000,83600,-140500,84400" 6708 st "SIGNAL drs_s_cell_array : drs_s_cell_array_type 6709 " 6674 st "SIGNAL drs_s_cell_array : drs_s_cell_array_type" 6710 6675 ) 6711 6676 ) … … 6725 6690 ) 6726 6691 xt "-172000,78000,-125500,78800" 6727 st "SIGNAL drs_read_s_cell : std_logic := '0' 6728 " 6692 st "SIGNAL drs_read_s_cell : std_logic := '0'" 6729 6693 ) 6730 6694 ) … … 6745 6709 ) 6746 6710 xt "-172000,31200,-123000,32000" 6747 st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0') 6748 " 6711 st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')" 6749 6712 ) 6750 6713 ) … … 6764 6727 ) 6765 6728 xt "-172000,32000,-129000,32800" 6766 st "drs_dwrite : std_logic := '1' 6767 " 6729 st "drs_dwrite : std_logic := '1'" 6768 6730 ) 6769 6731 ) … … 6872 6834 ) 6873 6835 xt "-172000,5600,-150000,6400" 6874 st "SROUT_in_0 : std_logic 6875 " 6836 st "SROUT_in_0 : std_logic" 6876 6837 ) 6877 6838 ) … … 6890 6851 ) 6891 6852 xt "-172000,6400,-150000,7200" 6892 st "SROUT_in_1 : std_logic 6893 " 6853 st "SROUT_in_1 : std_logic" 6894 6854 ) 6895 6855 ) … … 6908 6868 ) 6909 6869 xt "-172000,7200,-150000,8000" 6910 st "SROUT_in_2 : std_logic 6911 " 6870 st "SROUT_in_2 : std_logic" 6912 6871 ) 6913 6872 ) … … 6926 6885 ) 6927 6886 xt "-172000,8000,-150000,8800" 6928 st "SROUT_in_3 : std_logic 6929 " 6887 st "SROUT_in_3 : std_logic" 6930 6888 ) 6931 6889 ) … … 7124 7082 ) 7125 7083 xt "-172000,78800,-146500,79600" 7126 st "SIGNAL drs_read_s_cell_ready : std_logic 7127 " 7084 st "SIGNAL drs_read_s_cell_ready : std_logic" 7128 7085 ) 7129 7086 ) … … 7780 7737 ) 7781 7738 xt "-172000,21600,-129000,22400" 7782 st "RSRLOAD : std_logic := '0' 7783 " 7739 st "RSRLOAD : std_logic := '0'" 7784 7740 ) 7785 7741 ) … … 7844 7800 ) 7845 7801 xt "-172000,22400,-129000,23200" 7846 st "SRCLK : std_logic := '0' 7847 " 7802 st "SRCLK : std_logic := '0'" 7848 7803 ) 7849 7804 ) … … 7910 7865 ) 7911 7866 xt "-172000,33600,-123000,34400" 7912 st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 7913 " 7867 st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 7914 7868 ) 7915 7869 ) … … 7928 7882 ) 7929 7883 xt "-172000,109200,-146500,110000" 7930 st "SIGNAL sensor_ready : std_logic 7931 " 7884 st "SIGNAL sensor_ready : std_logic" 7932 7885 ) 7933 7886 ) … … 7946 7899 ) 7947 7900 xt "-172000,108400,-142500,109200" 7948 st "SIGNAL sensor_array : sensor_array_type 7949 " 7901 st "SIGNAL sensor_array : sensor_array_type" 7950 7902 ) 7951 7903 ) … … 7966 7918 ) 7967 7919 xt "-172000,59600,-137000,60400" 7968 st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0) 7969 " 7920 st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0)" 7970 7921 ) 7971 7922 ) … … 7984 7935 ) 7985 7936 xt "-172000,58800,-141500,59600" 7986 st "SIGNAL adc_data_array_int : adc_data_array_type 7987 " 7937 st "SIGNAL adc_data_array_int : adc_data_array_type" 7988 7938 ) 7989 7939 ) … … 8825 8775 ) 8826 8776 xt "-172000,36800,-150000,37600" 8827 st "sclk : std_logic 8828 " 8777 st "sclk : std_logic" 8829 8778 ) 8830 8779 ) … … 8845 8794 ) 8846 8795 xt "-172000,44800,-150000,45600" 8847 st "sio : std_logic 8848 " 8796 st "sio : std_logic" 8849 8797 ) 8850 8798 ) … … 8863 8811 ) 8864 8812 xt "-172000,28000,-150000,28800" 8865 st "dac_cs : std_logic 8866 " 8813 st "dac_cs : std_logic" 8867 8814 ) 8868 8815 ) … … 8882 8829 ) 8883 8830 xt "-172000,37600,-140500,38400" 8884 st "sensor_cs : std_logic_vector(3 DOWNTO 0) 8885 " 8831 st "sensor_cs : std_logic_vector(3 DOWNTO 0)" 8886 8832 ) 8887 8833 ) … … 9081 9027 ) 9082 9028 xt "-172000,35200,-129000,36000" 9083 st "mosi : std_logic := '0' 9084 " 9029 st "mosi : std_logic := '0'" 9085 9030 ) 9086 9031 ) … … 9147 9092 ) 9148 9093 xt "-172000,30400,-115500,31200" 9149 st "denable : std_logic := '0' -- default domino wave off 9150 " 9094 st "denable : std_logic := '0' -- default domino wave off" 9151 9095 ) 9152 9096 ) … … 9934 9878 ) 9935 9879 xt "-172000,107600,-146500,108400" 9936 st "SIGNAL sclk_enable : std_logic 9937 " 9880 st "SIGNAL sclk_enable : std_logic" 9938 9881 ) 9939 9882 ) … … 9953 9896 ) 9954 9897 xt "-172000,58000,-146500,58800" 9955 st "SIGNAL adc_clk_en : std_logic 9956 " 9898 st "SIGNAL adc_clk_en : std_logic" 9957 9899 ) 9958 9900 ) … … 10426 10368 ) 10427 10369 xt "-172000,92400,-110000,93200" 10428 st "SIGNAL ps_direction : std_logic := '1' -- default phase shift upwards 10429 " 10370 st "SIGNAL ps_direction : std_logic := '1' -- default phase shift upwards" 10430 10371 ) 10431 10372 ) … … 10448 10389 ) 10449 10390 xt "-172000,93200,-109000,94000" 10450 st "SIGNAL ps_do_phase_shift : std_logic := '0' --pulse this to phase shift once 10451 " 10391 st "SIGNAL ps_do_phase_shift : std_logic := '0' --pulse this to phase shift once" 10452 10392 ) 10453 10393 ) … … 10469 10409 ) 10470 10410 xt "-172000,94000,-101500,94800" 10471 st "SIGNAL ps_reset : std_logic := '0' -- pulse this to reset the variable phase shift 10472 " 10411 st "SIGNAL ps_reset : std_logic := '0' -- pulse this to reset the variable phase shift" 10473 10412 ) 10474 10413 ) … … 10488 10427 ) 10489 10428 xt "-172000,114000,-125500,114800" 10490 st "SIGNAL srclk_enable : std_logic := '0' 10491 " 10429 st "SIGNAL srclk_enable : std_logic := '0'" 10492 10430 ) 10493 10431 ) … … 10873 10811 ) 10874 10812 xt "-172000,57200,-125500,58000" 10875 st "SIGNAL SRCLK1 : std_logic := '0' 10876 " 10813 st "SIGNAL SRCLK1 : std_logic := '0'" 10877 10814 ) 10878 10815 ) … … 10891 10828 ) 10892 10829 xt "-172000,106000,-146500,106800" 10893 st "SIGNAL s_trigger : std_logic 10894 " 10830 st "SIGNAL s_trigger : std_logic" 10895 10831 ) 10896 10832 ) … … 10909 10845 ) 10910 10846 xt "-172000,116400,-146500,117200" 10911 st "SIGNAL start_srin_write_8b : std_logic 10912 " 10847 st "SIGNAL start_srin_write_8b : std_logic" 10913 10848 ) 10914 10849 ) … … 10928 10863 ) 10929 10864 xt "-172000,114800,-125500,115600" 10930 st "SIGNAL srin_write_ack : std_logic := '0' 10931 " 10865 st "SIGNAL srin_write_ack : std_logic := '0'" 10932 10866 ) 10933 10867 ) … … 10947 10881 ) 10948 10882 xt "-172000,115600,-125500,116400" 10949 st "SIGNAL srin_write_ready : std_logic := '0' 10950 " 10883 st "SIGNAL srin_write_ready : std_logic := '0'" 10951 10884 ) 10952 10885 ) … … 10967 10900 ) 10968 10901 xt "-172000,84400,-119500,85200" 10969 st "SIGNAL drs_srin_data : std_logic_vector(7 downto 0) := (others => '0') 10970 " 10902 st "SIGNAL drs_srin_data : std_logic_vector(7 downto 0) := (others => '0')" 10971 10903 ) 10972 10904 ) … … 10986 10918 ) 10987 10919 xt "-172000,23200,-129000,24000" 10988 st "SRIN_out : std_logic := '0' 10989 " 10920 st "SRIN_out : std_logic := '0'" 10990 10921 ) 10991 10922 ) … … 11503 11434 ) 11504 11435 xt "-172000,110000,-146500,110800" 11505 st "SIGNAL socks_connected : std_logic 11506 " 11436 st "SIGNAL socks_connected : std_logic" 11507 11437 ) 11508 11438 ) … … 11521 11451 ) 11522 11452 xt "-172000,110800,-146500,111600" 11523 st "SIGNAL socks_waiting : std_logic 11524 " 11453 st "SIGNAL socks_waiting : std_logic" 11525 11454 ) 11526 11455 ) … … 11539 11468 ) 11540 11469 xt "-172000,32800,-150000,33600" 11541 st "green : std_logic 11542 " 11470 st "green : std_logic" 11543 11471 ) 11544 11472 ) … … 11601 11529 ) 11602 11530 xt "-172000,26400,-150000,27200" 11603 st "amber : std_logic 11604 " 11531 st "amber : std_logic" 11605 11532 ) 11606 11533 ) … … 11663 11590 ) 11664 11591 xt "-172000,36000,-150000,36800" 11665 st "red : std_logic 11666 " 11592 st "red : std_logic" 11667 11593 ) 11668 11594 ) … … 12162 12088 ) 12163 12089 xt "-172000,82800,-146500,83600" 12164 st "SIGNAL drs_readout_started : std_logic 12165 " 12090 st "SIGNAL drs_readout_started : std_logic" 12166 12091 ) 12167 12092 ) … … 12180 12105 ) 12181 12106 xt "-172000,118000,-146500,118800" 12182 st "SIGNAL trigger_enable : std_logic 12183 " 12107 st "SIGNAL trigger_enable : std_logic" 12184 12108 ) 12185 12109 ) … … 12868 12792 st "-- -- 12869 12793 -- drs_dwrite : out std_logic := '1'; 12870 SIGNAL drs_readout_ready : std_logic := '0' 12871 " 12794 SIGNAL drs_readout_ready : std_logic := '0'" 12872 12795 ) 12873 12796 ) … … 12886 12809 ) 12887 12810 xt "-172000,82000,-146500,82800" 12888 st "SIGNAL drs_readout_ready_ack : std_logic 12889 " 12811 st "SIGNAL drs_readout_ready_ack : std_logic" 12890 12812 ) 12891 12813 ) … … 13141 13063 ) 13142 13064 xt "-172000,61200,-125500,62000" 13143 st "SIGNAL c_trigger_enable : std_logic := '0' 13144 " 13065 st "SIGNAL c_trigger_enable : std_logic := '0'" 13145 13066 ) 13146 13067 ) … … 13656 13577 ) 13657 13578 xt "-172000,4000,-140500,4800" 13658 st "D_T_in : std_logic_vector(1 DOWNTO 0) 13659 " 13579 st "D_T_in : std_logic_vector(1 DOWNTO 0)" 13660 13580 ) 13661 13581 ) … … 13720 13640 ) 13721 13641 xt "-172000,12000,-118500,12800" 13722 st "drs_refclk_in : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit 13723 " 13642 st "drs_refclk_in : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit" 13724 13643 ) 13725 13644 ) … … 13785 13704 ) 13786 13705 xt "-172000,12800,-111000,13600" 13787 st "plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked 13788 " 13706 st "plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked" 13789 13707 ) 13790 13708 ) … … 14066 13984 ) 14067 13985 xt "-172000,24800,-150000,25600" 14068 st "alarm_refclk_too_high : std_logic 14069 " 13986 st "alarm_refclk_too_high : std_logic" 14070 13987 ) 14071 13988 ) … … 14129 14046 ) 14130 14047 xt "-172000,25600,-150000,26400" 14131 st "alarm_refclk_too_low : std_logic 14132 " 14048 st "alarm_refclk_too_low : std_logic" 14133 14049 ) 14134 14050 ) … … 14192 14108 ) 14193 14109 xt "-172000,27200,-140000,28000" 14194 st "counter_result : std_logic_vector(11 DOWNTO 0) 14195 " 14110 st "counter_result : std_logic_vector(11 DOWNTO 0)" 14196 14111 ) 14197 14112 ) … … 14881 14796 ) 14882 14797 xt "-172000,67600,-112000,68400" 14883 st "SIGNAL denable_prim : std_logic := '0' -- default domino wave off 14884 " 14798 st "SIGNAL denable_prim : std_logic := '0' -- default domino wave off" 14885 14799 ) 14886 14800 ) … … 14902 14816 ) 14903 14817 xt "-172000,70800,-112000,71600" 14904 st "SIGNAL din1 : std_logic := '0' -- default domino wave off 14905 " 14818 st "SIGNAL din1 : std_logic := '0' -- default domino wave off" 14906 14819 ) 14907 14820 ) … … 14920 14833 ) 14921 14834 xt "-172000,120400,-146500,121200" 14922 st "SIGNAL trigger_out : std_logic 14923 " 14835 st "SIGNAL trigger_out : std_logic" 14924 14836 ) 14925 14837 ) … … 14942 14854 ) 14943 14855 xt "-172000,118800,-136500,119600" 14944 st "SIGNAL trigger_id : std_logic_vector(31 downto 0) 14945 " 14856 st "SIGNAL trigger_id : std_logic_vector(31 downto 0)" 14946 14857 ) 14947 14858 ) … … 14964 14875 ) 14965 14876 xt "-172000,49200,-119500,50000" 14966 st "SIGNAL DCM_PS_status : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 14967 " 14877 st "SIGNAL DCM_PS_status : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 14968 14878 ) 14969 14879 ) … … 15188 15098 ) 15189 15099 xt "-172000,71600,-119500,72400" 15190 st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0) := (others => '0') 15191 " 15100 st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0) := (others => '0')" 15192 15101 ) 15193 15102 ) … … 15209 15118 ) 15210 15119 xt "-172000,98800,-125500,99600" 15211 st "SIGNAL ready : STD_LOGIC := '0' 15212 " 15120 st "SIGNAL ready : STD_LOGIC := '0'" 15213 15121 ) 15214 15122 ) … … 15743 15651 ) 15744 15652 xt "-172000,87600,-146500,88400" 15745 st "SIGNAL enable_i : std_logic 15746 " 15653 st "SIGNAL enable_i : std_logic" 15747 15654 ) 15748 15655 ) … … 15954 15861 ) 15955 15862 xt "-172000,100400,-146500,101200" 15956 st "SIGNAL reset_synch_i : std_logic 15957 " 15863 st "SIGNAL reset_synch_i : std_logic" 15958 15864 ) 15959 15865 ) … … 15973 15879 ) 15974 15880 xt "-172000,117200,-136500,118000" 15975 st "SIGNAL time : std_logic_vector(31 DOWNTO 0) 15976 " 15881 st "SIGNAL time : std_logic_vector(31 DOWNTO 0)" 15977 15882 ) 15978 15883 ) … … 15994 15899 ) 15995 15900 xt "-172000,103600,-132000,104400" 15996 st "SIGNAL rs465_data : std_logic_vector(55 DOWNTO 0) --7 byte 15997 " 15901 st "SIGNAL rs465_data : std_logic_vector(55 DOWNTO 0) --7 byte" 15998 15902 ) 15999 15903 ) … … 16023 15927 -- during EVT header wrinting, this field is left out ... and only written into event header, 16024 15928 -- when the DRS chip were read out already. 16025 SIGNAL FTM_RS485_ready : std_logic 16026 " 15929 SIGNAL FTM_RS485_ready : std_logic" 16027 15930 ) 16028 15931 ) … … 16043 15946 ) 16044 15947 xt "-172000,62000,-136500,62800" 16045 st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0) 16046 " 15948 st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0)" 16047 15949 ) 16048 15950 ) … … 16062 15964 ) 16063 15965 xt "-172000,66000,-146500,66800" 16064 st "SIGNAL data_ram_empty : std_logic 16065 " 15966 st "SIGNAL data_ram_empty : std_logic" 16066 15967 ) 16067 15968 ) … … 16126 16027 ) 16127 16028 xt "-172000,15200,-150000,16000" 16128 st "ADC_CLK : std_logic 16129 " 16029 st "ADC_CLK : std_logic" 16130 16030 ) 16131 16031 ) … … 16520 16420 ) 16521 16421 xt "-172000,63600,-120000,64400" 16522 st "SIGNAL current_dac_array : dac_array_type := ( others => 0) 16523 " 16422 st "SIGNAL current_dac_array : dac_array_type := ( others => 0)" 16524 16423 ) 16525 16424 ) … … 16540 16439 ) 16541 16440 xt "-172000,119600,-146500,120400" 16542 st "SIGNAL trigger_or_s_trigger : std_logic 16543 " 16441 st "SIGNAL trigger_or_s_trigger : std_logic" 16544 16442 ) 16545 16443 ) … … 16560 16458 ) 16561 16459 xt "-172000,88400,-146500,89200" 16562 st "SIGNAL enabled_trigger_or_s_trigger : std_logic 16563 " 16460 st "SIGNAL enabled_trigger_or_s_trigger : std_logic" 16564 16461 ) 16565 16462 ) … … 16578 16475 ) 16579 16476 xt "-172000,62800,-146500,63600" 16580 st "SIGNAL cont_trigger : std_logic 16581 " 16477 st "SIGNAL cont_trigger : std_logic" 16582 16478 ) 16583 16479 ) … … 16596 16492 ) 16597 16493 xt "-172000,106800,-146500,107600" 16598 st "SIGNAL s_trigger_or_cont_trigger : std_logic 16599 " 16494 st "SIGNAL s_trigger_or_cont_trigger : std_logic" 16600 16495 ) 16601 16496 ) … … 16617 16512 ) 16618 16513 xt "-172000,64400,-98500,65200" 16619 st "SIGNAL dac_setting : dac_array_type := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd 16620 " 16514 st "SIGNAL dac_setting : dac_array_type := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd" 16621 16515 ) 16622 16516 ) … … 16635 16529 ) 16636 16530 xt "-172000,102800,-144000,103600" 16637 st "SIGNAL roi_setting : roi_array_type 16638 " 16531 st "SIGNAL roi_setting : roi_array_type" 16639 16532 ) 16640 16533 ) … … 16654 16547 ) 16655 16548 xt "-172000,90000,-125500,90800" 16656 st "SIGNAL memory_manager_config_start : std_logic := '0' 16657 " 16549 st "SIGNAL memory_manager_config_start : std_logic := '0'" 16658 16550 ) 16659 16551 ) … … 16672 16564 ) 16673 16565 xt "-172000,90800,-146500,91600" 16674 st "SIGNAL memory_manager_config_valid : std_logic 16675 " 16566 st "SIGNAL memory_manager_config_valid : std_logic" 16676 16567 ) 16677 16568 ) … … 16691 16582 ) 16692 16583 xt "-172000,112400,-125500,113200" 16693 st "SIGNAL spi_interface_config_start : std_logic := '0' 16694 " 16584 st "SIGNAL spi_interface_config_start : std_logic := '0'" 16695 16585 ) 16696 16586 ) … … 16709 16599 ) 16710 16600 xt "-172000,113200,-146500,114000" 16711 st "SIGNAL spi_interface_config_valid : std_logic 16712 " 16601 st "SIGNAL spi_interface_config_valid : std_logic" 16713 16602 ) 16714 16603 ) … … 17660 17549 ) 17661 17550 xt "-172000,73200,-146500,74000" 17662 st "SIGNAL dout0 : STD_LOGIC 17663 " 17551 st "SIGNAL dout0 : STD_LOGIC" 17664 17552 ) 17665 17553 ) … … 17678 17566 ) 17679 17567 xt "-172000,74000,-146500,74800" 17680 st "SIGNAL dout1 : STD_LOGIC 17681 " 17568 st "SIGNAL dout1 : STD_LOGIC" 17682 17569 ) 17683 17570 ) … … 17696 17583 ) 17697 17584 xt "-172000,74800,-146500,75600" 17698 st "SIGNAL dout2 : STD_LOGIC 17699 " 17585 st "SIGNAL dout2 : STD_LOGIC" 17700 17586 ) 17701 17587 ) … … 17714 17600 ) 17715 17601 xt "-172000,75600,-146500,76400" 17716 st "SIGNAL dout3 : STD_LOGIC 17717 " 17602 st "SIGNAL dout3 : STD_LOGIC" 17718 17603 ) 17719 17604 ) … … 18180 18065 ) 18181 18066 xt "-172000,72400,-146500,73200" 18182 st "SIGNAL dout : STD_LOGIC 18183 " 18067 st "SIGNAL dout : STD_LOGIC" 18184 18068 ) 18185 18069 ) … … 18198 18082 ) 18199 18083 xt "-172000,56400,-146500,57200" 18200 st "SIGNAL I_really_want_dwrite : STD_LOGIC 18201 " 18084 st "SIGNAL I_really_want_dwrite : STD_LOGIC" 18202 18085 ) 18203 18086 ) … … 18217 18100 ) 18218 18101 xt "-172000,85200,-125500,86000" 18219 st "SIGNAL dwrite_enable_w5300 : std_logic := '1' 18220 " 18102 st "SIGNAL dwrite_enable_w5300 : std_logic := '1'" 18221 18103 ) 18222 18104 ) … … 18236 18118 ) 18237 18119 xt "-172000,86000,-125500,86800" 18238 st "SIGNAL dwrite_global_enable : std_logic := '1' 18239 " 18120 st "SIGNAL dwrite_global_enable : std_logic := '1'" 18240 18121 ) 18241 18122 ) … … 18621 18502 ) 18622 18503 xt "-172000,76400,-146500,77200" 18623 st "SIGNAL dout4 : STD_LOGIC 18624 " 18504 st "SIGNAL dout4 : STD_LOGIC" 18625 18505 ) 18626 18506 ) … … 18640 18520 ) 18641 18521 xt "-172000,86800,-125500,87600" 18642 st "SIGNAL dwrite_trigger_manager : std_logic := '1' 18643 " 18522 st "SIGNAL dwrite_trigger_manager : std_logic := '1'" 18644 18523 ) 18645 18524 ) … … 19201 19080 ) 19202 19081 xt "-172000,68400,-112000,69200" 19203 st "SIGNAL denable_sig : std_logic := '0' -- default domino wave off 19204 " 19082 st "SIGNAL denable_sig : std_logic := '0' -- default domino wave off" 19205 19083 ) 19206 19084 ) … … 19219 19097 ) 19220 19098 xt "-172000,50000,-146500,50800" 19221 st "SIGNAL DCM_locked_status : std_logic 19222 " 19099 st "SIGNAL DCM_locked_status : std_logic" 19223 19100 ) 19224 19101 ) … … 19237 19114 ) 19238 19115 xt "-172000,50800,-146500,51600" 19239 st "SIGNAL DCM_ready_status : std_logic 19240 " 19116 st "SIGNAL DCM_ready_status : std_logic" 19241 19117 ) 19242 19118 ) … … 19256 19132 ) 19257 19133 xt "-172000,39200,-129000,40000" 19258 st "trigger_veto : std_logic := '1' 19259 " 19134 st "trigger_veto : std_logic := '1'" 19260 19135 ) 19261 19136 ) … … 19910 19785 ) 19911 19786 xt "-172000,4800,-150000,5600" 19912 st "FTM_RS485_rx_d : std_logic 19913 " 19787 st "FTM_RS485_rx_d : std_logic" 19914 19788 ) 19915 19789 ) … … 19928 19802 ) 19929 19803 xt "-172000,20000,-150000,20800" 19930 st "FTM_RS485_tx_d : std_logic 19931 " 19804 st "FTM_RS485_tx_d : std_logic" 19932 19805 ) 19933 19806 ) … … 19946 19819 ) 19947 19820 xt "-172000,19200,-150000,20000" 19948 st "FTM_RS485_rx_en : std_logic 19949 " 19821 st "FTM_RS485_rx_en : std_logic" 19950 19822 ) 19951 19823 ) … … 19964 19836 ) 19965 19837 xt "-172000,20800,-150000,21600" 19966 st "FTM_RS485_tx_en : std_logic 19967 " 19838 st "FTM_RS485_tx_en : std_logic" 19968 19839 ) 19969 19840 ) … … 19983 19854 ) 19984 19855 xt "-172000,99600,-125500,100400" 19985 st "SIGNAL rec_timeout_occured : std_logic := '0' 19986 " 19856 st "SIGNAL rec_timeout_occured : std_logic := '0'" 19987 19857 ) 19988 19858 ) … … 20002 19872 ) 20003 19873 xt "-172000,101200,-125500,102000" 20004 st "SIGNAL reset_trigger_id : std_logic := '0' 20005 " 19874 st "SIGNAL reset_trigger_id : std_logic := '0'" 20006 19875 ) 20007 19876 ) … … 20067 19936 ) 20068 19937 xt "-172000,40000,-115000,40800" 20069 st "w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging. 20070 " 19938 st "w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging." 20071 19939 ) 20072 19940 ) … … 20085 19953 ) 20086 19954 xt "-172000,28800,-150000,29600" 20087 st "debug_data_ram_empty : std_logic 20088 " 19955 st "debug_data_ram_empty : std_logic" 20089 19956 ) 20090 19957 ) … … 20147 20014 ) 20148 20015 xt "-172000,29600,-150000,30400" 20149 st "debug_data_valid : std_logic 20150 " 20016 st "debug_data_valid : std_logic" 20151 20017 ) 20152 20018 ) … … 20402 20268 ) 20403 20269 xt "-172000,111600,-146500,112400" 20404 st "SIGNAL software_trigger_in : std_logic 20405 " 20270 st "SIGNAL software_trigger_in : std_logic" 20406 20271 ) 20407 20272 ) … … 20468 20333 ) 20469 20334 xt "-172000,34400,-115000,35200" 20470 st "mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging. 20471 " 20335 st "mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging." 20472 20336 ) 20473 20337 ) … … 20486 20350 ) 20487 20351 xt "-172000,89200,-146500,90000" 20488 st "SIGNAL is_idle : std_logic 20489 " 20352 st "SIGNAL is_idle : std_logic" 20490 20353 ) 20491 20354 ) … … 20552 20415 xt "-172000,17600,-140500,19200" 20553 20416 st "-- for debugging 20554 DG_state : std_logic_vector(7 downto 0) 20555 " 20417 DG_state : std_logic_vector(7 downto 0)" 20556 20418 ) 20557 20419 ) … … 21373 21235 ) 21374 21236 xt "-172000,66800,-125500,67600" 21375 st "SIGNAL data_valid_ack : std_logic := '0' 21376 " 21237 st "SIGNAL data_valid_ack : std_logic := '0'" 21377 21238 ) 21378 21239 ) … … 21392 21253 ) 21393 21254 xt "-172000,70000,-125500,70800" 21394 st "SIGNAL dg_start_config : std_logic := '0' 21395 " 21255 st "SIGNAL dg_start_config : std_logic := '0'" 21396 21256 ) 21397 21257 ) … … 21410 21270 ) 21411 21271 xt "-172000,69200,-146500,70000" 21412 st "SIGNAL dg_config_done : std_logic 21413 " 21272 st "SIGNAL dg_config_done : std_logic" 21414 21273 ) 21415 21274 ) … … 21433 21292 xt "-172000,104400,-136500,106000" 21434 21293 st "-- EVT HEADER - part 6 21435 SIGNAL runnumber : std_logic_vector(31 downto 0) 21436 " 21294 SIGNAL runnumber : std_logic_vector(31 downto 0)" 21437 21295 ) 21438 21296 ) … … 21454 21312 ) 21455 21313 xt "-172000,38400,-125000,39200" 21456 st "socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true 21457 " 21314 st "socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true" 21458 21315 ) 21459 21316 ) … … 29246 29103 va (VaSet 29247 29104 ) 29248 xt "-163000,-15000,-14 4800,-2000"29105 xt "-163000,-15000,-147500,-2000" 29249 29106 st "library ieee; 29250 29107 use ieee.std_logic_1164.all; … … 29293 29150 isHidden 1 29294 29151 ) 29295 xt "20000,2000,2 8200,4000"29152 xt "20000,2000,27500,4000" 29296 29153 st "`resetall 29297 29154 `timescale 1ns/10ps" … … 29337 29194 associable 1 29338 29195 ) 29339 windowSize "0,0,1 681,1028"29340 viewArea " 66700,94575,176350,161025"29196 windowSize "0,0,1281,1024" 29197 viewArea "55772,49755,165154,139961" 29341 29198 cachedDiagramExtent "-174000,-16000,261100,353300" 29342 29199 pageSetupInfo (PageSetupInfo … … 29364 29221 hasePageBreakOrigin 1 29365 29222 pageBreakOrigin "-73000,0" 29366 lastUid 28 291,029223 lastUid 28504,0 29367 29224 defaultCommentText (CommentText 29368 29225 shape (Rectangle … … 29379 29236 fg "0,0,32768" 29380 29237 ) 29381 xt "200,200,2 400,1200"29238 xt "200,200,2000,1200" 29382 29239 st " 29383 29240 Text … … 29797 29654 va (VaSet 29798 29655 ) 29799 xt "200,200,2 400,1200"29656 xt "200,200,2000,1200" 29800 29657 st " 29801 29658 Text … … 30135 29992 va (VaSet 30136 29993 ) 30137 xt "0,-1100,12 900,-100"29994 xt "0,-1100,12600,-100" 30138 29995 st "g0: FOR i IN 0 TO n GENERATE" 30139 29996 tm "FrameTitleTextMgr" … … 30195 30052 va (VaSet 30196 30053 ) 30197 xt "0,-1100,7 700,-100"30054 xt "0,-1100,7400,-100" 30198 30055 st "b0: BLOCK (guard)" 30199 30056 tm "FrameTitleTextMgr" -
TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak ¶
r10883 r10901 599 599 (vvPair 600 600 variable "time" 601 value "1 3:56:52"601 value "14:53:43" 602 602 ) 603 603 (vvPair … … 690 690 font "Courier New,8,0" 691 691 ) 692 xt "-172000,125200,-125500,126000" 693 st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\"" 692 xt "-172000,126000,-125500,126800" 693 st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\" 694 " 694 695 ) 695 696 ) … … 708 709 font "Courier New,8,0" 709 710 ) 710 xt "-172000,59600,-129000,60400" 711 st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 711 xt "-172000,60400,-129000,61200" 712 st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0) 713 " 712 714 ) 713 715 ) … … 726 728 font "Courier New,8,0" 727 729 ) 728 xt "-172000,64400,-136500,65200" 729 st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0)" 730 xt "-172000,65200,-136500,66000" 731 st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0) 732 " 730 733 ) 731 734 ) … … 744 747 font "Courier New,8,0" 745 748 ) 746 xt "-172000,94000,-129000,94800" 747 st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)" 749 xt "-172000,94800,-129000,95600" 750 st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) 751 " 748 752 ) 749 753 ) … … 762 766 font "Courier New,8,0" 763 767 ) 764 xt "-172000,94800,-136500,95600" 765 st "SIGNAL ram_data : std_logic_vector(15 downto 0)" 768 xt "-172000,95600,-136500,96400" 769 st "SIGNAL ram_data : std_logic_vector(15 downto 0) 770 " 766 771 ) 767 772 ) … … 780 785 font "Courier New,8,0" 781 786 ) 782 xt "-172000,42400,-129000,43200" 783 st "wiz_reset : std_logic := '1'" 787 xt "-172000,43200,-129000,44000" 788 st "wiz_reset : std_logic := '1' 789 " 784 790 ) 785 791 ) … … 798 804 font "Courier New,8,0" 799 805 ) 800 xt "-172000,40000,-140500,40800" 801 st "wiz_addr : std_logic_vector(9 DOWNTO 0)" 806 xt "-172000,40800,-140500,41600" 807 st "wiz_addr : std_logic_vector(9 DOWNTO 0) 808 " 802 809 ) 803 810 ) … … 816 823 font "Courier New,8,0" 817 824 ) 818 xt "-172000,44800,-140000,45600" 819 st "wiz_data : std_logic_vector(15 DOWNTO 0)" 825 xt "-172000,45600,-140000,46400" 826 st "wiz_data : std_logic_vector(15 DOWNTO 0) 827 " 820 828 ) 821 829 ) … … 834 842 font "Courier New,8,0" 835 843 ) 836 xt "-172000,40800,-129000,41600" 837 st "wiz_cs : std_logic := '1'" 844 xt "-172000,41600,-129000,42400" 845 st "wiz_cs : std_logic := '1' 846 " 838 847 ) 839 848 ) … … 852 861 font "Courier New,8,0" 853 862 ) 854 xt "-172000,43200,-129000,44000" 855 st "wiz_wr : std_logic := '1'" 863 xt "-172000,44000,-129000,44800" 864 st "wiz_wr : std_logic := '1' 865 " 856 866 ) 857 867 ) … … 870 880 font "Courier New,8,0" 871 881 ) 872 xt "-172000,41600,-129000,42400" 873 st "wiz_rd : std_logic := '1'" 882 xt "-172000,42400,-129000,43200" 883 st "wiz_rd : std_logic := '1' 884 " 874 885 ) 875 886 ) … … 888 899 ) 889 900 xt "-172000,14400,-150000,15200" 890 st "wiz_int : std_logic" 901 st "wiz_int : std_logic 902 " 891 903 ) 892 904 ) … … 3363 3375 ) 3364 3376 xt "-172000,10400,-140500,11200" 3365 st "board_id : std_logic_vector(3 DOWNTO 0)" 3377 st "board_id : std_logic_vector(3 DOWNTO 0) 3378 " 3366 3379 ) 3367 3380 ) … … 3382 3395 ) 3383 3396 xt "-172000,13600,-150000,14400" 3384 st "trigger : std_logic" 3397 st "trigger : std_logic 3398 " 3385 3399 ) 3386 3400 ) … … 3464 3478 preAdd 0 3465 3479 posAdd 0 3466 o 53480 o 6 3467 3481 suid 1,0 3468 3482 ) … … 3502 3516 preAdd 0 3503 3517 posAdd 0 3504 o 63518 o 7 3505 3519 suid 2,0 3506 3520 i "'1'" … … 3542 3556 preAdd 0 3543 3557 posAdd 0 3544 o 73558 o 8 3545 3559 suid 3,0 3546 3560 ) … … 3581 3595 preAdd 0 3582 3596 posAdd 0 3583 o 83597 o 9 3584 3598 suid 4,0 3585 3599 ) … … 3619 3633 preAdd 0 3620 3634 posAdd 0 3621 o 93635 o 10 3622 3636 suid 5,0 3623 3637 i "'1'" … … 3658 3672 preAdd 0 3659 3673 posAdd 0 3660 o 1 03674 o 11 3661 3675 suid 6,0 3662 3676 i "'1'" … … 3697 3711 preAdd 0 3698 3712 posAdd 0 3699 o 1 23713 o 13 3700 3714 suid 8,0 3701 3715 i "'1'" … … 3735 3749 preAdd 0 3736 3750 posAdd 0 3737 o 1 33751 o 14 3738 3752 suid 9,0 3739 3753 ) … … 3772 3786 preAdd 0 3773 3787 posAdd 0 3774 o 1 43788 o 15 3775 3789 suid 10,0 3776 3790 ) … … 3809 3823 preAdd 0 3810 3824 posAdd 0 3811 o 1 53825 o 16 3812 3826 suid 11,0 3813 3827 ) … … 3846 3860 preAdd 0 3847 3861 posAdd 0 3848 o 1 63862 o 17 3849 3863 suid 12,0 3850 3864 ) … … 3884 3898 preAdd 0 3885 3899 posAdd 0 3886 o 1 73900 o 18 3887 3901 suid 13,0 3888 3902 ) … … 3920 3934 preAdd 0 3921 3935 posAdd 0 3922 o 1 83936 o 19 3923 3937 suid 14,0 3924 3938 ) … … 3957 3971 preAdd 0 3958 3972 posAdd 0 3959 o 2 03973 o 21 3960 3974 suid 15,0 3961 3975 i "'1'" … … 3994 4008 b "(3 downto 0)" 3995 4009 posAdd 0 3996 o 2 34010 o 24 3997 4011 suid 20,0 3998 4012 ) … … 4028 4042 n "write_end_flag" 4029 4043 t "std_logic" 4030 o 2 24044 o 23 4031 4045 suid 18,0 4032 4046 ) … … 4062 4076 n "write_header_flag" 4063 4077 t "std_logic" 4064 o 2 14078 o 22 4065 4079 suid 19,0 4066 4080 ) … … 4100 4114 b "(7 DOWNTO 0)" 4101 4115 posAdd 0 4102 o 1 14116 o 12 4103 4117 suid 22,0 4104 4118 i "(OTHERS => '0')" … … 4138 4152 prec "-- softtrigger:" 4139 4153 preAdd 0 4140 o 2 44154 o 25 4141 4155 suid 23,0 4142 4156 i "'0'" … … 4178 4192 preAdd 0 4179 4193 posAdd 0 4180 o 4 04194 o 41 4181 4195 suid 31,0 4182 4196 i "'0'" … … 4218 4232 preAdd 0 4219 4233 posAdd 0 4220 o 4 14234 o 42 4221 4235 suid 32,0 4222 4236 i "'1'" … … 4254 4268 n "data_valid_ack" 4255 4269 t "std_logic" 4256 o 194270 o 20 4257 4271 suid 34,0 4258 4272 i "'0'" … … 4293 4307 eolc "-- default DWRITE HIGH." 4294 4308 posAdd 0 4295 o 4 24309 o 43 4296 4310 suid 35,0 4297 4311 i "'1'" … … 4338 4352 preAdd 0 4339 4353 posAdd 0 4340 o 4 44354 o 45 4341 4355 suid 36,0 4342 4356 i "'1'" … … 4378 4392 preAdd 0 4379 4393 posAdd 0 4380 o 4 54394 o 46 4381 4395 suid 37,0 4382 4396 i "'0'" … … 4417 4431 eolc "-- pulse this to reset the variable phase shift" 4418 4432 posAdd 0 4419 o 4 64433 o 47 4420 4434 suid 38,0 4421 4435 i "'0'" … … 4456 4470 eolc "-- default SRCLK on." 4457 4471 posAdd 0 4458 o 4 34472 o 44 4459 4473 suid 39,0 4460 4474 i "'1'" … … 4495 4509 posc "------------------------------------------------------------------------------" 4496 4510 posAdd 0 4497 o 494511 o 50 4498 4512 suid 42,0 4499 4513 ) … … 4537 4551 ------------------------------------------------------------------------------" 4538 4552 preAdd 0 4539 o 4 84553 o 49 4540 4554 suid 43,0 4541 4555 ) … … 4579 4593 preAdd 0 4580 4594 posAdd 0 4581 o 394595 o 40 4582 4596 suid 44,0 4583 4597 ) … … 4614 4628 n "c_trigger_enable" 4615 4629 t "std_logic" 4616 o 2 54630 o 26 4617 4631 suid 45,0 4618 4632 i "'0'" … … 4653 4667 eolc "--subject to changes" 4654 4668 posAdd 0 4655 o 2 64669 o 27 4656 4670 suid 46,0 4657 4671 i "conv_std_logic_vector(0 ,16)" … … 4694 4708 ------------------------------------------------------------------------------" 4695 4709 preAdd 0 4696 o 3 64710 o 37 4697 4711 suid 48,0 4698 4712 ) … … 4729 4743 t "std_logic_vector" 4730 4744 b "(3 downto 0)" 4731 o 3 74745 o 38 4732 4746 suid 49,0 4733 4747 ) … … 4765 4779 b "(1 downto 0)" 4766 4780 posAdd 0 4767 o 3 84781 o 39 4768 4782 suid 50,0 4769 4783 ) … … 4805 4819 preAdd 0 4806 4820 posAdd 0 4807 o 3 14821 o 32 4808 4822 suid 54,0 4809 4823 i "DEFAULT_DAC" … … 4844 4858 ------------------------------------------------------------------------------" 4845 4859 preAdd 0 4846 o 2 74860 o 28 4847 4861 suid 59,0 4848 4862 i "'0'" … … 4879 4893 n "memory_manager_config_valid_i" 4880 4894 t "std_logic" 4881 o 2 84895 o 29 4882 4896 suid 60,0 4883 4897 ) … … 4917 4931 preAdd 0 4918 4932 posAdd 0 4919 o 3 24933 o 33 4920 4934 suid 61,0 4921 4935 i "DEFAULT_ROI" … … 4953 4967 n "spi_interface_config_start_o" 4954 4968 t "std_logic" 4955 o 294969 o 30 4956 4970 suid 63,0 4957 4971 i "'0'" … … 4989 5003 t "std_logic" 4990 5004 posAdd 0 4991 o 3 05005 o 31 4992 5006 suid 64,0 4993 5007 ) … … 5024 5038 t "std_logic" 5025 5039 preAdd 0 5026 o 3 55040 o 36 5027 5041 suid 65,0 5028 5042 ) … … 5059 5073 n "ps_ready" 5060 5074 t "std_logic" 5061 o 4 75075 o 48 5062 5076 suid 66,0 5063 5077 ) … … 5095 5109 t "std_logic_vector" 5096 5110 b "(31 DOWNTO 0)" 5097 o 3 35111 o 34 5098 5112 suid 67,0 5099 i "conv_std_logic_vector(0 ,3 1)"5113 i "conv_std_logic_vector(0 ,32)" 5100 5114 ) 5101 5115 ) … … 5132 5146 n "reset_trigger_id" 5133 5147 t "std_logic" 5134 o 3 45148 o 35 5135 5149 suid 68,0 5136 5150 i "'0'" … … 5283 5297 ) 5284 5298 ) 5299 *135 (CptPort 5300 uid 28264,0 5301 ps "OnEdgeStrategy" 5302 shape (Triangle 5303 uid 28265,0 5304 ro 90 5305 va (VaSet 5306 vasetType 1 5307 fg "0,65535,0" 5308 ) 5309 xt "124000,113625,124750,114375" 5310 ) 5311 tg (CPTG 5312 uid 28266,0 5313 ps "CptPortTextPlaceStrategy" 5314 stg "RightVerticalLayoutStrategy" 5315 f (Text 5316 uid 28267,0 5317 va (VaSet 5318 ) 5319 xt "111100,113500,123000,114500" 5320 st "socket_tx_free_out : (16:0)" 5321 ju 2 5322 blo "123000,114300" 5323 ) 5324 ) 5325 thePort (LogicalPort 5326 m 1 5327 decl (Decl 5328 n "socket_tx_free_out" 5329 t "std_logic_vector" 5330 b "(16 DOWNTO 0)" 5331 eolc "-- 17bit value .. that's true" 5332 posAdd 0 5333 o 5 5334 suid 73,0 5335 ) 5336 ) 5337 ) 5285 5338 ] 5286 5339 shape (Rectangle … … 5300 5353 stg "VerticalLayoutStrategy" 5301 5354 textVec [ 5302 *13 5(Text5355 *136 (Text 5303 5356 uid 1609,0 5304 5357 va (VaSet … … 5310 5363 tm "BdLibraryNameMgr" 5311 5364 ) 5312 *13 6(Text5365 *137 (Text 5313 5366 uid 1610,0 5314 5367 va (VaSet … … 5320 5373 tm "CptNameMgr" 5321 5374 ) 5322 *13 7(Text5375 *138 (Text 5323 5376 uid 1611,0 5324 5377 va (VaSet … … 5373 5426 archFileType "UNKNOWN" 5374 5427 ) 5375 *13 8(Net5428 *139 (Net 5376 5429 uid 1680,0 5377 5430 decl (Decl … … 5388 5441 ) 5389 5442 xt "-172000,11200,-140500,12000" 5390 st "crate_id : std_logic_vector(1 DOWNTO 0)" 5391 ) 5392 ) 5393 *139 (SaComponent 5443 st "crate_id : std_logic_vector(1 DOWNTO 0) 5444 " 5445 ) 5446 ) 5447 *140 (SaComponent 5394 5448 uid 1768,0 5395 5449 optionalChildren [ 5396 *14 0(CptPort5450 *141 (CptPort 5397 5451 uid 1760,0 5398 5452 ps "OnEdgeStrategy" … … 5434 5488 ) 5435 5489 ) 5436 *14 1(CptPort5490 *142 (CptPort 5437 5491 uid 1764,0 5438 5492 ps "OnEdgeStrategy" … … 5471 5525 ) 5472 5526 ) 5473 *14 2(CptPort5527 *143 (CptPort 5474 5528 uid 6207,0 5475 5529 ps "OnEdgeStrategy" … … 5506 5560 ) 5507 5561 ) 5508 *14 3(CptPort5562 *144 (CptPort 5509 5563 uid 23079,0 5510 5564 ps "OnEdgeStrategy" … … 5558 5612 stg "VerticalLayoutStrategy" 5559 5613 textVec [ 5560 *14 4(Text5614 *145 (Text 5561 5615 uid 1771,0 5562 5616 va (VaSet … … 5569 5623 tm "BdLibraryNameMgr" 5570 5624 ) 5571 *14 5(Text5625 *146 (Text 5572 5626 uid 1772,0 5573 5627 va (VaSet … … 5580 5634 tm "CptNameMgr" 5581 5635 ) 5582 *14 6(Text5636 *147 (Text 5583 5637 uid 1773,0 5584 5638 va (VaSet … … 5629 5683 archFileType "UNKNOWN" 5630 5684 ) 5631 *14 7(Net5685 *148 (Net 5632 5686 uid 2297,0 5633 5687 decl (Decl … … 5645 5699 font "Courier New,8,0" 5646 5700 ) 5647 xt "-172000,95600,-129000,96400" 5648 st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)" 5649 ) 5650 ) 5651 *148 (Net 5701 xt "-172000,96400,-129000,97200" 5702 st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0) 5703 " 5704 ) 5705 ) 5706 *149 (Net 5652 5707 uid 2474,0 5653 5708 lang 2 … … 5664 5719 font "Courier New,8,0" 5665 5720 ) 5666 xt "-172000,122000,-125500,122800" 5667 st "SIGNAL wiz_write_ea : std_logic := '0'" 5668 ) 5669 ) 5670 *149 (Net 5721 xt "-172000,122800,-125500,123600" 5722 st "SIGNAL wiz_write_ea : std_logic := '0' 5723 " 5724 ) 5725 ) 5726 *150 (Net 5671 5727 uid 2480,0 5672 5728 lang 2 … … 5684 5740 font "Courier New,8,0" 5685 5741 ) 5686 xt "-172000,124400,-119500,125200" 5687 st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0')" 5688 ) 5689 ) 5690 *150 (Net 5742 xt "-172000,125200,-119500,126000" 5743 st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0') 5744 " 5745 ) 5746 ) 5747 *151 (Net 5691 5748 uid 2486,0 5692 5749 lang 2 … … 5705 5762 font "Courier New,8,0" 5706 5763 ) 5707 xt "-172000,121200,-119500,122000" 5708 st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')" 5709 ) 5710 ) 5711 *151 (Net 5764 xt "-172000,122000,-119500,122800" 5765 st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0') 5766 " 5767 ) 5768 ) 5769 *152 (Net 5712 5770 uid 2492,0 5713 5771 lang 2 … … 5725 5783 font "Courier New,8,0" 5726 5784 ) 5727 xt "-172000,120400,-119500,121200" 5728 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0')" 5729 ) 5730 ) 5731 *152 (Net 5785 xt "-172000,121200,-119500,122000" 5786 st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0') 5787 " 5788 ) 5789 ) 5790 *153 (Net 5732 5791 uid 2498,0 5733 5792 lang 2 … … 5744 5803 font "Courier New,8,0" 5745 5804 ) 5746 xt "-172000,122800,-125500,123600" 5747 st "SIGNAL wiz_write_end : std_logic := '0'" 5748 ) 5749 ) 5750 *153 (Net 5805 xt "-172000,123600,-125500,124400" 5806 st "SIGNAL wiz_write_end : std_logic := '0' 5807 " 5808 ) 5809 ) 5810 *154 (Net 5751 5811 uid 2504,0 5752 5812 lang 2 … … 5763 5823 font "Courier New,8,0" 5764 5824 ) 5765 xt "-172000,123600,-125500,124400" 5766 st "SIGNAL wiz_write_header : std_logic := '0'" 5767 ) 5768 ) 5769 *154 (Net 5825 xt "-172000,124400,-125500,125200" 5826 st "SIGNAL wiz_write_header : std_logic := '0' 5827 " 5828 ) 5829 ) 5830 *155 (Net 5770 5831 uid 2574,0 5771 5832 decl (Decl … … 5780 5841 font "Courier New,8,0" 5781 5842 ) 5782 xt "-172000,96400,-146500,97200" 5783 st "SIGNAL ram_write_ea : std_logic" 5784 ) 5785 ) 5786 *155 (Net 5843 xt "-172000,97200,-146500,98000" 5844 st "SIGNAL ram_write_ea : std_logic 5845 " 5846 ) 5847 ) 5848 *156 (Net 5787 5849 uid 2580,0 5788 5850 decl (Decl … … 5798 5860 font "Courier New,8,0" 5799 5861 ) 5800 xt "-172000,97200,-125500,98000" 5801 st "SIGNAL ram_write_ready : std_logic := '0'" 5802 ) 5803 ) 5804 *156 (Net 5862 xt "-172000,98000,-125500,98800" 5863 st "SIGNAL ram_write_ready : std_logic := '0' 5864 " 5865 ) 5866 ) 5867 *157 (Net 5805 5868 uid 2598,0 5806 5869 decl (Decl … … 5815 5878 font "Courier New,8,0" 5816 5879 ) 5817 xt "-172000,101200,-145000,102000" 5818 st "SIGNAL roi_max : roi_max_type" 5819 ) 5820 ) 5821 *157 (Net 5880 xt "-172000,102000,-145000,102800" 5881 st "SIGNAL roi_max : roi_max_type 5882 " 5883 ) 5884 ) 5885 *158 (Net 5822 5886 uid 2640,0 5823 5887 decl (Decl … … 5833 5897 font "Courier New,8,0" 5834 5898 ) 5835 xt "-172000,90800,-136500,91600" 5836 st "SIGNAL package_length : std_logic_vector(15 downto 0)" 5837 ) 5838 ) 5839 *158 (Net 5899 xt "-172000,91600,-136500,92400" 5900 st "SIGNAL package_length : std_logic_vector(15 downto 0) 5901 " 5902 ) 5903 ) 5904 *159 (Net 5840 5905 uid 2776,0 5841 5906 decl (Decl … … 5852 5917 ) 5853 5918 xt "-172000,24000,-129000,24800" 5854 st "adc_oeb : std_logic := '1'" 5855 ) 5856 ) 5857 *159 (PortIoOut 5919 st "adc_oeb : std_logic := '1' 5920 " 5921 ) 5922 ) 5923 *160 (PortIoOut 5858 5924 uid 2798,0 5859 5925 shape (CompositeShape … … 5900 5966 ) 5901 5967 ) 5902 *16 0(PortIoIn5968 *161 (PortIoIn 5903 5969 uid 2804,0 5904 5970 shape (CompositeShape … … 5945 6011 ) 5946 6012 ) 5947 *16 1(PortIoIn6013 *162 (PortIoIn 5948 6014 uid 2950,0 5949 6015 shape (CompositeShape … … 5990 6056 ) 5991 6057 ) 5992 *16 2(PortIoIn6058 *163 (PortIoIn 5993 6059 uid 2956,0 5994 6060 shape (CompositeShape … … 6035 6101 ) 6036 6102 ) 6037 *16 3(Grouping6103 *164 (Grouping 6038 6104 uid 3137,0 6039 6105 optionalChildren [ 6040 *16 4(CommentText6106 *165 (CommentText 6041 6107 uid 3139,0 6042 6108 shape (Rectangle … … 6069 6135 titleBlock 1 6070 6136 ) 6071 *16 5(CommentText6137 *166 (CommentText 6072 6138 uid 3142,0 6073 6139 shape (Rectangle … … 6100 6166 titleBlock 1 6101 6167 ) 6102 *16 6(CommentText6168 *167 (CommentText 6103 6169 uid 3145,0 6104 6170 shape (Rectangle … … 6131 6197 titleBlock 1 6132 6198 ) 6133 *16 7(CommentText6199 *168 (CommentText 6134 6200 uid 3148,0 6135 6201 shape (Rectangle … … 6162 6228 titleBlock 1 6163 6229 ) 6164 *16 8(CommentText6230 *169 (CommentText 6165 6231 uid 3151,0 6166 6232 shape (Rectangle … … 6192 6258 titleBlock 1 6193 6259 ) 6194 *1 69(CommentText6260 *170 (CommentText 6195 6261 uid 3154,0 6196 6262 shape (Rectangle … … 6223 6289 titleBlock 1 6224 6290 ) 6225 *17 0(CommentText6291 *171 (CommentText 6226 6292 uid 3157,0 6227 6293 shape (Rectangle … … 6255 6321 titleBlock 1 6256 6322 ) 6257 *17 1(CommentText6323 *172 (CommentText 6258 6324 uid 3160,0 6259 6325 shape (Rectangle … … 6286 6352 titleBlock 1 6287 6353 ) 6288 *17 2(CommentText6354 *173 (CommentText 6289 6355 uid 3163,0 6290 6356 shape (Rectangle … … 6317 6383 titleBlock 1 6318 6384 ) 6319 *17 3(CommentText6385 *174 (CommentText 6320 6386 uid 3166,0 6321 6387 shape (Rectangle … … 6361 6427 oxt "14000,66000,55000,71000" 6362 6428 ) 6363 *17 4(Net6429 *175 (Net 6364 6430 uid 3894,0 6365 6431 decl (Decl … … 6375 6441 ) 6376 6442 xt "-172000,16000,-150000,16800" 6377 st "CLK_25_PS : std_logic" 6378 ) 6379 ) 6380 *175 (PortIoOut 6443 st "CLK_25_PS : std_logic 6444 " 6445 ) 6446 ) 6447 *176 (PortIoOut 6381 6448 uid 3978,0 6382 6449 shape (CompositeShape … … 6422 6489 ) 6423 6490 ) 6424 *17 6(Net6491 *177 (Net 6425 6492 uid 4068,0 6426 6493 decl (Decl … … 6438 6505 ) 6439 6506 xt "-172000,16800,-150000,17600" 6440 st "CLK_50 : std_logic" 6441 ) 6442 ) 6443 *177 (Net 6507 st "CLK_50 : std_logic 6508 " 6509 ) 6510 ) 6511 *178 (Net 6444 6512 uid 4204,0 6445 6513 decl (Decl … … 6456 6524 font "Courier New,8,0" 6457 6525 ) 6458 xt "-172000,47600,-146500,48400" 6459 st "SIGNAL CLK_25 : std_logic" 6460 ) 6461 ) 6462 *178 (PortIoOut 6526 xt "-172000,48400,-146500,49200" 6527 st "SIGNAL CLK_25 : std_logic 6528 " 6529 ) 6530 ) 6531 *179 (PortIoOut 6463 6532 uid 4220,0 6464 6533 shape (CompositeShape … … 6504 6573 ) 6505 6574 ) 6506 *1 79(Net6575 *180 (Net 6507 6576 uid 4232,0 6508 6577 decl (Decl … … 6518 6587 ) 6519 6588 xt "-172000,3200,-150000,4000" 6520 st "CLK : std_logic" 6521 ) 6522 ) 6523 *180 (Net 6589 st "CLK : std_logic 6590 " 6591 ) 6592 ) 6593 *181 (Net 6524 6594 uid 4260,0 6525 6595 decl (Decl … … 6536 6606 ) 6537 6607 xt "-172000,9600,-140500,10400" 6538 st "adc_otr_array : std_logic_vector(3 DOWNTO 0)" 6539 ) 6540 ) 6541 *181 (Net 6608 st "adc_otr_array : std_logic_vector(3 DOWNTO 0) 6609 " 6610 ) 6611 ) 6612 *182 (Net 6542 6613 uid 4270,0 6543 6614 decl (Decl … … 6553 6624 ) 6554 6625 xt "-172000,8800,-145000,9600" 6555 st "adc_data_array : adc_data_array_type" 6556 ) 6557 ) 6558 *182 (PortIoIn 6626 st "adc_data_array : adc_data_array_type 6627 " 6628 ) 6629 ) 6630 *183 (PortIoIn 6559 6631 uid 4307,0 6560 6632 shape (CompositeShape … … 6601 6673 ) 6602 6674 ) 6603 *18 3(Net6675 *184 (Net 6604 6676 uid 4399,0 6605 6677 decl (Decl … … 6615 6687 font "Courier New,8,0" 6616 6688 ) 6617 xt "-172000,76400,-125500,77200" 6618 st "SIGNAL drs_clk_en : std_logic := '0'" 6619 ) 6620 ) 6621 *184 (Net 6689 xt "-172000,77200,-125500,78000" 6690 st "SIGNAL drs_clk_en : std_logic := '0' 6691 " 6692 ) 6693 ) 6694 *185 (Net 6622 6695 uid 4405,0 6623 6696 decl (Decl … … 6632 6705 font "Courier New,8,0" 6633 6706 ) 6634 xt "-172000,82800,-140500,83600" 6635 st "SIGNAL drs_s_cell_array : drs_s_cell_array_type" 6636 ) 6637 ) 6638 *185 (Net 6707 xt "-172000,83600,-140500,84400" 6708 st "SIGNAL drs_s_cell_array : drs_s_cell_array_type 6709 " 6710 ) 6711 ) 6712 *186 (Net 6639 6713 uid 4417,0 6640 6714 decl (Decl … … 6650 6724 font "Courier New,8,0" 6651 6725 ) 6652 xt "-172000,77200,-125500,78000" 6653 st "SIGNAL drs_read_s_cell : std_logic := '0'" 6654 ) 6655 ) 6656 *186 (Net 6726 xt "-172000,78000,-125500,78800" 6727 st "SIGNAL drs_read_s_cell : std_logic := '0' 6728 " 6729 ) 6730 ) 6731 *187 (Net 6657 6732 uid 4535,0 6658 6733 decl (Decl … … 6670 6745 ) 6671 6746 xt "-172000,31200,-123000,32000" 6672 st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')" 6673 ) 6674 ) 6675 *187 (Net 6747 st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0') 6748 " 6749 ) 6750 ) 6751 *188 (Net 6676 6752 uid 4543,0 6677 6753 decl (Decl … … 6688 6764 ) 6689 6765 xt "-172000,32000,-129000,32800" 6690 st "drs_dwrite : std_logic := '1'" 6691 ) 6692 ) 6693 *188 (PortIoOut 6766 st "drs_dwrite : std_logic := '1' 6767 " 6768 ) 6769 ) 6770 *189 (PortIoOut 6694 6771 uid 4551,0 6695 6772 shape (CompositeShape … … 6736 6813 ) 6737 6814 ) 6738 *1 89(PortIoOut6815 *190 (PortIoOut 6739 6816 uid 4557,0 6740 6817 shape (CompositeShape … … 6781 6858 ) 6782 6859 ) 6783 *19 0(Net6860 *191 (Net 6784 6861 uid 4669,0 6785 6862 decl (Decl … … 6795 6872 ) 6796 6873 xt "-172000,5600,-150000,6400" 6797 st "SROUT_in_0 : std_logic" 6798 ) 6799 ) 6800 *191 (Net 6874 st "SROUT_in_0 : std_logic 6875 " 6876 ) 6877 ) 6878 *192 (Net 6801 6879 uid 4677,0 6802 6880 decl (Decl … … 6812 6890 ) 6813 6891 xt "-172000,6400,-150000,7200" 6814 st "SROUT_in_1 : std_logic" 6815 ) 6816 ) 6817 *192 (Net 6892 st "SROUT_in_1 : std_logic 6893 " 6894 ) 6895 ) 6896 *193 (Net 6818 6897 uid 4685,0 6819 6898 decl (Decl … … 6829 6908 ) 6830 6909 xt "-172000,7200,-150000,8000" 6831 st "SROUT_in_2 : std_logic" 6832 ) 6833 ) 6834 *193 (Net 6910 st "SROUT_in_2 : std_logic 6911 " 6912 ) 6913 ) 6914 *194 (Net 6835 6915 uid 4693,0 6836 6916 decl (Decl … … 6846 6926 ) 6847 6927 xt "-172000,8000,-150000,8800" 6848 st "SROUT_in_3 : std_logic" 6849 ) 6850 ) 6851 *194 (PortIoIn 6928 st "SROUT_in_3 : std_logic 6929 " 6930 ) 6931 ) 6932 *195 (PortIoIn 6852 6933 uid 4701,0 6853 6934 shape (CompositeShape … … 6894 6975 ) 6895 6976 ) 6896 *19 5(PortIoIn6977 *196 (PortIoIn 6897 6978 uid 4707,0 6898 6979 shape (CompositeShape … … 6939 7020 ) 6940 7021 ) 6941 *19 6(PortIoIn7022 *197 (PortIoIn 6942 7023 uid 4713,0 6943 7024 shape (CompositeShape … … 6984 7065 ) 6985 7066 ) 6986 *19 7(PortIoIn7067 *198 (PortIoIn 6987 7068 uid 4719,0 6988 7069 shape (CompositeShape … … 7029 7110 ) 7030 7111 ) 7031 *19 8(Net7112 *199 (Net 7032 7113 uid 4741,0 7033 7114 decl (Decl … … 7042 7123 font "Courier New,8,0" 7043 7124 ) 7044 xt "-172000,78000,-146500,78800" 7045 st "SIGNAL drs_read_s_cell_ready : std_logic" 7046 ) 7047 ) 7048 *199 (SaComponent 7125 xt "-172000,78800,-146500,79600" 7126 st "SIGNAL drs_read_s_cell_ready : std_logic 7127 " 7128 ) 7129 ) 7130 *200 (SaComponent 7049 7131 uid 4903,0 7050 7132 optionalChildren [ 7051 *20 0(CptPort7133 *201 (CptPort 7052 7134 uid 4867,0 7053 7135 ps "OnEdgeStrategy" … … 7082 7164 ) 7083 7165 ) 7084 *20 1(CptPort7166 *202 (CptPort 7085 7167 uid 4871,0 7086 7168 ps "OnEdgeStrategy" … … 7116 7198 ) 7117 7199 ) 7118 *20 2(CptPort7200 *203 (CptPort 7119 7201 uid 4875,0 7120 7202 ps "OnEdgeStrategy" … … 7150 7232 ) 7151 7233 ) 7152 *20 3(CptPort7234 *204 (CptPort 7153 7235 uid 4879,0 7154 7236 ps "OnEdgeStrategy" … … 7183 7265 ) 7184 7266 ) 7185 *20 4(CptPort7267 *205 (CptPort 7186 7268 uid 4883,0 7187 7269 ps "OnEdgeStrategy" … … 7216 7298 ) 7217 7299 ) 7218 *20 5(CptPort7300 *206 (CptPort 7219 7301 uid 4887,0 7220 7302 ps "OnEdgeStrategy" … … 7249 7331 ) 7250 7332 ) 7251 *20 6(CptPort7333 *207 (CptPort 7252 7334 uid 4891,0 7253 7335 ps "OnEdgeStrategy" … … 7282 7364 ) 7283 7365 ) 7284 *20 7(CptPort7366 *208 (CptPort 7285 7367 uid 4895,0 7286 7368 ps "OnEdgeStrategy" … … 7317 7399 ) 7318 7400 ) 7319 *20 8(CptPort7401 *209 (CptPort 7320 7402 uid 4899,0 7321 7403 ps "OnEdgeStrategy" … … 7353 7435 ) 7354 7436 ) 7355 *2 09(CptPort7437 *210 (CptPort 7356 7438 uid 4938,0 7357 7439 ps "OnEdgeStrategy" … … 7388 7470 ) 7389 7471 ) 7390 *21 0(CptPort7472 *211 (CptPort 7391 7473 uid 4942,0 7392 7474 ps "OnEdgeStrategy" … … 7423 7505 ) 7424 7506 ) 7425 *21 1(CptPort7507 *212 (CptPort 7426 7508 uid 10272,0 7427 7509 ps "OnEdgeStrategy" … … 7458 7540 ) 7459 7541 ) 7460 *21 2(CptPort7542 *213 (CptPort 7461 7543 uid 10276,0 7462 7544 ps "OnEdgeStrategy" … … 7493 7575 ) 7494 7576 ) 7495 *21 3(CptPort7577 *214 (CptPort 7496 7578 uid 10280,0 7497 7579 ps "OnEdgeStrategy" … … 7529 7611 ) 7530 7612 ) 7531 *21 4(CptPort7613 *215 (CptPort 7532 7614 uid 10284,0 7533 7615 ps "OnEdgeStrategy" … … 7565 7647 ) 7566 7648 ) 7567 *21 5(CptPort7649 *216 (CptPort 7568 7650 uid 10288,0 7569 7651 ps "OnEdgeStrategy" … … 7616 7698 stg "VerticalLayoutStrategy" 7617 7699 textVec [ 7618 *21 6(Text7700 *217 (Text 7619 7701 uid 4906,0 7620 7702 va (VaSet … … 7626 7708 tm "BdLibraryNameMgr" 7627 7709 ) 7628 *21 7(Text7710 *218 (Text 7629 7711 uid 4907,0 7630 7712 va (VaSet … … 7636 7718 tm "CptNameMgr" 7637 7719 ) 7638 *21 8(Text7720 *219 (Text 7639 7721 uid 4908,0 7640 7722 va (VaSet … … 7683 7765 archFileType "UNKNOWN" 7684 7766 ) 7685 *2 19(Net7767 *220 (Net 7686 7768 uid 4946,0 7687 7769 decl (Decl … … 7698 7780 ) 7699 7781 xt "-172000,21600,-129000,22400" 7700 st "RSRLOAD : std_logic := '0'" 7701 ) 7702 ) 7703 *220 (PortIoOut 7782 st "RSRLOAD : std_logic := '0' 7783 " 7784 ) 7785 ) 7786 *221 (PortIoOut 7704 7787 uid 4954,0 7705 7788 shape (CompositeShape … … 7746 7829 ) 7747 7830 ) 7748 *22 1(Net7831 *222 (Net 7749 7832 uid 4960,0 7750 7833 decl (Decl … … 7761 7844 ) 7762 7845 xt "-172000,22400,-129000,23200" 7763 st "SRCLK : std_logic := '0'" 7764 ) 7765 ) 7766 *222 (PortIoOut 7846 st "SRCLK : std_logic := '0' 7847 " 7848 ) 7849 ) 7850 *223 (PortIoOut 7767 7851 uid 4968,0 7768 7852 shape (CompositeShape … … 7809 7893 ) 7810 7894 ) 7811 *22 3(Net7895 *224 (Net 7812 7896 uid 5220,0 7813 7897 decl (Decl … … 7826 7910 ) 7827 7911 xt "-172000,33600,-123000,34400" 7828 st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 7829 ) 7830 ) 7831 *224 (Net 7912 st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 7913 " 7914 ) 7915 ) 7916 *225 (Net 7832 7917 uid 5472,0 7833 7918 decl (Decl … … 7842 7927 font "Courier New,8,0" 7843 7928 ) 7844 xt "-172000,108400,-146500,109200" 7845 st "SIGNAL sensor_ready : std_logic" 7846 ) 7847 ) 7848 *225 (Net 7929 xt "-172000,109200,-146500,110000" 7930 st "SIGNAL sensor_ready : std_logic 7931 " 7932 ) 7933 ) 7934 *226 (Net 7849 7935 uid 5478,0 7850 7936 decl (Decl … … 7859 7945 font "Courier New,8,0" 7860 7946 ) 7861 xt "-172000,107600,-142500,108400" 7862 st "SIGNAL sensor_array : sensor_array_type" 7863 ) 7864 ) 7865 *226 (Net 7947 xt "-172000,108400,-142500,109200" 7948 st "SIGNAL sensor_array : sensor_array_type 7949 " 7950 ) 7951 ) 7952 *227 (Net 7866 7953 uid 5632,0 7867 7954 lang 10 … … 7878 7965 font "Courier New,8,0" 7879 7966 ) 7880 xt "-172000,58800,-137000,59600" 7881 st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0)" 7882 ) 7883 ) 7884 *227 (Net 7967 xt "-172000,59600,-137000,60400" 7968 st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0) 7969 " 7970 ) 7971 ) 7972 *228 (Net 7885 7973 uid 5640,0 7886 7974 decl (Decl … … 7895 7983 font "Courier New,8,0" 7896 7984 ) 7897 xt "-172000,58000,-141500,58800" 7898 st "SIGNAL adc_data_array_int : adc_data_array_type" 7899 ) 7900 ) 7901 *228 (SaComponent 7985 xt "-172000,58800,-141500,59600" 7986 st "SIGNAL adc_data_array_int : adc_data_array_type 7987 " 7988 ) 7989 ) 7990 *229 (SaComponent 7902 7991 uid 5678,0 7903 7992 optionalChildren [ 7904 *2 29(CptPort7993 *230 (CptPort 7905 7994 uid 5658,0 7906 7995 ps "OnEdgeStrategy" … … 7937 8026 ) 7938 8027 ) 7939 *23 0(CptPort8028 *231 (CptPort 7940 8029 uid 5662,0 7941 8030 ps "OnEdgeStrategy" … … 7974 8063 ) 7975 8064 ) 7976 *23 1(CptPort8065 *232 (CptPort 7977 8066 uid 5666,0 7978 8067 ps "OnEdgeStrategy" … … 8013 8102 ) 8014 8103 ) 8015 *23 2(CptPort8104 *233 (CptPort 8016 8105 uid 5670,0 8017 8106 ps "OnEdgeStrategy" … … 8049 8138 ) 8050 8139 ) 8051 *23 3(CptPort8140 *234 (CptPort 8052 8141 uid 5674,0 8053 8142 ps "OnEdgeStrategy" … … 8102 8191 stg "VerticalLayoutStrategy" 8103 8192 textVec [ 8104 *23 4(Text8193 *235 (Text 8105 8194 uid 5681,0 8106 8195 va (VaSet … … 8112 8201 tm "BdLibraryNameMgr" 8113 8202 ) 8114 *23 5(Text8203 *236 (Text 8115 8204 uid 5682,0 8116 8205 va (VaSet … … 8122 8211 tm "CptNameMgr" 8123 8212 ) 8124 *23 6(Text8213 *237 (Text 8125 8214 uid 5683,0 8126 8215 va (VaSet … … 8171 8260 archFileType "UNKNOWN" 8172 8261 ) 8173 *23 7(SaComponent8262 *238 (SaComponent 8174 8263 uid 5793,0 8175 8264 optionalChildren [ 8176 *23 8(CptPort8265 *239 (CptPort 8177 8266 uid 5753,0 8178 8267 ps "OnEdgeStrategy" … … 8209 8298 ) 8210 8299 ) 8211 *2 39(CptPort8300 *240 (CptPort 8212 8301 uid 5761,0 8213 8302 ps "OnEdgeStrategy" … … 8244 8333 ) 8245 8334 ) 8246 *24 0(CptPort8335 *241 (CptPort 8247 8336 uid 5765,0 8248 8337 ps "OnEdgeStrategy" … … 8280 8369 ) 8281 8370 ) 8282 *24 1(CptPort8371 *242 (CptPort 8283 8372 uid 5769,0 8284 8373 ps "OnEdgeStrategy" … … 8315 8404 ) 8316 8405 ) 8317 *24 2(CptPort8406 *243 (CptPort 8318 8407 uid 5773,0 8319 8408 ps "OnEdgeStrategy" … … 8351 8440 ) 8352 8441 ) 8353 *24 3(CptPort8442 *244 (CptPort 8354 8443 uid 5777,0 8355 8444 ps "OnEdgeStrategy" … … 8387 8476 ) 8388 8477 ) 8389 *24 4(CptPort8478 *245 (CptPort 8390 8479 uid 5781,0 8391 8480 ps "OnEdgeStrategy" … … 8422 8511 ) 8423 8512 ) 8424 *24 5(CptPort8513 *246 (CptPort 8425 8514 uid 5785,0 8426 8515 ps "OnEdgeStrategy" … … 8458 8547 ) 8459 8548 ) 8460 *24 6(CptPort8549 *247 (CptPort 8461 8550 uid 5789,0 8462 8551 ps "OnEdgeStrategy" … … 8494 8583 ) 8495 8584 ) 8496 *24 7(CptPort8585 *248 (CptPort 8497 8586 uid 6154,0 8498 8587 ps "OnEdgeStrategy" … … 8530 8619 ) 8531 8620 ) 8532 *24 8(CptPort8621 *249 (CptPort 8533 8622 uid 6317,0 8534 8623 ps "OnEdgeStrategy" … … 8567 8656 ) 8568 8657 ) 8569 *2 49(CptPort8658 *250 (CptPort 8570 8659 uid 20147,0 8571 8660 ps "OnEdgeStrategy" … … 8605 8694 ) 8606 8695 ) 8607 *25 0(CptPort8696 *251 (CptPort 8608 8697 uid 21545,0 8609 8698 ps "OnEdgeStrategy" … … 8656 8745 stg "VerticalLayoutStrategy" 8657 8746 textVec [ 8658 *25 1(Text8747 *252 (Text 8659 8748 uid 5796,0 8660 8749 va (VaSet … … 8666 8755 tm "BdLibraryNameMgr" 8667 8756 ) 8668 *25 2(Text8757 *253 (Text 8669 8758 uid 5797,0 8670 8759 va (VaSet … … 8676 8765 tm "CptNameMgr" 8677 8766 ) 8678 *25 3(Text8767 *254 (Text 8679 8768 uid 5798,0 8680 8769 va (VaSet … … 8722 8811 archFileType "UNKNOWN" 8723 8812 ) 8724 *25 4(Net8813 *255 (Net 8725 8814 uid 5811,0 8726 8815 decl (Decl … … 8736 8825 ) 8737 8826 xt "-172000,36800,-150000,37600" 8738 st "sclk : std_logic" 8739 ) 8740 ) 8741 *255 (Net 8827 st "sclk : std_logic 8828 " 8829 ) 8830 ) 8831 *256 (Net 8742 8832 uid 5819,0 8743 8833 decl (Decl … … 8754 8844 font "Courier New,8,0" 8755 8845 ) 8756 xt "-172000,44000,-150000,44800" 8757 st "sio : std_logic" 8758 ) 8759 ) 8760 *256 (Net 8846 xt "-172000,44800,-150000,45600" 8847 st "sio : std_logic 8848 " 8849 ) 8850 ) 8851 *257 (Net 8761 8852 uid 5827,0 8762 8853 decl (Decl … … 8772 8863 ) 8773 8864 xt "-172000,28000,-150000,28800" 8774 st "dac_cs : std_logic" 8775 ) 8776 ) 8777 *257 (Net 8865 st "dac_cs : std_logic 8866 " 8867 ) 8868 ) 8869 *258 (Net 8778 8870 uid 5835,0 8779 8871 decl (Decl … … 8790 8882 ) 8791 8883 xt "-172000,37600,-140500,38400" 8792 st "sensor_cs : std_logic_vector(3 DOWNTO 0)" 8793 ) 8794 ) 8795 *258 (PortIoOut 8884 st "sensor_cs : std_logic_vector(3 DOWNTO 0) 8885 " 8886 ) 8887 ) 8888 *259 (PortIoOut 8796 8889 uid 5843,0 8797 8890 shape (CompositeShape … … 8838 8931 ) 8839 8932 ) 8840 *2 59(PortIoInOut8933 *260 (PortIoInOut 8841 8934 uid 5849,0 8842 8935 shape (CompositeShape … … 8883 8976 ) 8884 8977 ) 8885 *26 0(PortIoOut8978 *261 (PortIoOut 8886 8979 uid 5855,0 8887 8980 shape (CompositeShape … … 8928 9021 ) 8929 9022 ) 8930 *26 1(PortIoOut9023 *262 (PortIoOut 8931 9024 uid 5861,0 8932 9025 shape (CompositeShape … … 8973 9066 ) 8974 9067 ) 8975 *26 2(Net9068 *263 (Net 8976 9069 uid 6158,0 8977 9070 decl (Decl … … 8988 9081 ) 8989 9082 xt "-172000,35200,-129000,36000" 8990 st "mosi : std_logic := '0'" 8991 ) 8992 ) 8993 *263 (PortIoOut 9083 st "mosi : std_logic := '0' 9084 " 9085 ) 9086 ) 9087 *264 (PortIoOut 8994 9088 uid 6166,0 8995 9089 shape (CompositeShape … … 9036 9130 ) 9037 9131 ) 9038 *26 4(Net9132 *265 (Net 9039 9133 uid 6360,0 9040 9134 decl (Decl … … 9053 9147 ) 9054 9148 xt "-172000,30400,-115500,31200" 9055 st "denable : std_logic := '0' -- default domino wave off" 9056 ) 9057 ) 9058 *265 (PortIoOut 9149 st "denable : std_logic := '0' -- default domino wave off 9150 " 9151 ) 9152 ) 9153 *266 (PortIoOut 9059 9154 uid 6368,0 9060 9155 shape (CompositeShape … … 9100 9195 ) 9101 9196 ) 9102 *26 6(MWC9197 *267 (MWC 9103 9198 uid 6529,0 9104 9199 optionalChildren [ 9105 *26 7(CptPort9200 *268 (CptPort 9106 9201 uid 6501,0 9107 9202 optionalChildren [ 9108 *26 8(Line9203 *269 (Line 9109 9204 uid 6505,0 9110 9205 layer 5 … … 9119 9214 ] 9120 9215 ) 9121 *2 69(Property9216 *270 (Property 9122 9217 uid 6506,0 9123 9218 pclass "_MW_GEOM_" … … 9164 9259 ) 9165 9260 ) 9166 *27 0(CptPort9261 *271 (CptPort 9167 9262 uid 6507,0 9168 9263 optionalChildren [ 9169 *27 1(Line9264 *272 (Line 9170 9265 uid 6511,0 9171 9266 layer 5 … … 9219 9314 ) 9220 9315 ) 9221 *27 2(CptPort9316 *273 (CptPort 9222 9317 uid 6512,0 9223 9318 optionalChildren [ 9224 *27 3(Line9319 *274 (Line 9225 9320 uid 6516,0 9226 9321 layer 5 … … 9274 9369 ) 9275 9370 ) 9276 *27 4(CommentGraphic9371 *275 (CommentGraphic 9277 9372 uid 6517,0 9278 9373 optionalChildren [ 9279 *27 5(Property9374 *276 (Property 9280 9375 uid 6519,0 9281 9376 pclass "_MW_GEOM_" … … 9301 9396 oxt "11000,10000,11000,10000" 9302 9397 ) 9303 *27 6(CommentGraphic9398 *277 (CommentGraphic 9304 9399 uid 6520,0 9305 9400 optionalChildren [ 9306 *27 7(Property9401 *278 (Property 9307 9402 uid 6522,0 9308 9403 pclass "_MW_GEOM_" … … 9328 9423 oxt "11000,6000,11000,6000" 9329 9424 ) 9330 *27 8(Grouping9425 *279 (Grouping 9331 9426 uid 6523,0 9332 9427 optionalChildren [ 9333 *2 79(CommentGraphic9428 *280 (CommentGraphic 9334 9429 uid 6525,0 9335 9430 shape (PolyLine2D … … 9352 9447 oxt "9000,6000,11000,10000" 9353 9448 ) 9354 *28 0(CommentGraphic9449 *281 (CommentGraphic 9355 9450 uid 6527,0 9356 9451 shape (Arc2D … … 9405 9500 stg "VerticalLayoutStrategy" 9406 9501 textVec [ 9407 *28 1(Text9502 *282 (Text 9408 9503 uid 6532,0 9409 9504 va (VaSet … … 9415 9510 blo "-91500,76300" 9416 9511 ) 9417 *28 2(Text9512 *283 (Text 9418 9513 uid 6533,0 9419 9514 va (VaSet … … 9424 9519 blo "-91500,77300" 9425 9520 ) 9426 *28 3(Text9521 *284 (Text 9427 9522 uid 6534,0 9428 9523 va (VaSet … … 9469 9564 ) 9470 9565 ) 9471 *28 4(SaComponent9566 *285 (SaComponent 9472 9567 uid 8277,0 9473 9568 optionalChildren [ 9474 *28 5(CptPort9569 *286 (CptPort 9475 9570 uid 8246,0 9476 9571 ps "OnEdgeStrategy" … … 9509 9604 ) 9510 9605 ) 9511 *28 6(CptPort9606 *287 (CptPort 9512 9607 uid 8250,0 9513 9608 ps "OnEdgeStrategy" … … 9547 9642 ) 9548 9643 ) 9549 *28 7(CptPort9644 *288 (CptPort 9550 9645 uid 8254,0 9551 9646 ps "OnEdgeStrategy" … … 9585 9680 ) 9586 9681 ) 9587 *28 8(CptPort9682 *289 (CptPort 9588 9683 uid 8258,0 9589 9684 ps "OnEdgeStrategy" … … 9623 9718 ) 9624 9719 ) 9625 *2 89(CptPort9720 *290 (CptPort 9626 9721 uid 8262,0 9627 9722 ps "OnEdgeStrategy" … … 9661 9756 ) 9662 9757 ) 9663 *29 0(CptPort9758 *291 (CptPort 9664 9759 uid 8266,0 9665 9760 ps "OnEdgeStrategy" … … 9700 9795 ) 9701 9796 ) 9702 *29 1(CptPort9797 *292 (CptPort 9703 9798 uid 8270,0 9704 9799 ps "OnEdgeStrategy" … … 9757 9852 stg "VerticalLayoutStrategy" 9758 9853 textVec [ 9759 *29 2(Text9854 *293 (Text 9760 9855 uid 8280,0 9761 9856 va (VaSet … … 9767 9862 tm "BdLibraryNameMgr" 9768 9863 ) 9769 *29 3(Text9864 *294 (Text 9770 9865 uid 8281,0 9771 9866 va (VaSet … … 9777 9872 tm "CptNameMgr" 9778 9873 ) 9779 *29 4(Text9874 *295 (Text 9780 9875 uid 8282,0 9781 9876 va (VaSet … … 9825 9920 archFileType "UNKNOWN" 9826 9921 ) 9827 *29 5(Net9922 *296 (Net 9828 9923 uid 8746,0 9829 9924 decl (Decl … … 9838 9933 font "Courier New,8,0" 9839 9934 ) 9840 xt "-172000,106800,-146500,107600" 9841 st "SIGNAL sclk_enable : std_logic" 9842 ) 9843 ) 9844 *296 (Net 9935 xt "-172000,107600,-146500,108400" 9936 st "SIGNAL sclk_enable : std_logic 9937 " 9938 ) 9939 ) 9940 *297 (Net 9845 9941 uid 9004,0 9846 9942 lang 2 … … 9856 9952 font "Courier New,8,0" 9857 9953 ) 9858 xt "-172000,57200,-146500,58000" 9859 st "SIGNAL adc_clk_en : std_logic" 9860 ) 9861 ) 9862 *297 (SaComponent 9954 xt "-172000,58000,-146500,58800" 9955 st "SIGNAL adc_clk_en : std_logic 9956 " 9957 ) 9958 ) 9959 *298 (SaComponent 9863 9960 uid 9175,0 9864 9961 optionalChildren [ 9865 *29 8(CptPort9962 *299 (CptPort 9866 9963 uid 9120,0 9867 9964 ps "OnEdgeStrategy" … … 9900 9997 ) 9901 9998 ) 9902 * 299(CptPort9999 *300 (CptPort 9903 10000 uid 9124,0 9904 10001 ps "OnEdgeStrategy" … … 9937 10034 ) 9938 10035 ) 9939 *30 0(CptPort10036 *301 (CptPort 9940 10037 uid 9128,0 9941 10038 ps "OnEdgeStrategy" … … 9972 10069 ) 9973 10070 ) 9974 *30 1(CptPort10071 *302 (CptPort 9975 10072 uid 9211,0 9976 10073 ps "OnEdgeStrategy" … … 10009 10106 ) 10010 10107 ) 10011 *30 2(CptPort10108 *303 (CptPort 10012 10109 uid 9215,0 10013 10110 ps "OnEdgeStrategy" … … 10044 10141 ) 10045 10142 ) 10046 *30 3(CptPort10143 *304 (CptPort 10047 10144 uid 9219,0 10048 10145 ps "OnEdgeStrategy" … … 10079 10176 ) 10080 10177 ) 10081 *30 4(CptPort10178 *305 (CptPort 10082 10179 uid 10030,0 10083 10180 ps "OnEdgeStrategy" … … 10114 10211 ) 10115 10212 ) 10116 *30 5(CptPort10213 *306 (CptPort 10117 10214 uid 15170,0 10118 10215 ps "OnEdgeStrategy" … … 10155 10252 ) 10156 10253 ) 10157 *30 6(CptPort10254 *307 (CptPort 10158 10255 uid 23071,0 10159 10256 ps "OnEdgeStrategy" … … 10192 10289 ) 10193 10290 ) 10194 *30 7(CptPort10291 *308 (CptPort 10195 10292 uid 23075,0 10196 10293 ps "OnEdgeStrategy" … … 10246 10343 stg "VerticalLayoutStrategy" 10247 10344 textVec [ 10248 *30 8(Text10345 *309 (Text 10249 10346 uid 9178,0 10250 10347 va (VaSet … … 10256 10353 tm "BdLibraryNameMgr" 10257 10354 ) 10258 *3 09(Text10355 *310 (Text 10259 10356 uid 9179,0 10260 10357 va (VaSet … … 10266 10363 tm "CptNameMgr" 10267 10364 ) 10268 *31 0(Text10365 *311 (Text 10269 10366 uid 9180,0 10270 10367 va (VaSet … … 10312 10409 archFileType "UNKNOWN" 10313 10410 ) 10314 *31 1(Net10411 *312 (Net 10315 10412 uid 9231,0 10316 10413 decl (Decl … … 10328 10425 font "Courier New,8,0" 10329 10426 ) 10330 xt "-172000,91600,-110000,92400" 10331 st "SIGNAL ps_direction : std_logic := '1' -- default phase shift upwards" 10332 ) 10333 ) 10334 *312 (Net 10427 xt "-172000,92400,-110000,93200" 10428 st "SIGNAL ps_direction : std_logic := '1' -- default phase shift upwards 10429 " 10430 ) 10431 ) 10432 *313 (Net 10335 10433 uid 9239,0 10336 10434 decl (Decl … … 10349 10447 font "Courier New,8,0" 10350 10448 ) 10351 xt "-172000,92400,-109000,93200" 10352 st "SIGNAL ps_do_phase_shift : std_logic := '0' --pulse this to phase shift once" 10353 ) 10354 ) 10355 *313 (Net 10449 xt "-172000,93200,-109000,94000" 10450 st "SIGNAL ps_do_phase_shift : std_logic := '0' --pulse this to phase shift once 10451 " 10452 ) 10453 ) 10454 *314 (Net 10356 10455 uid 9941,0 10357 10456 decl (Decl … … 10369 10468 font "Courier New,8,0" 10370 10469 ) 10371 xt "-172000,93200,-101500,94000" 10372 st "SIGNAL ps_reset : std_logic := '0' -- pulse this to reset the variable phase shift" 10373 ) 10374 ) 10375 *314 (Net 10470 xt "-172000,94000,-101500,94800" 10471 st "SIGNAL ps_reset : std_logic := '0' -- pulse this to reset the variable phase shift 10472 " 10473 ) 10474 ) 10475 *315 (Net 10376 10476 uid 9949,0 10377 10477 decl (Decl … … 10387 10487 font "Courier New,8,0" 10388 10488 ) 10389 xt "-172000,113200,-125500,114000" 10390 st "SIGNAL srclk_enable : std_logic := '0'" 10391 ) 10392 ) 10393 *315 (MWC 10489 xt "-172000,114000,-125500,114800" 10490 st "SIGNAL srclk_enable : std_logic := '0' 10491 " 10492 ) 10493 ) 10494 *316 (MWC 10394 10495 uid 9957,0 10395 10496 optionalChildren [ 10396 *31 6(CptPort10497 *317 (CptPort 10397 10498 uid 9966,0 10398 10499 optionalChildren [ 10399 *31 7(Line10500 *318 (Line 10400 10501 uid 9970,0 10401 10502 layer 5 … … 10410 10511 ] 10411 10512 ) 10412 *31 8(Property10513 *319 (Property 10413 10514 uid 9971,0 10414 10515 pclass "_MW_GEOM_" … … 10454 10555 ) 10455 10556 ) 10456 *3 19(CptPort10557 *320 (CptPort 10457 10558 uid 9972,0 10458 10559 optionalChildren [ 10459 *32 0(Line10560 *321 (Line 10460 10561 uid 9976,0 10461 10562 layer 5 … … 10508 10609 ) 10509 10610 ) 10510 *32 1(CptPort10611 *322 (CptPort 10511 10612 uid 9977,0 10512 10613 optionalChildren [ 10513 *32 2(Line10614 *323 (Line 10514 10615 uid 9981,0 10515 10616 layer 5 … … 10562 10663 ) 10563 10664 ) 10564 *32 3(CommentGraphic10665 *324 (CommentGraphic 10565 10666 uid 9982,0 10566 10667 optionalChildren [ 10567 *32 4(Property10668 *325 (Property 10568 10669 uid 9984,0 10569 10670 pclass "_MW_GEOM_" … … 10589 10690 oxt "11000,10000,11000,10000" 10590 10691 ) 10591 *32 5(CommentGraphic10692 *326 (CommentGraphic 10592 10693 uid 9985,0 10593 10694 optionalChildren [ 10594 *32 6(Property10695 *327 (Property 10595 10696 uid 9987,0 10596 10697 pclass "_MW_GEOM_" … … 10616 10717 oxt "11000,6000,11000,6000" 10617 10718 ) 10618 *32 7(Grouping10719 *328 (Grouping 10619 10720 uid 9988,0 10620 10721 optionalChildren [ 10621 *32 8(CommentGraphic10722 *329 (CommentGraphic 10622 10723 uid 9990,0 10623 10724 shape (PolyLine2D … … 10640 10741 oxt "9000,6000,11000,10000" 10641 10742 ) 10642 *3 29(CommentGraphic10743 *330 (CommentGraphic 10643 10744 uid 9992,0 10644 10745 shape (Arc2D … … 10693 10794 stg "VerticalLayoutStrategy" 10694 10795 textVec [ 10695 *33 0(Text10796 *331 (Text 10696 10797 uid 9960,0 10697 10798 va (VaSet … … 10703 10804 blo "-69500,56300" 10704 10805 ) 10705 *33 1(Text10806 *332 (Text 10706 10807 uid 9961,0 10707 10808 va (VaSet … … 10712 10813 blo "-69500,57300" 10713 10814 ) 10714 *33 2(Text10815 *333 (Text 10715 10816 uid 9962,0 10716 10817 va (VaSet … … 10757 10858 ) 10758 10859 ) 10759 *33 3(Net10860 *334 (Net 10760 10861 uid 10008,0 10761 10862 decl (Decl … … 10771 10872 font "Courier New,8,0" 10772 10873 ) 10773 xt "-172000,56400,-125500,57200" 10774 st "SIGNAL SRCLK1 : std_logic := '0'" 10775 ) 10776 ) 10777 *334 (Net 10874 xt "-172000,57200,-125500,58000" 10875 st "SIGNAL SRCLK1 : std_logic := '0' 10876 " 10877 ) 10878 ) 10879 *335 (Net 10778 10880 uid 10264,0 10779 10881 decl (Decl … … 10788 10890 font "Courier New,8,0" 10789 10891 ) 10790 xt "-172000,105200,-146500,106000" 10791 st "SIGNAL s_trigger : std_logic" 10792 ) 10793 ) 10794 *335 (Net 10892 xt "-172000,106000,-146500,106800" 10893 st "SIGNAL s_trigger : std_logic 10894 " 10895 ) 10896 ) 10897 *336 (Net 10795 10898 uid 10296,0 10796 10899 decl (Decl … … 10805 10908 font "Courier New,8,0" 10806 10909 ) 10807 xt "-172000,115600,-146500,116400" 10808 st "SIGNAL start_srin_write_8b : std_logic" 10809 ) 10810 ) 10811 *336 (Net 10910 xt "-172000,116400,-146500,117200" 10911 st "SIGNAL start_srin_write_8b : std_logic 10912 " 10913 ) 10914 ) 10915 *337 (Net 10812 10916 uid 10302,0 10813 10917 decl (Decl … … 10823 10927 font "Courier New,8,0" 10824 10928 ) 10825 xt "-172000,114000,-125500,114800" 10826 st "SIGNAL srin_write_ack : std_logic := '0'" 10827 ) 10828 ) 10829 *337 (Net 10929 xt "-172000,114800,-125500,115600" 10930 st "SIGNAL srin_write_ack : std_logic := '0' 10931 " 10932 ) 10933 ) 10934 *338 (Net 10830 10935 uid 10308,0 10831 10936 decl (Decl … … 10841 10946 font "Courier New,8,0" 10842 10947 ) 10843 xt "-172000,114800,-125500,115600" 10844 st "SIGNAL srin_write_ready : std_logic := '0'" 10845 ) 10846 ) 10847 *338 (Net 10948 xt "-172000,115600,-125500,116400" 10949 st "SIGNAL srin_write_ready : std_logic := '0' 10950 " 10951 ) 10952 ) 10953 *339 (Net 10848 10954 uid 10314,0 10849 10955 decl (Decl … … 10860 10966 font "Courier New,8,0" 10861 10967 ) 10862 xt "-172000,83600,-119500,84400" 10863 st "SIGNAL drs_srin_data : std_logic_vector(7 downto 0) := (others => '0')" 10864 ) 10865 ) 10866 *339 (Net 10968 xt "-172000,84400,-119500,85200" 10969 st "SIGNAL drs_srin_data : std_logic_vector(7 downto 0) := (others => '0') 10970 " 10971 ) 10972 ) 10973 *340 (Net 10867 10974 uid 10320,0 10868 10975 decl (Decl … … 10879 10986 ) 10880 10987 xt "-172000,23200,-129000,24000" 10881 st "SRIN_out : std_logic := '0'" 10882 ) 10883 ) 10884 *340 (PortIoOut 10988 st "SRIN_out : std_logic := '0' 10989 " 10990 ) 10991 ) 10992 *341 (PortIoOut 10885 10993 uid 10328,0 10886 10994 shape (CompositeShape … … 10927 11035 ) 10928 11036 ) 10929 *34 1(MWC11037 *342 (MWC 10930 11038 uid 10380,0 10931 11039 optionalChildren [ 10932 *34 2(CptPort11040 *343 (CptPort 10933 11041 uid 10344,0 10934 11042 optionalChildren [ 10935 *34 3(Line11043 *344 (Line 10936 11044 uid 10348,0 10937 11045 layer 5 … … 10985 11093 ) 10986 11094 ) 10987 *34 4(CptPort11095 *345 (CptPort 10988 11096 uid 10349,0 10989 11097 optionalChildren [ 10990 *34 5(Property11098 *346 (Property 10991 11099 uid 10353,0 10992 11100 pclass "_MW_GEOM_" … … 10994 11102 ptn "String" 10995 11103 ) 10996 *34 6(Line11104 *347 (Line 10997 11105 uid 10354,0 10998 11106 layer 5 … … 11048 11156 ) 11049 11157 ) 11050 *34 7(CptPort11158 *348 (CptPort 11051 11159 uid 10355,0 11052 11160 optionalChildren [ 11053 *34 8(Line11161 *349 (Line 11054 11162 uid 10359,0 11055 11163 layer 5 … … 11101 11209 ) 11102 11210 ) 11103 *3 49(CommentGraphic11211 *350 (CommentGraphic 11104 11212 uid 10360,0 11105 11213 shape (Arc2D … … 11122 11230 oxt "7000,6003,11000,8000" 11123 11231 ) 11124 *35 0(CommentGraphic11232 *351 (CommentGraphic 11125 11233 uid 10362,0 11126 11234 shape (Arc2D … … 11143 11251 oxt "6996,8005,11000,10000" 11144 11252 ) 11145 *35 1(Grouping11253 *352 (Grouping 11146 11254 uid 10364,0 11147 11255 optionalChildren [ 11148 *35 2(CommentGraphic11256 *353 (CommentGraphic 11149 11257 uid 10366,0 11150 11258 optionalChildren [ 11151 *35 3(Property11259 *354 (Property 11152 11260 uid 10368,0 11153 11261 pclass "_MW_GEOM_" … … 11180 11288 oxt "7000,6000,11000,9998" 11181 11289 ) 11182 *35 4(CommentGraphic11290 *355 (CommentGraphic 11183 11291 uid 10369,0 11184 11292 optionalChildren [ 11185 *35 5(Property11293 *356 (Property 11186 11294 uid 10371,0 11187 11295 pclass "_MW_GEOM_" … … 11225 11333 oxt "7000,6000,11000,10000" 11226 11334 ) 11227 *35 6(CommentGraphic11335 *357 (CommentGraphic 11228 11336 uid 10372,0 11229 11337 shape (PolyLine2D … … 11244 11352 oxt "11000,8000,11000,8000" 11245 11353 ) 11246 *35 7(CommentGraphic11354 *358 (CommentGraphic 11247 11355 uid 10374,0 11248 11356 optionalChildren [ 11249 *35 8(Property11357 *359 (Property 11250 11358 uid 10376,0 11251 11359 pclass "_MW_GEOM_" … … 11271 11379 oxt "7000,6000,7000,6000" 11272 11380 ) 11273 *3 59(CommentGraphic11381 *360 (CommentGraphic 11274 11382 uid 10377,0 11275 11383 optionalChildren [ 11276 *36 0(Property11384 *361 (Property 11277 11385 uid 10379,0 11278 11386 pclass "_MW_GEOM_" … … 11317 11425 stg "VerticalLayoutStrategy" 11318 11426 textVec [ 11319 *36 1(Text11427 *362 (Text 11320 11428 uid 10383,0 11321 11429 va (VaSet … … 11327 11435 blo "-98500,70300" 11328 11436 ) 11329 *36 2(Text11437 *363 (Text 11330 11438 uid 10384,0 11331 11439 va (VaSet … … 11336 11444 blo "-98500,71300" 11337 11445 ) 11338 *36 3(Text11446 *364 (Text 11339 11447 uid 10385,0 11340 11448 va (VaSet … … 11381 11489 ) 11382 11490 ) 11383 *36 4(Net11491 *365 (Net 11384 11492 uid 10627,0 11385 11493 decl (Decl … … 11394 11502 font "Courier New,8,0" 11395 11503 ) 11396 xt "-172000,109200,-146500,110000" 11397 st "SIGNAL socks_connected : std_logic" 11398 ) 11399 ) 11400 *365 (Net 11504 xt "-172000,110000,-146500,110800" 11505 st "SIGNAL socks_connected : std_logic 11506 " 11507 ) 11508 ) 11509 *366 (Net 11401 11510 uid 10635,0 11402 11511 decl (Decl … … 11411 11520 font "Courier New,8,0" 11412 11521 ) 11413 xt "-172000,110000,-146500,110800" 11414 st "SIGNAL socks_waiting : std_logic" 11415 ) 11416 ) 11417 *366 (Net 11522 xt "-172000,110800,-146500,111600" 11523 st "SIGNAL socks_waiting : std_logic 11524 " 11525 ) 11526 ) 11527 *367 (Net 11418 11528 uid 10721,0 11419 11529 decl (Decl … … 11429 11539 ) 11430 11540 xt "-172000,32800,-150000,33600" 11431 st "green : std_logic" 11432 ) 11433 ) 11434 *367 (PortIoOut 11541 st "green : std_logic 11542 " 11543 ) 11544 ) 11545 *368 (PortIoOut 11435 11546 uid 10729,0 11436 11547 shape (CompositeShape … … 11476 11587 ) 11477 11588 ) 11478 *36 8(Net11589 *369 (Net 11479 11590 uid 10735,0 11480 11591 decl (Decl … … 11490 11601 ) 11491 11602 xt "-172000,26400,-150000,27200" 11492 st "amber : std_logic" 11493 ) 11494 ) 11495 *369 (PortIoOut 11603 st "amber : std_logic 11604 " 11605 ) 11606 ) 11607 *370 (PortIoOut 11496 11608 uid 10743,0 11497 11609 shape (CompositeShape … … 11537 11649 ) 11538 11650 ) 11539 *37 0(Net11651 *371 (Net 11540 11652 uid 10749,0 11541 11653 decl (Decl … … 11551 11663 ) 11552 11664 xt "-172000,36000,-150000,36800" 11553 st "red : std_logic" 11554 ) 11555 ) 11556 *371 (PortIoOut 11665 st "red : std_logic 11666 " 11667 ) 11668 ) 11669 *372 (PortIoOut 11557 11670 uid 10757,0 11558 11671 shape (CompositeShape … … 11598 11711 ) 11599 11712 ) 11600 *37 2(SaComponent11713 *373 (SaComponent 11601 11714 uid 11209,0 11602 11715 optionalChildren [ 11603 *37 3(CptPort11716 *374 (CptPort 11604 11717 uid 11181,0 11605 11718 ps "OnEdgeStrategy" … … 11634 11747 ) 11635 11748 ) 11636 *37 4(CptPort11749 *375 (CptPort 11637 11750 uid 11185,0 11638 11751 ps "OnEdgeStrategy" … … 11669 11782 ) 11670 11783 ) 11671 *37 5(CptPort11784 *376 (CptPort 11672 11785 uid 11189,0 11673 11786 ps "OnEdgeStrategy" … … 11704 11817 ) 11705 11818 ) 11706 *37 6(CptPort11819 *377 (CptPort 11707 11820 uid 11193,0 11708 11821 ps "OnEdgeStrategy" … … 11739 11852 ) 11740 11853 ) 11741 *37 7(CptPort11854 *378 (CptPort 11742 11855 uid 11197,0 11743 11856 ps "OnEdgeStrategy" … … 11772 11885 ) 11773 11886 ) 11774 *37 8(CptPort11887 *379 (CptPort 11775 11888 uid 11201,0 11776 11889 ps "OnEdgeStrategy" … … 11805 11918 ) 11806 11919 ) 11807 *3 79(CptPort11920 *380 (CptPort 11808 11921 uid 11205,0 11809 11922 ps "OnEdgeStrategy" … … 11838 11951 ) 11839 11952 ) 11840 *38 0(CptPort11953 *381 (CptPort 11841 11954 uid 12693,0 11842 11955 ps "OnEdgeStrategy" … … 11873 11986 ) 11874 11987 ) 11875 *38 1(CptPort11988 *382 (CptPort 11876 11989 uid 22344,0 11877 11990 ps "OnEdgeStrategy" … … 11906 12019 ) 11907 12020 ) 11908 *38 2(CptPort12021 *383 (CptPort 11909 12022 uid 22348,0 11910 12023 ps "OnEdgeStrategy" … … 11956 12069 stg "VerticalLayoutStrategy" 11957 12070 textVec [ 11958 *38 3(Text12071 *384 (Text 11959 12072 uid 11212,0 11960 12073 va (VaSet … … 11966 12079 tm "BdLibraryNameMgr" 11967 12080 ) 11968 *38 4(Text12081 *385 (Text 11969 12082 uid 11213,0 11970 12083 va (VaSet … … 11976 12089 tm "CptNameMgr" 11977 12090 ) 11978 *38 5(Text12091 *386 (Text 11979 12092 uid 11214,0 11980 12093 va (VaSet … … 12035 12148 archFileType "UNKNOWN" 12036 12149 ) 12037 *38 6(Net12150 *387 (Net 12038 12151 uid 11403,0 12039 12152 decl (Decl … … 12048 12161 font "Courier New,8,0" 12049 12162 ) 12050 xt "-172000,82000,-146500,82800" 12051 st "SIGNAL drs_readout_started : std_logic" 12052 ) 12053 ) 12054 *387 (Net 12163 xt "-172000,82800,-146500,83600" 12164 st "SIGNAL drs_readout_started : std_logic 12165 " 12166 ) 12167 ) 12168 *388 (Net 12055 12169 uid 11856,0 12056 12170 decl (Decl … … 12065 12179 font "Courier New,8,0" 12066 12180 ) 12067 xt "-172000,117200,-146500,118000" 12068 st "SIGNAL trigger_enable : std_logic" 12069 ) 12070 ) 12071 *388 (MWC 12181 xt "-172000,118000,-146500,118800" 12182 st "SIGNAL trigger_enable : std_logic 12183 " 12184 ) 12185 ) 12186 *389 (MWC 12072 12187 uid 12295,0 12073 12188 optionalChildren [ 12074 *3 89(CptPort12189 *390 (CptPort 12075 12190 uid 12267,0 12076 12191 optionalChildren [ 12077 *39 0(Line12192 *391 (Line 12078 12193 uid 12271,0 12079 12194 layer 5 … … 12088 12203 ] 12089 12204 ) 12090 *39 1(Property12205 *392 (Property 12091 12206 uid 12272,0 12092 12207 pclass "_MW_GEOM_" … … 12135 12250 ) 12136 12251 ) 12137 *39 2(CptPort12252 *393 (CptPort 12138 12253 uid 12273,0 12139 12254 optionalChildren [ 12140 *39 3(Line12255 *394 (Line 12141 12256 uid 12277,0 12142 12257 layer 5 … … 12190 12305 ) 12191 12306 ) 12192 *39 4(CptPort12307 *395 (CptPort 12193 12308 uid 12278,0 12194 12309 optionalChildren [ 12195 *39 5(Line12310 *396 (Line 12196 12311 uid 12282,0 12197 12312 layer 5 … … 12243 12358 ) 12244 12359 ) 12245 *39 6(CommentGraphic12360 *397 (CommentGraphic 12246 12361 uid 12283,0 12247 12362 optionalChildren [ 12248 *39 7(Property12363 *398 (Property 12249 12364 uid 12285,0 12250 12365 pclass "_MW_GEOM_" … … 12270 12385 oxt "7000,10000,7000,10000" 12271 12386 ) 12272 *39 8(CommentGraphic12387 *399 (CommentGraphic 12273 12388 uid 12286,0 12274 12389 optionalChildren [ 12275 * 399(Property12390 *400 (Property 12276 12391 uid 12288,0 12277 12392 pclass "_MW_GEOM_" … … 12297 12412 oxt "7000,6000,7000,6000" 12298 12413 ) 12299 *40 0(Grouping12414 *401 (Grouping 12300 12415 uid 12289,0 12301 12416 optionalChildren [ 12302 *40 1(CommentGraphic12417 *402 (CommentGraphic 12303 12418 uid 12291,0 12304 12419 shape (PolyLine2D … … 12321 12436 oxt "7000,6000,9000,10000" 12322 12437 ) 12323 *40 2(CommentGraphic12438 *403 (CommentGraphic 12324 12439 uid 12293,0 12325 12440 shape (Arc2D … … 12374 12489 stg "VerticalLayoutStrategy" 12375 12490 textVec [ 12376 *40 3(Text12491 *404 (Text 12377 12492 uid 12298,0 12378 12493 va (VaSet … … 12384 12499 blo "-92500,71300" 12385 12500 ) 12386 *40 4(Text12501 *405 (Text 12387 12502 uid 12299,0 12388 12503 va (VaSet … … 12393 12508 blo "-92500,72300" 12394 12509 ) 12395 *40 5(Text12510 *406 (Text 12396 12511 uid 12300,0 12397 12512 va (VaSet … … 12438 12553 ) 12439 12554 ) 12440 *40 6(SaComponent12555 *407 (SaComponent 12441 12556 uid 12625,0 12442 12557 optionalChildren [ 12443 *40 7(CptPort12558 *408 (CptPort 12444 12559 uid 12605,0 12445 12560 ps "OnEdgeStrategy" … … 12474 12589 ) 12475 12590 ) 12476 *40 8(CptPort12591 *409 (CptPort 12477 12592 uid 12609,0 12478 12593 ps "OnEdgeStrategy" … … 12510 12625 ) 12511 12626 ) 12512 *4 09(CptPort12627 *410 (CptPort 12513 12628 uid 12613,0 12514 12629 ps "OnEdgeStrategy" … … 12545 12660 ) 12546 12661 ) 12547 *41 0(CptPort12662 *411 (CptPort 12548 12663 uid 12617,0 12549 12664 ps "OnEdgeStrategy" … … 12579 12694 ) 12580 12695 ) 12581 *41 1(CptPort12696 *412 (CptPort 12582 12697 uid 12621,0 12583 12698 ps "OnEdgeStrategy" … … 12615 12730 ) 12616 12731 ) 12617 *41 2(CptPort12732 *413 (CptPort 12618 12733 uid 12673,0 12619 12734 ps "OnEdgeStrategy" … … 12665 12780 stg "VerticalLayoutStrategy" 12666 12781 textVec [ 12667 *41 3(Text12782 *414 (Text 12668 12783 uid 12628,0 12669 12784 va (VaSet … … 12675 12790 tm "BdLibraryNameMgr" 12676 12791 ) 12677 *41 4(Text12792 *415 (Text 12678 12793 uid 12629,0 12679 12794 va (VaSet … … 12685 12800 tm "CptNameMgr" 12686 12801 ) 12687 *41 5(Text12802 *416 (Text 12688 12803 uid 12630,0 12689 12804 va (VaSet … … 12732 12847 archFileType "UNKNOWN" 12733 12848 ) 12734 *41 6(Net12849 *417 (Net 12735 12850 uid 12647,0 12736 12851 decl (Decl … … 12750 12865 font "Courier New,8,0" 12751 12866 ) 12752 xt "-172000,7 8800,-125500,81200"12867 xt "-172000,79600,-125500,82000" 12753 12868 st "-- -- 12754 12869 -- drs_dwrite : out std_logic := '1'; 12755 SIGNAL drs_readout_ready : std_logic := '0'" 12756 ) 12757 ) 12758 *417 (Net 12870 SIGNAL drs_readout_ready : std_logic := '0' 12871 " 12872 ) 12873 ) 12874 *418 (Net 12759 12875 uid 12653,0 12760 12876 decl (Decl … … 12769 12885 font "Courier New,8,0" 12770 12886 ) 12771 xt "-172000,81200,-146500,82000" 12772 st "SIGNAL drs_readout_ready_ack : std_logic" 12773 ) 12774 ) 12775 *418 (SaComponent 12887 xt "-172000,82000,-146500,82800" 12888 st "SIGNAL drs_readout_ready_ack : std_logic 12889 " 12890 ) 12891 ) 12892 *419 (SaComponent 12776 12893 uid 13117,0 12777 12894 optionalChildren [ 12778 *4 19(CptPort12895 *420 (CptPort 12779 12896 uid 13101,0 12780 12897 ps "OnEdgeStrategy" … … 12810 12927 ) 12811 12928 ) 12812 *42 0(CptPort12929 *421 (CptPort 12813 12930 uid 13105,0 12814 12931 ps "OnEdgeStrategy" … … 12844 12961 ) 12845 12962 ) 12846 *42 1(CptPort12963 *422 (CptPort 12847 12964 uid 13109,0 12848 12965 ps "OnEdgeStrategy" … … 12879 12996 ) 12880 12997 ) 12881 *42 2(CptPort12998 *423 (CptPort 12882 12999 uid 13113,0 12883 13000 ps "OnEdgeStrategy" … … 12930 13047 stg "VerticalLayoutStrategy" 12931 13048 textVec [ 12932 *42 3(Text13049 *424 (Text 12933 13050 uid 13120,0 12934 13051 va (VaSet … … 12940 13057 tm "BdLibraryNameMgr" 12941 13058 ) 12942 *42 4(Text13059 *425 (Text 12943 13060 uid 13121,0 12944 13061 va (VaSet … … 12950 13067 tm "CptNameMgr" 12951 13068 ) 12952 *42 5(Text13069 *426 (Text 12953 13070 uid 13122,0 12954 13071 va (VaSet … … 13009 13126 archFileType "UNKNOWN" 13010 13127 ) 13011 *42 6(Net13128 *427 (Net 13012 13129 uid 13157,0 13013 13130 decl (Decl … … 13023 13140 font "Courier New,8,0" 13024 13141 ) 13025 xt "-172000,60400,-125500,61200" 13026 st "SIGNAL c_trigger_enable : std_logic := '0'" 13027 ) 13028 ) 13029 *427 (MWC 13142 xt "-172000,61200,-125500,62000" 13143 st "SIGNAL c_trigger_enable : std_logic := '0' 13144 " 13145 ) 13146 ) 13147 *428 (MWC 13030 13148 uid 13266,0 13031 13149 optionalChildren [ 13032 *42 8(CptPort13150 *429 (CptPort 13033 13151 uid 13230,0 13034 13152 optionalChildren [ 13035 *4 29(Line13153 *430 (Line 13036 13154 uid 13234,0 13037 13155 layer 5 … … 13084 13202 ) 13085 13203 ) 13086 *43 0(CptPort13204 *431 (CptPort 13087 13205 uid 13235,0 13088 13206 optionalChildren [ 13089 *43 1(Property13207 *432 (Property 13090 13208 uid 13239,0 13091 13209 pclass "_MW_GEOM_" … … 13093 13211 ptn "String" 13094 13212 ) 13095 *43 2(Line13213 *433 (Line 13096 13214 uid 13240,0 13097 13215 layer 5 … … 13144 13262 ) 13145 13263 ) 13146 *43 3(CptPort13264 *434 (CptPort 13147 13265 uid 13241,0 13148 13266 optionalChildren [ 13149 *43 4(Line13267 *435 (Line 13150 13268 uid 13245,0 13151 13269 layer 5 … … 13198 13316 ) 13199 13317 ) 13200 *43 5(CommentGraphic13318 *436 (CommentGraphic 13201 13319 uid 13246,0 13202 13320 shape (Arc2D … … 13219 13337 oxt "7000,6003,11000,8000" 13220 13338 ) 13221 *43 6(CommentGraphic13339 *437 (CommentGraphic 13222 13340 uid 13248,0 13223 13341 shape (Arc2D … … 13240 13358 oxt "7000,8005,11004,10000" 13241 13359 ) 13242 *43 7(Grouping13360 *438 (Grouping 13243 13361 uid 13250,0 13244 13362 optionalChildren [ 13245 *43 8(CommentGraphic13363 *439 (CommentGraphic 13246 13364 uid 13252,0 13247 13365 optionalChildren [ 13248 *4 39(Property13366 *440 (Property 13249 13367 uid 13254,0 13250 13368 pclass "_MW_GEOM_" … … 13277 13395 oxt "7000,6000,11000,9998" 13278 13396 ) 13279 *44 0(CommentGraphic13397 *441 (CommentGraphic 13280 13398 uid 13255,0 13281 13399 optionalChildren [ 13282 *44 1(Property13400 *442 (Property 13283 13401 uid 13257,0 13284 13402 pclass "_MW_GEOM_" … … 13322 13440 oxt "7000,6000,11000,10000" 13323 13441 ) 13324 *44 2(CommentGraphic13442 *443 (CommentGraphic 13325 13443 uid 13258,0 13326 13444 shape (PolyLine2D … … 13341 13459 oxt "7000,8000,7000,8000" 13342 13460 ) 13343 *44 3(CommentGraphic13461 *444 (CommentGraphic 13344 13462 uid 13260,0 13345 13463 optionalChildren [ 13346 *44 4(Property13464 *445 (Property 13347 13465 uid 13262,0 13348 13466 pclass "_MW_GEOM_" … … 13368 13486 oxt "11000,6000,11000,6000" 13369 13487 ) 13370 *44 5(CommentGraphic13488 *446 (CommentGraphic 13371 13489 uid 13263,0 13372 13490 optionalChildren [ 13373 *44 6(Property13491 *447 (Property 13374 13492 uid 13265,0 13375 13493 pclass "_MW_GEOM_" … … 13414 13532 stg "VerticalLayoutStrategy" 13415 13533 textVec [ 13416 *44 7(Text13534 *448 (Text 13417 13535 uid 13269,0 13418 13536 va (VaSet … … 13424 13542 blo "43500,62300" 13425 13543 ) 13426 *44 8(Text13544 *449 (Text 13427 13545 uid 13270,0 13428 13546 va (VaSet … … 13433 13551 blo "43500,63300" 13434 13552 ) 13435 *4 49(Text13553 *450 (Text 13436 13554 uid 13271,0 13437 13555 va (VaSet … … 13478 13596 ) 13479 13597 ) 13480 *45 0(PortIoIn13598 *451 (PortIoIn 13481 13599 uid 13689,0 13482 13600 shape (CompositeShape … … 13523 13641 ) 13524 13642 ) 13525 *45 1(Net13643 *452 (Net 13526 13644 uid 13701,0 13527 13645 decl (Decl … … 13538 13656 ) 13539 13657 xt "-172000,4000,-140500,4800" 13540 st "D_T_in : std_logic_vector(1 DOWNTO 0)" 13541 ) 13542 ) 13543 *452 (PortIoIn 13658 st "D_T_in : std_logic_vector(1 DOWNTO 0) 13659 " 13660 ) 13661 ) 13662 *453 (PortIoIn 13544 13663 uid 14042,0 13545 13664 shape (CompositeShape … … 13586 13705 ) 13587 13706 ) 13588 *45 3(Net13707 *454 (Net 13589 13708 uid 14054,0 13590 13709 decl (Decl … … 13601 13720 ) 13602 13721 xt "-172000,12000,-118500,12800" 13603 st "drs_refclk_in : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit" 13604 ) 13605 ) 13606 *454 (PortIoIn 13722 st "drs_refclk_in : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit 13723 " 13724 ) 13725 ) 13726 *455 (PortIoIn 13607 13727 uid 14165,0 13608 13728 shape (CompositeShape … … 13649 13769 ) 13650 13770 ) 13651 *45 5(Net13771 *456 (Net 13652 13772 uid 14177,0 13653 13773 decl (Decl … … 13665 13785 ) 13666 13786 xt "-172000,12800,-111000,13600" 13667 st "plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked" 13668 ) 13669 ) 13670 *456 (SaComponent 13787 st "plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked 13788 " 13789 ) 13790 ) 13791 *457 (SaComponent 13671 13792 uid 14417,0 13672 13793 optionalChildren [ 13673 *45 7(CptPort13794 *458 (CptPort 13674 13795 uid 14397,0 13675 13796 ps "OnEdgeStrategy" … … 13704 13825 ) 13705 13826 ) 13706 *45 8(CptPort13827 *459 (CptPort 13707 13828 uid 14401,0 13708 13829 ps "OnEdgeStrategy" … … 13737 13858 ) 13738 13859 ) 13739 *4 59(CptPort13860 *460 (CptPort 13740 13861 uid 14405,0 13741 13862 ps "OnEdgeStrategy" … … 13774 13895 ) 13775 13896 ) 13776 *46 0(CptPort13897 *461 (CptPort 13777 13898 uid 14409,0 13778 13899 ps "OnEdgeStrategy" … … 13810 13931 ) 13811 13932 ) 13812 *46 1(CptPort13933 *462 (CptPort 13813 13934 uid 14413,0 13814 13935 ps "OnEdgeStrategy" … … 13863 13984 stg "VerticalLayoutStrategy" 13864 13985 textVec [ 13865 *46 2(Text13986 *463 (Text 13866 13987 uid 14420,0 13867 13988 va (VaSet … … 13873 13994 tm "BdLibraryNameMgr" 13874 13995 ) 13875 *46 3(Text13996 *464 (Text 13876 13997 uid 14421,0 13877 13998 va (VaSet … … 13883 14004 tm "CptNameMgr" 13884 14005 ) 13885 *46 4(Text14006 *465 (Text 13886 14007 uid 14422,0 13887 14008 va (VaSet … … 13931 14052 archFileType "UNKNOWN" 13932 14053 ) 13933 *46 5(Net14054 *466 (Net 13934 14055 uid 14477,0 13935 14056 decl (Decl … … 13945 14066 ) 13946 14067 xt "-172000,24800,-150000,25600" 13947 st "alarm_refclk_too_high : std_logic" 13948 ) 13949 ) 13950 *466 (PortIoOut 14068 st "alarm_refclk_too_high : std_logic 14069 " 14070 ) 14071 ) 14072 *467 (PortIoOut 13951 14073 uid 14485,0 13952 14074 shape (CompositeShape … … 13992 14114 ) 13993 14115 ) 13994 *46 7(Net14116 *468 (Net 13995 14117 uid 14491,0 13996 14118 decl (Decl … … 14007 14129 ) 14008 14130 xt "-172000,25600,-150000,26400" 14009 st "alarm_refclk_too_low : std_logic" 14010 ) 14011 ) 14012 *468 (PortIoOut 14131 st "alarm_refclk_too_low : std_logic 14132 " 14133 ) 14134 ) 14135 *469 (PortIoOut 14013 14136 uid 14499,0 14014 14137 shape (CompositeShape … … 14054 14177 ) 14055 14178 ) 14056 *4 69(Net14179 *470 (Net 14057 14180 uid 14620,0 14058 14181 decl (Decl … … 14069 14192 ) 14070 14193 xt "-172000,27200,-140000,28000" 14071 st "counter_result : std_logic_vector(11 DOWNTO 0)" 14072 ) 14073 ) 14074 *470 (PortIoOut 14194 st "counter_result : std_logic_vector(11 DOWNTO 0) 14195 " 14196 ) 14197 ) 14198 *471 (PortIoOut 14075 14199 uid 14628,0 14076 14200 shape (CompositeShape … … 14116 14240 ) 14117 14241 ) 14118 *47 1(MWC14242 *472 (MWC 14119 14243 uid 14991,0 14120 14244 optionalChildren [ 14121 *47 2(CptPort14245 *473 (CptPort 14122 14246 uid 14963,0 14123 14247 optionalChildren [ 14124 *47 3(Line14248 *474 (Line 14125 14249 uid 14967,0 14126 14250 layer 5 … … 14135 14259 ] 14136 14260 ) 14137 *47 4(Property14261 *475 (Property 14138 14262 uid 14968,0 14139 14263 pclass "_MW_GEOM_" … … 14183 14307 ) 14184 14308 ) 14185 *47 5(CptPort14309 *476 (CptPort 14186 14310 uid 14969,0 14187 14311 optionalChildren [ 14188 *47 6(Line14312 *477 (Line 14189 14313 uid 14973,0 14190 14314 layer 5 … … 14239 14363 ) 14240 14364 ) 14241 *47 7(CptPort14365 *478 (CptPort 14242 14366 uid 14974,0 14243 14367 optionalChildren [ 14244 *47 8(Line14368 *479 (Line 14245 14369 uid 14978,0 14246 14370 layer 5 … … 14295 14419 ) 14296 14420 ) 14297 *4 79(CommentGraphic14421 *480 (CommentGraphic 14298 14422 uid 14979,0 14299 14423 optionalChildren [ 14300 *48 0(Property14424 *481 (Property 14301 14425 uid 14981,0 14302 14426 pclass "_MW_GEOM_" … … 14322 14446 oxt "7000,10000,7000,10000" 14323 14447 ) 14324 *48 1(CommentGraphic14448 *482 (CommentGraphic 14325 14449 uid 14982,0 14326 14450 optionalChildren [ 14327 *48 2(Property14451 *483 (Property 14328 14452 uid 14984,0 14329 14453 pclass "_MW_GEOM_" … … 14349 14473 oxt "7000,6000,7000,6000" 14350 14474 ) 14351 *48 3(Grouping14475 *484 (Grouping 14352 14476 uid 14985,0 14353 14477 optionalChildren [ 14354 *48 4(CommentGraphic14478 *485 (CommentGraphic 14355 14479 uid 14987,0 14356 14480 shape (PolyLine2D … … 14373 14497 oxt "7000,6000,9000,10000" 14374 14498 ) 14375 *48 5(CommentGraphic14499 *486 (CommentGraphic 14376 14500 uid 14989,0 14377 14501 shape (Arc2D … … 14426 14550 stg "VerticalLayoutStrategy" 14427 14551 textVec [ 14428 *48 6(Text14552 *487 (Text 14429 14553 uid 14994,0 14430 14554 va (VaSet … … 14436 14560 blo "162500,76300" 14437 14561 ) 14438 *48 7(Text14562 *488 (Text 14439 14563 uid 14995,0 14440 14564 va (VaSet … … 14445 14569 blo "162500,77300" 14446 14570 ) 14447 *48 8(Text14571 *489 (Text 14448 14572 uid 14996,0 14449 14573 va (VaSet … … 14490 14614 ) 14491 14615 ) 14492 *4 89(MWC14616 *490 (MWC 14493 14617 uid 15058,0 14494 14618 optionalChildren [ 14495 *49 0(CptPort14619 *491 (CptPort 14496 14620 uid 15045,0 14497 14621 optionalChildren [ 14498 *49 1(Line14622 *492 (Line 14499 14623 uid 15049,0 14500 14624 layer 5 … … 14556 14680 ) 14557 14681 ) 14558 *49 2(CptPort14682 *493 (CptPort 14559 14683 uid 15050,0 14560 14684 optionalChildren [ 14561 *49 3(Line14685 *494 (Line 14562 14686 uid 15054,0 14563 14687 layer 5 … … 14572 14696 ] 14573 14697 ) 14574 *49 4(Circle14698 *495 (Circle 14575 14699 uid 15055,0 14576 14700 va (VaSet … … 14634 14758 ) 14635 14759 ) 14636 *49 5(CommentGraphic14760 *496 (CommentGraphic 14637 14761 uid 15056,0 14638 14762 shape (CustomPolygon … … 14676 14800 stg "VerticalLayoutStrategy" 14677 14801 textVec [ 14678 *49 6(Text14802 *497 (Text 14679 14803 uid 15061,0 14680 14804 va (VaSet … … 14686 14810 blo "155350,77900" 14687 14811 ) 14688 *49 7(Text14812 *498 (Text 14689 14813 uid 15062,0 14690 14814 va (VaSet … … 14695 14819 blo "155350,78900" 14696 14820 ) 14697 *49 8(Text14821 *499 (Text 14698 14822 uid 15063,0 14699 14823 va (VaSet … … 14740 14864 ) 14741 14865 ) 14742 * 499(Net14866 *500 (Net 14743 14867 uid 15077,0 14744 14868 decl (Decl … … 14756 14880 font "Courier New,8,0" 14757 14881 ) 14758 xt "-172000,66800,-112000,67600" 14759 st "SIGNAL denable_prim : std_logic := '0' -- default domino wave off" 14760 ) 14761 ) 14762 *500 (Net 14882 xt "-172000,67600,-112000,68400" 14883 st "SIGNAL denable_prim : std_logic := '0' -- default domino wave off 14884 " 14885 ) 14886 ) 14887 *501 (Net 14763 14888 uid 15079,0 14764 14889 decl (Decl … … 14776 14901 font "Courier New,8,0" 14777 14902 ) 14778 xt "-172000,70000,-112000,70800" 14779 st "SIGNAL din1 : std_logic := '0' -- default domino wave off" 14780 ) 14781 ) 14782 *501 (Net 14903 xt "-172000,70800,-112000,71600" 14904 st "SIGNAL din1 : std_logic := '0' -- default domino wave off 14905 " 14906 ) 14907 ) 14908 *502 (Net 14783 14909 uid 15492,0 14784 14910 decl (Decl … … 14793 14919 font "Courier New,8,0" 14794 14920 ) 14795 xt "-172000,119600,-146500,120400" 14796 st "SIGNAL trigger_out : std_logic" 14797 ) 14798 ) 14799 *502 (Net 14921 xt "-172000,120400,-146500,121200" 14922 st "SIGNAL trigger_out : std_logic 14923 " 14924 ) 14925 ) 14926 *503 (Net 14800 14927 uid 15748,0 14801 14928 lang 2 … … 14814 14941 font "Courier New,8,0" 14815 14942 ) 14816 xt "-172000,118000,-136500,118800" 14817 st "SIGNAL trigger_id : std_logic_vector(31 downto 0)" 14818 ) 14819 ) 14820 *503 (Net 14943 xt "-172000,118800,-136500,119600" 14944 st "SIGNAL trigger_id : std_logic_vector(31 downto 0) 14945 " 14946 ) 14947 ) 14948 *504 (Net 14821 14949 uid 16369,0 14822 14950 decl (Decl … … 14835 14963 font "Courier New,8,0" 14836 14964 ) 14837 xt "-172000,48400,-119500,49200" 14838 st "SIGNAL DCM_PS_status : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')" 14839 ) 14840 ) 14841 *504 (SaComponent 14965 xt "-172000,49200,-119500,50000" 14966 st "SIGNAL DCM_PS_status : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0') 14967 " 14968 ) 14969 ) 14970 *505 (SaComponent 14842 14971 uid 16404,0 14843 14972 optionalChildren [ 14844 *50 5(CptPort14973 *506 (CptPort 14845 14974 uid 16388,0 14846 14975 ps "OnEdgeStrategy" … … 14878 15007 ) 14879 15008 ) 14880 *50 6(CptPort15009 *507 (CptPort 14881 15010 uid 16396,0 14882 15011 ps "OnEdgeStrategy" … … 14918 15047 ) 14919 15048 ) 14920 *50 7(CptPort15049 *508 (CptPort 14921 15050 uid 16400,0 14922 15051 ps "OnEdgeStrategy" … … 14974 15103 stg "VerticalLayoutStrategy" 14975 15104 textVec [ 14976 *50 8(Text15105 *509 (Text 14977 15106 uid 16407,0 14978 15107 va (VaSet … … 14984 15113 tm "BdLibraryNameMgr" 14985 15114 ) 14986 *5 09(Text15115 *510 (Text 14987 15116 uid 16408,0 14988 15117 va (VaSet … … 14994 15123 tm "CptNameMgr" 14995 15124 ) 14996 *51 0(Text15125 *511 (Text 14997 15126 uid 16409,0 14998 15127 va (VaSet … … 15041 15170 archFileType "UNKNOWN" 15042 15171 ) 15043 *51 1(Net15172 *512 (Net 15044 15173 uid 16545,0 15045 15174 decl (Decl … … 15058 15187 font "Courier New,8,0" 15059 15188 ) 15060 xt "-172000,70800,-119500,71600" 15061 st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0) := (others => '0')" 15062 ) 15063 ) 15064 *512 (Net 15189 xt "-172000,71600,-119500,72400" 15190 st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0) := (others => '0') 15191 " 15192 ) 15193 ) 15194 *513 (Net 15065 15195 uid 16562,0 15066 15196 decl (Decl … … 15078 15208 font "Courier New,8,0" 15079 15209 ) 15080 xt "-172000,98000,-125500,98800" 15081 st "SIGNAL ready : STD_LOGIC := '0'" 15082 ) 15083 ) 15084 *513 (SaComponent 15210 xt "-172000,98800,-125500,99600" 15211 st "SIGNAL ready : STD_LOGIC := '0' 15212 " 15213 ) 15214 ) 15215 *514 (SaComponent 15085 15216 uid 16865,0 15086 15217 optionalChildren [ 15087 *51 4(CptPort15218 *515 (CptPort 15088 15219 uid 16841,0 15089 15220 ps "OnEdgeStrategy" … … 15118 15249 ) 15119 15250 ) 15120 *51 5(CptPort15251 *516 (CptPort 15121 15252 uid 16845,0 15122 15253 ps "OnEdgeStrategy" … … 15154 15285 ) 15155 15286 ) 15156 *51 6(CptPort15287 *517 (CptPort 15157 15288 uid 16849,0 15158 15289 ps "OnEdgeStrategy" … … 15187 15318 ) 15188 15319 ) 15189 *51 7(CptPort15320 *518 (CptPort 15190 15321 uid 16853,0 15191 15322 ps "OnEdgeStrategy" … … 15223 15354 ) 15224 15355 ) 15225 *51 8(CptPort15356 *519 (CptPort 15226 15357 uid 16857,0 15227 15358 ps "OnEdgeStrategy" … … 15256 15387 ) 15257 15388 ) 15258 *5 19(CptPort15389 *520 (CptPort 15259 15390 uid 16861,0 15260 15391 ps "OnEdgeStrategy" … … 15306 15437 stg "VerticalLayoutStrategy" 15307 15438 textVec [ 15308 *52 0(Text15439 *521 (Text 15309 15440 uid 16868,0 15310 15441 va (VaSet … … 15316 15447 tm "BdLibraryNameMgr" 15317 15448 ) 15318 *52 1(Text15449 *522 (Text 15319 15450 uid 16869,0 15320 15451 va (VaSet … … 15326 15457 tm "CptNameMgr" 15327 15458 ) 15328 *52 2(Text15459 *523 (Text 15329 15460 uid 16870,0 15330 15461 va (VaSet … … 15385 15516 archFileType "UNKNOWN" 15386 15517 ) 15387 *52 3(MWC15518 *524 (MWC 15388 15519 uid 16902,0 15389 15520 optionalChildren [ 15390 *52 4(CptPort15521 *525 (CptPort 15391 15522 uid 16891,0 15392 15523 optionalChildren [ 15393 *52 5(Line15524 *526 (Line 15394 15525 uid 16895,0 15395 15526 layer 5 … … 15453 15584 ) 15454 15585 ) 15455 *52 6(Grouping15586 *527 (Grouping 15456 15587 uid 16896,0 15457 15588 optionalChildren [ 15458 *52 7(CommentGraphic15589 *528 (CommentGraphic 15459 15590 uid 16898,0 15460 15591 shape (PolyLine2D … … 15478 15609 oxt "7000,6000,7000,8000" 15479 15610 ) 15480 *52 8(CommentGraphic15611 *529 (CommentGraphic 15481 15612 uid 16900,0 15482 15613 shape (PolyLine2D … … 15534 15665 stg "VerticalLayoutStrategy" 15535 15666 textVec [ 15536 *5 29(Text15667 *530 (Text 15537 15668 uid 16905,0 15538 15669 va (VaSet … … 15544 15675 blo "-84650,142900" 15545 15676 ) 15546 *53 0(Text15677 *531 (Text 15547 15678 uid 16906,0 15548 15679 va (VaSet … … 15553 15684 blo "-84650,143900" 15554 15685 ) 15555 *53 1(Text15686 *532 (Text 15556 15687 uid 16907,0 15557 15688 va (VaSet … … 15598 15729 ) 15599 15730 ) 15600 *53 2(Net15731 *533 (Net 15601 15732 uid 16912,0 15602 15733 decl (Decl … … 15611 15742 font "Courier New,8,0" 15612 15743 ) 15613 xt "-172000,86800,-146500,87600" 15614 st "SIGNAL enable_i : std_logic" 15615 ) 15616 ) 15617 *533 (MWC 15744 xt "-172000,87600,-146500,88400" 15745 st "SIGNAL enable_i : std_logic 15746 " 15747 ) 15748 ) 15749 *534 (MWC 15618 15750 uid 16927,0 15619 15751 optionalChildren [ 15620 *53 4(CptPort15752 *535 (CptPort 15621 15753 uid 16918,0 15622 15754 optionalChildren [ 15623 *53 5(Line15755 *536 (Line 15624 15756 uid 16922,0 15625 15757 layer 5 … … 15683 15815 ) 15684 15816 ) 15685 *53 6(CommentGraphic15817 *537 (CommentGraphic 15686 15818 uid 16923,0 15687 15819 shape (PolyLine2D … … 15702 15834 oxt "7000,7000,7000,8000" 15703 15835 ) 15704 *53 7(CommentGraphic15836 *538 (CommentGraphic 15705 15837 uid 16925,0 15706 15838 shape (CustomPolygon … … 15744 15876 stg "VerticalLayoutStrategy" 15745 15877 textVec [ 15746 *53 8(Text15878 *539 (Text 15747 15879 uid 16930,0 15748 15880 va (VaSet … … 15754 15886 blo "-81550,150495" 15755 15887 ) 15756 *5 39(Text15888 *540 (Text 15757 15889 uid 16931,0 15758 15890 va (VaSet … … 15763 15895 blo "-81550,151495" 15764 15896 ) 15765 *54 0(Text15897 *541 (Text 15766 15898 uid 16932,0 15767 15899 va (VaSet … … 15808 15940 ) 15809 15941 ) 15810 *54 1(Net15942 *542 (Net 15811 15943 uid 16937,0 15812 15944 decl (Decl … … 15821 15953 font "Courier New,8,0" 15822 15954 ) 15823 xt "-172000,99600,-146500,100400" 15824 st "SIGNAL reset_synch_i : std_logic" 15825 ) 15826 ) 15827 *542 (Net 15955 xt "-172000,100400,-146500,101200" 15956 st "SIGNAL reset_synch_i : std_logic 15957 " 15958 ) 15959 ) 15960 *543 (Net 15828 15961 uid 16951,0 15829 15962 decl (Decl … … 15839 15972 font "Courier New,8,0" 15840 15973 ) 15841 xt "-172000,116400,-136500,117200" 15842 st "SIGNAL time : std_logic_vector(31 DOWNTO 0)" 15843 ) 15844 ) 15845 *543 (Net 15974 xt "-172000,117200,-136500,118000" 15975 st "SIGNAL time : std_logic_vector(31 DOWNTO 0) 15976 " 15977 ) 15978 ) 15979 *544 (Net 15846 15980 uid 17001,0 15847 15981 decl (Decl … … 15859 15993 font "Courier New,8,0" 15860 15994 ) 15861 xt "-172000,102800,-132000,103600" 15862 st "SIGNAL rs465_data : std_logic_vector(55 DOWNTO 0) --7 byte" 15863 ) 15864 ) 15865 *544 (Net 15995 xt "-172000,103600,-132000,104400" 15996 st "SIGNAL rs465_data : std_logic_vector(55 DOWNTO 0) --7 byte 15997 " 15998 ) 15999 ) 16000 *545 (Net 15866 16001 uid 17025,0 15867 16002 decl (Decl … … 15882 16017 font "Courier New,8,0" 15883 16018 ) 15884 xt "-172000,5 0800,-123500,55600"16019 xt "-172000,51600,-123500,56400" 15885 16020 st "-- 15886 16021 … … 15888 16023 -- during EVT header wrinting, this field is left out ... and only written into event header, 15889 16024 -- when the DRS chip were read out already. 15890 SIGNAL FTM_RS485_ready : std_logic" 15891 ) 15892 ) 15893 *545 (Net 16025 SIGNAL FTM_RS485_ready : std_logic 16026 " 16027 ) 16028 ) 16029 *546 (Net 15894 16030 uid 17391,0 15895 16031 decl (Decl … … 15906 16042 font "Courier New,8,0" 15907 16043 ) 15908 xt "-172000,61200,-136500,62000" 15909 st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0)" 15910 ) 15911 ) 15912 *546 (Net 16044 xt "-172000,62000,-136500,62800" 16045 st "SIGNAL c_trigger_mult : std_logic_vector(15 DOWNTO 0) 16046 " 16047 ) 16048 ) 16049 *547 (Net 15913 16050 uid 18457,0 15914 16051 lang 2 … … 15924 16061 font "Courier New,8,0" 15925 16062 ) 15926 xt "-172000,65200,-146500,66000" 15927 st "SIGNAL data_ram_empty : std_logic" 15928 ) 15929 ) 15930 *547 (PortIoOut 16063 xt "-172000,66000,-146500,66800" 16064 st "SIGNAL data_ram_empty : std_logic 16065 " 16066 ) 16067 ) 16068 *548 (PortIoOut 15931 16069 uid 18968,0 15932 16070 shape (CompositeShape … … 15973 16111 ) 15974 16112 ) 15975 *54 8(Net16113 *549 (Net 15976 16114 uid 18980,0 15977 16115 lang 2 … … 15988 16126 ) 15989 16127 xt "-172000,15200,-150000,16000" 15990 st "ADC_CLK : std_logic" 15991 ) 15992 ) 15993 *549 (MWC 16128 st "ADC_CLK : std_logic 16129 " 16130 ) 16131 ) 16132 *550 (MWC 15994 16133 uid 19265,0 15995 16134 optionalChildren [ 15996 *55 0(CptPort16135 *551 (CptPort 15997 16136 uid 19237,0 15998 16137 optionalChildren [ 15999 *55 1(Line16138 *552 (Line 16000 16139 uid 19241,0 16001 16140 layer 5 … … 16010 16149 ] 16011 16150 ) 16012 *55 2(Property16151 *553 (Property 16013 16152 uid 19242,0 16014 16153 pclass "_MW_GEOM_" … … 16055 16194 ) 16056 16195 ) 16057 *55 3(CptPort16196 *554 (CptPort 16058 16197 uid 19243,0 16059 16198 optionalChildren [ 16060 *55 4(Line16199 *555 (Line 16061 16200 uid 19247,0 16062 16201 layer 5 … … 16110 16249 ) 16111 16250 ) 16112 *55 5(CptPort16251 *556 (CptPort 16113 16252 uid 19248,0 16114 16253 optionalChildren [ 16115 *55 6(Line16254 *557 (Line 16116 16255 uid 19252,0 16117 16256 layer 5 … … 16164 16303 ) 16165 16304 ) 16166 *55 7(CommentGraphic16305 *558 (CommentGraphic 16167 16306 uid 19253,0 16168 16307 optionalChildren [ 16169 *55 8(Property16308 *559 (Property 16170 16309 uid 19255,0 16171 16310 pclass "_MW_GEOM_" … … 16192 16331 oxt "-125000,62000,-125000,62000" 16193 16332 ) 16194 *5 59(CommentGraphic16333 *560 (CommentGraphic 16195 16334 uid 19256,0 16196 16335 optionalChildren [ 16197 *56 0(Property16336 *561 (Property 16198 16337 uid 19258,0 16199 16338 pclass "_MW_GEOM_" … … 16220 16359 oxt "-125000,66000,-125000,66000" 16221 16360 ) 16222 *56 1(Grouping16361 *562 (Grouping 16223 16362 uid 19259,0 16224 16363 optionalChildren [ 16225 *56 2(CommentGraphic16364 *563 (CommentGraphic 16226 16365 uid 19261,0 16227 16366 shape (PolyLine2D … … 16245 16384 oxt "-127000,62000,-125000,66000" 16246 16385 ) 16247 *56 3(CommentGraphic16386 *564 (CommentGraphic 16248 16387 uid 19263,0 16249 16388 shape (Arc2D … … 16301 16440 stg "VerticalLayoutStrategy" 16302 16441 textVec [ 16303 *56 4(Text16442 *565 (Text 16304 16443 uid 19268,0 16305 16444 va (VaSet … … 16311 16450 blo "-49500,37300" 16312 16451 ) 16313 *56 5(Text16452 *566 (Text 16314 16453 uid 19269,0 16315 16454 va (VaSet … … 16320 16459 blo "-49500,38300" 16321 16460 ) 16322 *56 6(Text16461 *567 (Text 16323 16462 uid 19270,0 16324 16463 va (VaSet … … 16365 16504 ) 16366 16505 ) 16367 *56 7(Net16506 *568 (Net 16368 16507 uid 20151,0 16369 16508 lang 10 … … 16380 16519 font "Courier New,8,0" 16381 16520 ) 16382 xt "-172000,62800,-120000,63600" 16383 st "SIGNAL current_dac_array : dac_array_type := ( others => 0)" 16384 ) 16385 ) 16386 *568 (Net 16521 xt "-172000,63600,-120000,64400" 16522 st "SIGNAL current_dac_array : dac_array_type := ( others => 0) 16523 " 16524 ) 16525 ) 16526 *569 (Net 16387 16527 uid 20511,0 16388 16528 decl (Decl … … 16399 16539 font "Courier New,8,0" 16400 16540 ) 16401 xt "-172000,118800,-146500,119600" 16402 st "SIGNAL trigger_or_s_trigger : std_logic" 16403 ) 16404 ) 16405 *569 (Net 16541 xt "-172000,119600,-146500,120400" 16542 st "SIGNAL trigger_or_s_trigger : std_logic 16543 " 16544 ) 16545 ) 16546 *570 (Net 16406 16547 uid 20513,0 16407 16548 decl (Decl … … 16418 16559 font "Courier New,8,0" 16419 16560 ) 16420 xt "-172000,87600,-146500,88400" 16421 st "SIGNAL enabled_trigger_or_s_trigger : std_logic" 16422 ) 16423 ) 16424 *570 (Net 16561 xt "-172000,88400,-146500,89200" 16562 st "SIGNAL enabled_trigger_or_s_trigger : std_logic 16563 " 16564 ) 16565 ) 16566 *571 (Net 16425 16567 uid 20519,0 16426 16568 decl (Decl … … 16435 16577 font "Courier New,8,0" 16436 16578 ) 16437 xt "-172000,62000,-146500,62800" 16438 st "SIGNAL cont_trigger : std_logic" 16439 ) 16440 ) 16441 *571 (Net 16579 xt "-172000,62800,-146500,63600" 16580 st "SIGNAL cont_trigger : std_logic 16581 " 16582 ) 16583 ) 16584 *572 (Net 16442 16585 uid 20521,0 16443 16586 decl (Decl … … 16452 16595 font "Courier New,8,0" 16453 16596 ) 16454 xt "-172000,106000,-146500,106800" 16455 st "SIGNAL s_trigger_or_cont_trigger : std_logic" 16456 ) 16457 ) 16458 *572 (Net 16597 xt "-172000,106800,-146500,107600" 16598 st "SIGNAL s_trigger_or_cont_trigger : std_logic 16599 " 16600 ) 16601 ) 16602 *573 (Net 16459 16603 uid 20921,0 16460 16604 decl (Decl … … 16472 16616 font "Courier New,8,0" 16473 16617 ) 16474 xt "-172000,63600,-98500,64400" 16475 st "SIGNAL dac_setting : dac_array_type := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd" 16476 ) 16477 ) 16478 *573 (Net 16618 xt "-172000,64400,-98500,65200" 16619 st "SIGNAL dac_setting : dac_array_type := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd 16620 " 16621 ) 16622 ) 16623 *574 (Net 16479 16624 uid 20937,0 16480 16625 decl (Decl … … 16489 16634 font "Courier New,8,0" 16490 16635 ) 16491 xt "-172000,102000,-144000,102800" 16492 st "SIGNAL roi_setting : roi_array_type" 16493 ) 16494 ) 16495 *574 (Net 16636 xt "-172000,102800,-144000,103600" 16637 st "SIGNAL roi_setting : roi_array_type 16638 " 16639 ) 16640 ) 16641 *575 (Net 16496 16642 uid 21033,0 16497 16643 decl (Decl … … 16507 16653 font "Courier New,8,0" 16508 16654 ) 16509 xt "-172000,89200,-125500,90000" 16510 st "SIGNAL memory_manager_config_start : std_logic := '0'" 16511 ) 16512 ) 16513 *575 (Net 16655 xt "-172000,90000,-125500,90800" 16656 st "SIGNAL memory_manager_config_start : std_logic := '0' 16657 " 16658 ) 16659 ) 16660 *576 (Net 16514 16661 uid 21037,0 16515 16662 decl (Decl … … 16524 16671 font "Courier New,8,0" 16525 16672 ) 16526 xt "-172000,90000,-146500,90800" 16527 st "SIGNAL memory_manager_config_valid : std_logic" 16528 ) 16529 ) 16530 *576 (Net 16673 xt "-172000,90800,-146500,91600" 16674 st "SIGNAL memory_manager_config_valid : std_logic 16675 " 16676 ) 16677 ) 16678 *577 (Net 16531 16679 uid 21039,0 16532 16680 decl (Decl … … 16542 16690 font "Courier New,8,0" 16543 16691 ) 16544 xt "-172000,111600,-125500,112400" 16545 st "SIGNAL spi_interface_config_start : std_logic := '0'" 16546 ) 16547 ) 16548 *577 (Net 16692 xt "-172000,112400,-125500,113200" 16693 st "SIGNAL spi_interface_config_start : std_logic := '0' 16694 " 16695 ) 16696 ) 16697 *578 (Net 16549 16698 uid 21043,0 16550 16699 decl (Decl … … 16559 16708 font "Courier New,8,0" 16560 16709 ) 16561 xt "-172000,112400,-146500,113200" 16562 st "SIGNAL spi_interface_config_valid : std_logic" 16563 ) 16564 ) 16565 *578 (MWC 16710 xt "-172000,113200,-146500,114000" 16711 st "SIGNAL spi_interface_config_valid : std_logic 16712 " 16713 ) 16714 ) 16715 *579 (MWC 16566 16716 uid 21806,0 16567 16717 optionalChildren [ 16568 *5 79(CptPort16718 *580 (CptPort 16569 16719 uid 21784,0 16570 16720 optionalChildren [ 16571 *58 0(Property16721 *581 (Property 16572 16722 uid 21788,0 16573 16723 pclass "_MW_GEOM_" … … 16575 16725 ptn "String" 16576 16726 ) 16577 *58 1(Property16727 *582 (Property 16578 16728 uid 21789,0 16579 16729 pclass "_MW_GEOM_" … … 16620 16770 ) 16621 16771 ) 16622 *58 2(CommentText16772 *583 (CommentText 16623 16773 uid 21790,0 16624 16774 shape (Rectangle … … 16654 16804 position 1 16655 16805 ) 16656 *58 3(CommentText16806 *584 (CommentText 16657 16807 uid 21793,0 16658 16808 shape (Rectangle … … 16688 16838 position 1 16689 16839 ) 16690 *58 4(CommentGraphic16840 *585 (CommentGraphic 16691 16841 uid 21796,0 16692 16842 shape (PolyLine2D … … 16709 16859 oxt "6000,8000,8000,8000" 16710 16860 ) 16711 *58 5(CommentGraphic16861 *586 (CommentGraphic 16712 16862 uid 21798,0 16713 16863 optionalChildren [ 16714 *58 6(Property16864 *587 (Property 16715 16865 uid 21800,0 16716 16866 pclass "_MW_GEOM_" … … 16738 16888 oxt "10000,9000,10000,9000" 16739 16889 ) 16740 *58 7(CommentGraphic16890 *588 (CommentGraphic 16741 16891 uid 21801,0 16742 16892 optionalChildren [ 16743 *58 8(Property16893 *589 (Property 16744 16894 uid 21803,0 16745 16895 pclass "_MW_GEOM_" … … 16767 16917 oxt "10000,7000,10000,7000" 16768 16918 ) 16769 *5 89(CommentGraphic16919 *590 (CommentGraphic 16770 16920 uid 21804,0 16771 16921 shape (CustomPolygon … … 16789 16939 oxt "8000,7000,10000,9000" 16790 16940 ) 16791 *59 0(CptPort16941 *591 (CptPort 16792 16942 uid 21827,0 16793 16943 ps "OnEdgeStrategy" … … 16829 16979 ) 16830 16980 ) 16831 *59 1(CptPort16981 *592 (CptPort 16832 16982 uid 21831,0 16833 16983 ps "OnEdgeStrategy" … … 16869 17019 ) 16870 17020 ) 16871 *59 2(CptPort17021 *593 (CptPort 16872 17022 uid 21835,0 16873 17023 ps "OnEdgeStrategy" … … 16908 17058 ) 16909 17059 ) 16910 *59 3(CptPort17060 *594 (CptPort 16911 17061 uid 21839,0 16912 17062 ps "OnEdgeStrategy" … … 16966 17116 stg "VerticalLayoutStrategy" 16967 17117 textVec [ 16968 *59 4(Text17118 *595 (Text 16969 17119 uid 21809,0 16970 17120 va (VaSet … … 16976 17126 blo "-86100,123800" 16977 17127 ) 16978 *59 5(Text17128 *596 (Text 16979 17129 uid 21810,0 16980 17130 va (VaSet … … 16985 17135 blo "-86100,124800" 16986 17136 ) 16987 *59 6(Text17137 *597 (Text 16988 17138 uid 21811,0 16989 17139 va (VaSet … … 17029 17179 ) 17030 17180 ) 17031 *59 7(MWC17181 *598 (MWC 17032 17182 uid 21871,0 17033 17183 optionalChildren [ 17034 *59 8(CptPort17184 *599 (CptPort 17035 17185 uid 21843,0 17036 17186 optionalChildren [ 17037 * 599(Line17187 *600 (Line 17038 17188 uid 21847,0 17039 17189 layer 5 … … 17048 17198 ] 17049 17199 ) 17050 *60 0(Property17200 *601 (Property 17051 17201 uid 21848,0 17052 17202 pclass "_MW_GEOM_" … … 17093 17243 ) 17094 17244 ) 17095 *60 1(CommentGraphic17245 *602 (CommentGraphic 17096 17246 uid 21859,0 17097 17247 optionalChildren [ 17098 *60 2(Property17248 *603 (Property 17099 17249 uid 21861,0 17100 17250 pclass "_MW_GEOM_" … … 17120 17270 oxt "7000,10000,7000,10000" 17121 17271 ) 17122 *60 3(CommentGraphic17272 *604 (CommentGraphic 17123 17273 uid 21862,0 17124 17274 optionalChildren [ 17125 *60 4(Property17275 *605 (Property 17126 17276 uid 21864,0 17127 17277 pclass "_MW_GEOM_" … … 17147 17297 oxt "7000,6000,7000,6000" 17148 17298 ) 17149 *60 5(Grouping17299 *606 (Grouping 17150 17300 uid 21865,0 17151 17301 optionalChildren [ 17152 *60 6(CommentGraphic17302 *607 (CommentGraphic 17153 17303 uid 21867,0 17154 17304 shape (PolyLine2D … … 17171 17321 oxt "7000,6000,9000,10000" 17172 17322 ) 17173 *60 7(CommentGraphic17323 *608 (CommentGraphic 17174 17324 uid 21869,0 17175 17325 shape (Arc2D … … 17205 17355 oxt "7000,6000,11000,10000" 17206 17356 ) 17207 *60 8(CptPort17357 *609 (CptPort 17208 17358 uid 21895,0 17209 17359 optionalChildren [ 17210 *6 09(Line17360 *610 (Line 17211 17361 uid 21899,0 17212 17362 sl 0 … … 17257 17407 ) 17258 17408 ) 17259 *61 0(CptPort17409 *611 (CptPort 17260 17410 uid 21900,0 17261 17411 optionalChildren [ 17262 *61 1(Line17412 *612 (Line 17263 17413 uid 21904,0 17264 17414 sl 0 … … 17309 17459 ) 17310 17460 ) 17311 *61 2(CptPort17461 *613 (CptPort 17312 17462 uid 21905,0 17313 17463 optionalChildren [ 17314 *61 3(Line17464 *614 (Line 17315 17465 uid 21913,0 17316 17466 layer 5 … … 17361 17511 ) 17362 17512 ) 17363 *61 4(CptPort17513 *615 (CptPort 17364 17514 uid 21909,0 17365 17515 optionalChildren [ 17366 *61 5(Line17516 *616 (Line 17367 17517 uid 21914,0 17368 17518 layer 5 … … 17432 17582 stg "VerticalLayoutStrategy" 17433 17583 textVec [ 17434 *61 6(Text17584 *617 (Text 17435 17585 uid 21874,0 17436 17586 va (VaSet … … 17442 17592 blo "-79500,122300" 17443 17593 ) 17444 *61 7(Text17594 *618 (Text 17445 17595 uid 21875,0 17446 17596 va (VaSet … … 17451 17601 blo "-79500,123300" 17452 17602 ) 17453 *61 8(Text17603 *619 (Text 17454 17604 uid 21876,0 17455 17605 va (VaSet … … 17496 17646 ) 17497 17647 ) 17498 *6 19(Net17648 *620 (Net 17499 17649 uid 21915,0 17500 17650 decl (Decl … … 17509 17659 font "Courier New,8,0" 17510 17660 ) 17511 xt "-172000,72400,-146500,73200" 17512 st "SIGNAL dout0 : STD_LOGIC" 17513 ) 17514 ) 17515 *620 (Net 17661 xt "-172000,73200,-146500,74000" 17662 st "SIGNAL dout0 : STD_LOGIC 17663 " 17664 ) 17665 ) 17666 *621 (Net 17516 17667 uid 21921,0 17517 17668 decl (Decl … … 17526 17677 font "Courier New,8,0" 17527 17678 ) 17528 xt "-172000,73200,-146500,74000" 17529 st "SIGNAL dout1 : STD_LOGIC" 17530 ) 17531 ) 17532 *621 (Net 17679 xt "-172000,74000,-146500,74800" 17680 st "SIGNAL dout1 : STD_LOGIC 17681 " 17682 ) 17683 ) 17684 *622 (Net 17533 17685 uid 21927,0 17534 17686 decl (Decl … … 17543 17695 font "Courier New,8,0" 17544 17696 ) 17545 xt "-172000,74000,-146500,74800" 17546 st "SIGNAL dout2 : STD_LOGIC" 17547 ) 17548 ) 17549 *622 (Net 17697 xt "-172000,74800,-146500,75600" 17698 st "SIGNAL dout2 : STD_LOGIC 17699 " 17700 ) 17701 ) 17702 *623 (Net 17550 17703 uid 21933,0 17551 17704 decl (Decl … … 17560 17713 font "Courier New,8,0" 17561 17714 ) 17562 xt "-172000,74800,-146500,75600" 17563 st "SIGNAL dout3 : STD_LOGIC" 17564 ) 17565 ) 17566 *623 (MWC 17715 xt "-172000,75600,-146500,76400" 17716 st "SIGNAL dout3 : STD_LOGIC 17717 " 17718 ) 17719 ) 17720 *624 (MWC 17567 17721 uid 21975,0 17568 17722 optionalChildren [ 17569 *62 4(CptPort17723 *625 (CptPort 17570 17724 uid 21944,0 17571 17725 optionalChildren [ 17572 *62 5(Property17726 *626 (Property 17573 17727 uid 21948,0 17574 17728 pclass "_MW_GEOM_" … … 17576 17730 ptn "String" 17577 17731 ) 17578 *62 6(Line17732 *627 (Line 17579 17733 uid 21949,0 17580 17734 layer 5 … … 17628 17782 ) 17629 17783 ) 17630 *62 7(CommentGraphic17784 *628 (CommentGraphic 17631 17785 uid 21955,0 17632 17786 shape (Arc2D … … 17649 17803 oxt "7000,6003,11000,8000" 17650 17804 ) 17651 *62 8(CommentGraphic17805 *629 (CommentGraphic 17652 17806 uid 21957,0 17653 17807 shape (Arc2D … … 17670 17824 oxt "6996,8005,11000,10000" 17671 17825 ) 17672 *6 29(Grouping17826 *630 (Grouping 17673 17827 uid 21959,0 17674 17828 optionalChildren [ 17675 *63 0(CommentGraphic17829 *631 (CommentGraphic 17676 17830 uid 21961,0 17677 17831 optionalChildren [ 17678 *63 1(Property17832 *632 (Property 17679 17833 uid 21963,0 17680 17834 pclass "_MW_GEOM_" … … 17707 17861 oxt "7000,6000,11000,9998" 17708 17862 ) 17709 *63 2(CommentGraphic17863 *633 (CommentGraphic 17710 17864 uid 21964,0 17711 17865 optionalChildren [ 17712 *63 3(Property17866 *634 (Property 17713 17867 uid 21966,0 17714 17868 pclass "_MW_GEOM_" … … 17752 17906 oxt "7000,6000,11000,10000" 17753 17907 ) 17754 *63 4(CommentGraphic17908 *635 (CommentGraphic 17755 17909 uid 21967,0 17756 17910 shape (PolyLine2D … … 17771 17925 oxt "11000,8000,11000,8000" 17772 17926 ) 17773 *63 5(CommentGraphic17927 *636 (CommentGraphic 17774 17928 uid 21969,0 17775 17929 optionalChildren [ 17776 *63 6(Property17930 *637 (Property 17777 17931 uid 21971,0 17778 17932 pclass "_MW_GEOM_" … … 17798 17952 oxt "7000,6000,7000,6000" 17799 17953 ) 17800 *63 7(CommentGraphic17954 *638 (CommentGraphic 17801 17955 uid 21972,0 17802 17956 optionalChildren [ 17803 *63 8(Property17957 *639 (Property 17804 17958 uid 21974,0 17805 17959 pclass "_MW_GEOM_" … … 17825 17979 oxt "7000,10000,7000,10000" 17826 17980 ) 17827 *6 39(CptPort17981 *640 (CptPort 17828 17982 uid 22078,0 17829 17983 optionalChildren [ 17830 *64 0(Line17984 *641 (Line 17831 17985 uid 22082,0 17832 17986 sl 0 … … 17877 18031 ) 17878 18032 ) 17879 *64 1(CptPort18033 *642 (CptPort 17880 18034 uid 22083,0 17881 18035 optionalChildren [ 17882 *64 2(Line18036 *643 (Line 17883 18037 uid 22087,0 17884 18038 sl 0 … … 17948 18102 stg "VerticalLayoutStrategy" 17949 18103 textVec [ 17950 *64 3(Text18104 *644 (Text 17951 18105 uid 21978,0 17952 18106 va (VaSet … … 17958 18112 blo "-64500,125300" 17959 18113 ) 17960 *64 4(Text18114 *645 (Text 17961 18115 uid 21979,0 17962 18116 va (VaSet … … 17967 18121 blo "-64500,126300" 17968 18122 ) 17969 *64 5(Text18123 *646 (Text 17970 18124 uid 21980,0 17971 18125 va (VaSet … … 18012 18166 ) 18013 18167 ) 18014 *64 6(Net18168 *647 (Net 18015 18169 uid 21984,0 18016 18170 decl (Decl … … 18025 18179 font "Courier New,8,0" 18026 18180 ) 18027 xt "-172000,71600,-146500,72400" 18028 st "SIGNAL dout : STD_LOGIC" 18029 ) 18030 ) 18031 *647 (Net 18181 xt "-172000,72400,-146500,73200" 18182 st "SIGNAL dout : STD_LOGIC 18183 " 18184 ) 18185 ) 18186 *648 (Net 18032 18187 uid 21998,0 18033 18188 decl (Decl … … 18042 18197 font "Courier New,8,0" 18043 18198 ) 18044 xt "-172000,55600,-146500,56400" 18045 st "SIGNAL I_really_want_dwrite : STD_LOGIC" 18046 ) 18047 ) 18048 *648 (Net 18199 xt "-172000,56400,-146500,57200" 18200 st "SIGNAL I_really_want_dwrite : STD_LOGIC 18201 " 18202 ) 18203 ) 18204 *649 (Net 18049 18205 uid 22043,0 18050 18206 decl (Decl … … 18060 18216 font "Courier New,8,0" 18061 18217 ) 18062 xt "-172000,84400,-125500,85200" 18063 st "SIGNAL dwrite_enable_w5300 : std_logic := '1'" 18064 ) 18065 ) 18066 *649 (Net 18218 xt "-172000,85200,-125500,86000" 18219 st "SIGNAL dwrite_enable_w5300 : std_logic := '1' 18220 " 18221 ) 18222 ) 18223 *650 (Net 18067 18224 uid 22076,0 18068 18225 decl (Decl … … 18078 18235 font "Courier New,8,0" 18079 18236 ) 18080 xt "-172000,85200,-125500,86000" 18081 st "SIGNAL dwrite_global_enable : std_logic := '1'" 18082 ) 18083 ) 18084 *650 (MWC 18237 xt "-172000,86000,-125500,86800" 18238 st "SIGNAL dwrite_global_enable : std_logic := '1' 18239 " 18240 ) 18241 ) 18242 *651 (MWC 18085 18243 uid 22116,0 18086 18244 optionalChildren [ 18087 *65 1(CptPort18245 *652 (CptPort 18088 18246 uid 22088,0 18089 18247 optionalChildren [ 18090 *65 2(Line18248 *653 (Line 18091 18249 uid 22092,0 18092 18250 layer 5 … … 18101 18259 ] 18102 18260 ) 18103 *65 3(Property18261 *654 (Property 18104 18262 uid 22093,0 18105 18263 pclass "_MW_GEOM_" … … 18147 18305 ) 18148 18306 ) 18149 *65 4(CptPort18307 *655 (CptPort 18150 18308 uid 22094,0 18151 18309 optionalChildren [ 18152 *65 5(Line18310 *656 (Line 18153 18311 uid 22098,0 18154 18312 layer 5 … … 18201 18359 ) 18202 18360 ) 18203 *65 6(CptPort18361 *657 (CptPort 18204 18362 uid 22099,0 18205 18363 optionalChildren [ 18206 *65 7(Line18364 *658 (Line 18207 18365 uid 22103,0 18208 18366 layer 5 … … 18254 18412 ) 18255 18413 ) 18256 *65 8(CommentGraphic18414 *659 (CommentGraphic 18257 18415 uid 22104,0 18258 18416 optionalChildren [ 18259 *6 59(Property18417 *660 (Property 18260 18418 uid 22106,0 18261 18419 pclass "_MW_GEOM_" … … 18281 18439 oxt "7000,10000,7000,10000" 18282 18440 ) 18283 *66 0(CommentGraphic18441 *661 (CommentGraphic 18284 18442 uid 22107,0 18285 18443 optionalChildren [ 18286 *66 1(Property18444 *662 (Property 18287 18445 uid 22109,0 18288 18446 pclass "_MW_GEOM_" … … 18308 18466 oxt "7000,6000,7000,6000" 18309 18467 ) 18310 *66 2(Grouping18468 *663 (Grouping 18311 18469 uid 22110,0 18312 18470 optionalChildren [ 18313 *66 3(CommentGraphic18471 *664 (CommentGraphic 18314 18472 uid 22112,0 18315 18473 shape (PolyLine2D … … 18332 18490 oxt "7000,6000,9000,10000" 18333 18491 ) 18334 *66 4(CommentGraphic18492 *665 (CommentGraphic 18335 18493 uid 22114,0 18336 18494 shape (Arc2D … … 18385 18543 stg "VerticalLayoutStrategy" 18386 18544 textVec [ 18387 *66 5(Text18545 *666 (Text 18388 18546 uid 22119,0 18389 18547 va (VaSet … … 18395 18553 blo "-55500,124300" 18396 18554 ) 18397 *66 6(Text18555 *667 (Text 18398 18556 uid 22120,0 18399 18557 va (VaSet … … 18404 18562 blo "-55500,125300" 18405 18563 ) 18406 *66 7(Text18564 *668 (Text 18407 18565 uid 22121,0 18408 18566 va (VaSet … … 18449 18607 ) 18450 18608 ) 18451 *66 8(Net18609 *669 (Net 18452 18610 uid 22125,0 18453 18611 decl (Decl … … 18462 18620 font "Courier New,8,0" 18463 18621 ) 18464 xt "-172000,75600,-146500,76400" 18465 st "SIGNAL dout4 : STD_LOGIC" 18466 ) 18467 ) 18468 *669 (Net 18622 xt "-172000,76400,-146500,77200" 18623 st "SIGNAL dout4 : STD_LOGIC 18624 " 18625 ) 18626 ) 18627 *670 (Net 18469 18628 uid 22131,0 18470 18629 decl (Decl … … 18480 18639 font "Courier New,8,0" 18481 18640 ) 18482 xt "-172000,86000,-125500,86800" 18483 st "SIGNAL dwrite_trigger_manager : std_logic := '1'" 18484 ) 18485 ) 18486 *670 (MWC 18641 xt "-172000,86800,-125500,87600" 18642 st "SIGNAL dwrite_trigger_manager : std_logic := '1' 18643 " 18644 ) 18645 ) 18646 *671 (MWC 18487 18647 uid 23004,0 18488 18648 optionalChildren [ 18489 *67 1(CptPort18649 *672 (CptPort 18490 18650 uid 22993,0 18491 18651 optionalChildren [ 18492 *67 2(Line18652 *673 (Line 18493 18653 uid 22997,0 18494 18654 layer 5 … … 18552 18712 ) 18553 18713 ) 18554 *67 3(Grouping18714 *674 (Grouping 18555 18715 uid 22998,0 18556 18716 optionalChildren [ 18557 *67 4(CommentGraphic18717 *675 (CommentGraphic 18558 18718 uid 23000,0 18559 18719 shape (PolyLine2D … … 18577 18737 oxt "7000,6000,7000,8000" 18578 18738 ) 18579 *67 5(CommentGraphic18739 *676 (CommentGraphic 18580 18740 uid 23002,0 18581 18741 shape (PolyLine2D … … 18633 18793 stg "VerticalLayoutStrategy" 18634 18794 textVec [ 18635 *67 6(Text18795 *677 (Text 18636 18796 uid 23007,0 18637 18797 va (VaSet … … 18643 18803 blo "-93650,125900" 18644 18804 ) 18645 *67 7(Text18805 *678 (Text 18646 18806 uid 23008,0 18647 18807 va (VaSet … … 18652 18812 blo "-93650,126900" 18653 18813 ) 18654 *67 8(Text18814 *679 (Text 18655 18815 uid 23009,0 18656 18816 va (VaSet … … 18697 18857 ) 18698 18858 ) 18699 *6 79(MWC18859 *680 (MWC 18700 18860 uid 23034,0 18701 18861 optionalChildren [ 18702 *68 0(CptPort18862 *681 (CptPort 18703 18863 uid 23014,0 18704 18864 optionalChildren [ 18705 *68 1(Line18865 *682 (Line 18706 18866 uid 23018,0 18707 18867 layer 5 … … 18769 18929 ) 18770 18930 ) 18771 *68 2(CptPort18931 *683 (CptPort 18772 18932 uid 23019,0 18773 18933 optionalChildren [ 18774 *68 3(Line18934 *684 (Line 18775 18935 uid 23023,0 18776 18936 layer 5 … … 18837 18997 ) 18838 18998 ) 18839 *68 4(CommentGraphic18999 *685 (CommentGraphic 18840 19000 uid 23024,0 18841 19001 shape (PolyLine2D … … 18858 19018 oxt "6000,6000,7000,7000" 18859 19019 ) 18860 *68 5(CommentGraphic19020 *686 (CommentGraphic 18861 19021 uid 23026,0 18862 19022 shape (PolyLine2D … … 18879 19039 oxt "6000,7000,7000,8000" 18880 19040 ) 18881 *68 6(CommentGraphic19041 *687 (CommentGraphic 18882 19042 uid 23028,0 18883 19043 shape (PolyLine2D … … 18900 19060 oxt "6988,7329,7988,7329" 18901 19061 ) 18902 *68 7(CommentGraphic19062 *688 (CommentGraphic 18903 19063 uid 23030,0 18904 19064 shape (PolyLine2D … … 18919 19079 oxt "8000,7000,9000,7000" 18920 19080 ) 18921 *68 8(CommentGraphic19081 *689 (CommentGraphic 18922 19082 uid 23032,0 18923 19083 shape (PolyLine2D … … 18960 19120 stg "VerticalLayoutStrategy" 18961 19121 textVec [ 18962 *6 89(Text19122 *690 (Text 18963 19123 uid 23037,0 18964 19124 va (VaSet … … 18970 19130 blo "173350,80900" 18971 19131 ) 18972 *69 0(Text19132 *691 (Text 18973 19133 uid 23038,0 18974 19134 va (VaSet … … 18979 19139 blo "173350,81900" 18980 19140 ) 18981 *69 1(Text19141 *692 (Text 18982 19142 uid 23039,0 18983 19143 va (VaSet … … 19024 19184 ) 19025 19185 ) 19026 *69 2(Net19186 *693 (Net 19027 19187 uid 23051,0 19028 19188 decl (Decl … … 19040 19200 font "Courier New,8,0" 19041 19201 ) 19042 xt "-172000,67600,-112000,68400" 19043 st "SIGNAL denable_sig : std_logic := '0' -- default domino wave off" 19044 ) 19045 ) 19046 *693 (Net 19202 xt "-172000,68400,-112000,69200" 19203 st "SIGNAL denable_sig : std_logic := '0' -- default domino wave off 19204 " 19205 ) 19206 ) 19207 *694 (Net 19047 19208 uid 23341,0 19048 19209 decl (Decl … … 19057 19218 font "Courier New,8,0" 19058 19219 ) 19059 xt "-172000,49200,-146500,50000" 19060 st "SIGNAL DCM_locked_status : std_logic" 19061 ) 19062 ) 19063 *694 (Net 19220 xt "-172000,50000,-146500,50800" 19221 st "SIGNAL DCM_locked_status : std_logic 19222 " 19223 ) 19224 ) 19225 *695 (Net 19064 19226 uid 23349,0 19065 19227 decl (Decl … … 19074 19236 font "Courier New,8,0" 19075 19237 ) 19076 xt "-172000,50000,-146500,50800" 19077 st "SIGNAL DCM_ready_status : std_logic" 19078 ) 19079 ) 19080 *695 (Net 19238 xt "-172000,50800,-146500,51600" 19239 st "SIGNAL DCM_ready_status : std_logic 19240 " 19241 ) 19242 ) 19243 *696 (Net 19081 19244 uid 24076,0 19082 19245 decl (Decl … … 19092 19255 font "Courier New,8,0" 19093 19256 ) 19094 xt "-172000,38400,-129000,39200" 19095 st "trigger_veto : std_logic := '1'" 19096 ) 19097 ) 19098 *696 (PortIoOut 19257 xt "-172000,39200,-129000,40000" 19258 st "trigger_veto : std_logic := '1' 19259 " 19260 ) 19261 ) 19262 *697 (PortIoOut 19099 19263 uid 24084,0 19100 19264 shape (CompositeShape … … 19140 19304 ) 19141 19305 ) 19142 *69 7(SaComponent19306 *698 (SaComponent 19143 19307 uid 24570,0 19144 19308 optionalChildren [ 19145 *69 8(CptPort19309 *699 (CptPort 19146 19310 uid 24538,0 19147 19311 ps "OnEdgeStrategy" … … 19176 19340 ) 19177 19341 ) 19178 * 699(CptPort19342 *700 (CptPort 19179 19343 uid 24542,0 19180 19344 ps "OnEdgeStrategy" … … 19210 19374 ) 19211 19375 ) 19212 *70 0(CptPort19376 *701 (CptPort 19213 19377 uid 24546,0 19214 19378 ps "OnEdgeStrategy" … … 19245 19409 ) 19246 19410 ) 19247 *70 1(CptPort19411 *702 (CptPort 19248 19412 uid 24550,0 19249 19413 ps "OnEdgeStrategy" … … 19280 19444 ) 19281 19445 ) 19282 *70 2(CptPort19446 *703 (CptPort 19283 19447 uid 24554,0 19284 19448 ps "OnEdgeStrategy" … … 19315 19479 ) 19316 19480 ) 19317 *70 3(CptPort19481 *704 (CptPort 19318 19482 uid 24558,0 19319 19483 ps "OnEdgeStrategy" … … 19349 19513 ) 19350 19514 ) 19351 *70 4(CptPort19515 *705 (CptPort 19352 19516 uid 24562,0 19353 19517 ps "OnEdgeStrategy" … … 19386 19550 ) 19387 19551 ) 19388 *70 5(CptPort19552 *706 (CptPort 19389 19553 uid 24566,0 19390 19554 ps "OnEdgeStrategy" … … 19422 19586 ) 19423 19587 ) 19424 *70 6(CptPort19588 *707 (CptPort 19425 19589 uid 24732,0 19426 19590 ps "OnEdgeStrategy" … … 19475 19639 stg "VerticalLayoutStrategy" 19476 19640 textVec [ 19477 *70 7(Text19641 *708 (Text 19478 19642 uid 24573,0 19479 19643 va (VaSet … … 19485 19649 tm "BdLibraryNameMgr" 19486 19650 ) 19487 *70 8(Text19651 *709 (Text 19488 19652 uid 24574,0 19489 19653 va (VaSet … … 19495 19659 tm "CptNameMgr" 19496 19660 ) 19497 *7 09(Text19661 *710 (Text 19498 19662 uid 24575,0 19499 19663 va (VaSet … … 19556 19720 archFileType "UNKNOWN" 19557 19721 ) 19558 *71 0(PortIoOut19722 *711 (PortIoOut 19559 19723 uid 24652,0 19560 19724 shape (CompositeShape … … 19600 19764 ) 19601 19765 ) 19602 *71 1(PortIoIn19766 *712 (PortIoIn 19603 19767 uid 24666,0 19604 19768 shape (CompositeShape … … 19644 19808 ) 19645 19809 ) 19646 *71 2(PortIoOut19810 *713 (PortIoOut 19647 19811 uid 24680,0 19648 19812 shape (CompositeShape … … 19688 19852 ) 19689 19853 ) 19690 *71 3(PortIoOut19854 *714 (PortIoOut 19691 19855 uid 24694,0 19692 19856 shape (CompositeShape … … 19732 19896 ) 19733 19897 ) 19734 *71 4(Net19898 *715 (Net 19735 19899 uid 24700,0 19736 19900 decl (Decl … … 19746 19910 ) 19747 19911 xt "-172000,4800,-150000,5600" 19748 st "FTM_RS485_rx_d : std_logic" 19749 ) 19750 ) 19751 *715 (Net 19912 st "FTM_RS485_rx_d : std_logic 19913 " 19914 ) 19915 ) 19916 *716 (Net 19752 19917 uid 24702,0 19753 19918 decl (Decl … … 19763 19928 ) 19764 19929 xt "-172000,20000,-150000,20800" 19765 st "FTM_RS485_tx_d : std_logic" 19766 ) 19767 ) 19768 *716 (Net 19930 st "FTM_RS485_tx_d : std_logic 19931 " 19932 ) 19933 ) 19934 *717 (Net 19769 19935 uid 24704,0 19770 19936 decl (Decl … … 19780 19946 ) 19781 19947 xt "-172000,19200,-150000,20000" 19782 st "FTM_RS485_rx_en : std_logic" 19783 ) 19784 ) 19785 *717 (Net 19948 st "FTM_RS485_rx_en : std_logic 19949 " 19950 ) 19951 ) 19952 *718 (Net 19786 19953 uid 24706,0 19787 19954 decl (Decl … … 19797 19964 ) 19798 19965 xt "-172000,20800,-150000,21600" 19799 st "FTM_RS485_tx_en : std_logic" 19800 ) 19801 ) 19802 *718 (Net 19966 st "FTM_RS485_tx_en : std_logic 19967 " 19968 ) 19969 ) 19970 *719 (Net 19803 19971 uid 24736,0 19804 19972 decl (Decl … … 19814 19982 font "Courier New,8,0" 19815 19983 ) 19816 xt "-172000,98800,-125500,99600" 19817 st "SIGNAL rec_timeout_occured : std_logic := '0'" 19818 ) 19819 ) 19820 *719 (Net 19984 xt "-172000,99600,-125500,100400" 19985 st "SIGNAL rec_timeout_occured : std_logic := '0' 19986 " 19987 ) 19988 ) 19989 *720 (Net 19821 19990 uid 25027,0 19822 19991 decl (Decl … … 19832 20001 font "Courier New,8,0" 19833 20002 ) 19834 xt "-172000,100400,-125500,101200" 19835 st "SIGNAL reset_trigger_id : std_logic := '0'" 19836 ) 19837 ) 19838 *720 (PortIoOut 20003 xt "-172000,101200,-125500,102000" 20004 st "SIGNAL reset_trigger_id : std_logic := '0' 20005 " 20006 ) 20007 ) 20008 *721 (PortIoOut 19839 20009 uid 25304,0 19840 20010 shape (CompositeShape … … 19880 20050 ) 19881 20051 ) 19882 *72 1(Net20052 *722 (Net 19883 20053 uid 25310,0 19884 20054 decl (Decl … … 19896 20066 font "Courier New,8,0" 19897 20067 ) 19898 xt "-172000,39200,-115000,40000" 19899 st "w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging." 19900 ) 19901 ) 19902 *722 (Net 20068 xt "-172000,40000,-115000,40800" 20069 st "w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging. 20070 " 20071 ) 20072 ) 20073 *723 (Net 19903 20074 uid 25541,0 19904 20075 decl (Decl … … 19914 20085 ) 19915 20086 xt "-172000,28800,-150000,29600" 19916 st "debug_data_ram_empty : std_logic" 19917 ) 19918 ) 19919 *723 (PortIoOut 20087 st "debug_data_ram_empty : std_logic 20088 " 20089 ) 20090 ) 20091 *724 (PortIoOut 19920 20092 uid 25549,0 19921 20093 shape (CompositeShape … … 19961 20133 ) 19962 20134 ) 19963 *72 4(Net20135 *725 (Net 19964 20136 uid 25555,0 19965 20137 decl (Decl … … 19975 20147 ) 19976 20148 xt "-172000,29600,-150000,30400" 19977 st "debug_data_valid : std_logic" 19978 ) 19979 ) 19980 *725 (PortIoOut 20149 st "debug_data_valid : std_logic 20150 " 20151 ) 20152 ) 20153 *726 (PortIoOut 19981 20154 uid 25563,0 19982 20155 shape (CompositeShape … … 20022 20195 ) 20023 20196 ) 20024 *72 6(MWC20197 *727 (MWC 20025 20198 uid 25830,0 20026 20199 optionalChildren [ 20027 *72 7(CptPort20200 *728 (CptPort 20028 20201 uid 25821,0 20029 20202 optionalChildren [ 20030 *72 8(Line20203 *729 (Line 20031 20204 uid 25825,0 20032 20205 layer 5 … … 20090 20263 ) 20091 20264 ) 20092 *7 29(CommentGraphic20265 *730 (CommentGraphic 20093 20266 uid 25826,0 20094 20267 shape (PolyLine2D … … 20109 20282 oxt "7000,7000,7000,8000" 20110 20283 ) 20111 *73 0(CommentGraphic20284 *731 (CommentGraphic 20112 20285 uid 25828,0 20113 20286 shape (CustomPolygon … … 20151 20324 stg "VerticalLayoutStrategy" 20152 20325 textVec [ 20153 *73 1(Text20326 *732 (Text 20154 20327 uid 25833,0 20155 20328 va (VaSet … … 20161 20334 blo "-40550,78495" 20162 20335 ) 20163 *73 2(Text20336 *733 (Text 20164 20337 uid 25834,0 20165 20338 va (VaSet … … 20170 20343 blo "-40550,79495" 20171 20344 ) 20172 *73 3(Text20345 *734 (Text 20173 20346 uid 25835,0 20174 20347 va (VaSet … … 20215 20388 ) 20216 20389 ) 20217 *73 4(Net20390 *735 (Net 20218 20391 uid 25840,0 20219 20392 decl (Decl … … 20228 20401 font "Courier New,8,0" 20229 20402 ) 20230 xt "-172000,110800,-146500,111600" 20231 st "SIGNAL software_trigger_in : std_logic" 20232 ) 20233 ) 20234 *735 (PortIoOut 20403 xt "-172000,111600,-146500,112400" 20404 st "SIGNAL software_trigger_in : std_logic 20405 " 20406 ) 20407 ) 20408 *736 (PortIoOut 20235 20409 uid 26079,0 20236 20410 shape (CompositeShape … … 20276 20450 ) 20277 20451 ) 20278 *73 6(Net20452 *737 (Net 20279 20453 uid 26085,0 20280 20454 lang 2 … … 20294 20468 ) 20295 20469 xt "-172000,34400,-115000,35200" 20296 st "mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging." 20297 ) 20298 ) 20299 *737 (Net 20470 st "mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging. 20471 " 20472 ) 20473 ) 20474 *738 (Net 20300 20475 uid 26334,0 20301 20476 decl (Decl … … 20310 20485 font "Courier New,8,0" 20311 20486 ) 20312 xt "-172000,88400,-146500,89200" 20313 st "SIGNAL is_idle : std_logic" 20314 ) 20315 ) 20316 *738 (PortIoOut 20487 xt "-172000,89200,-146500,90000" 20488 st "SIGNAL is_idle : std_logic 20489 " 20490 ) 20491 ) 20492 *739 (PortIoOut 20317 20493 uid 26350,0 20318 20494 shape (CompositeShape … … 20358 20534 ) 20359 20535 ) 20360 *7 39(Net20536 *740 (Net 20361 20537 uid 26591,0 20362 20538 decl (Decl … … 20376 20552 xt "-172000,17600,-140500,19200" 20377 20553 st "-- for debugging 20378 DG_state : std_logic_vector(7 downto 0)" 20379 ) 20380 ) 20381 *740 (SaComponent 20554 DG_state : std_logic_vector(7 downto 0) 20555 " 20556 ) 20557 ) 20558 *741 (SaComponent 20382 20559 uid 27117,0 20383 20560 optionalChildren [ 20384 *74 1(CptPort20561 *742 (CptPort 20385 20562 uid 27041,0 20386 20563 ps "OnEdgeStrategy" … … 20418 20595 ) 20419 20596 ) 20420 *74 2(CptPort20597 *743 (CptPort 20421 20598 uid 27045,0 20422 20599 ps "OnEdgeStrategy" … … 20453 20630 ) 20454 20631 ) 20455 *74 3(CptPort20632 *744 (CptPort 20456 20633 uid 27049,0 20457 20634 ps "OnEdgeStrategy" … … 20486 20663 ) 20487 20664 ) 20488 *74 4(CptPort20665 *745 (CptPort 20489 20666 uid 27053,0 20490 20667 ps "OnEdgeStrategy" … … 20519 20696 ) 20520 20697 ) 20521 *74 5(CptPort20698 *746 (CptPort 20522 20699 uid 27057,0 20523 20700 ps "OnEdgeStrategy" … … 20552 20729 ) 20553 20730 ) 20554 *74 6(CptPort20731 *747 (CptPort 20555 20732 uid 27061,0 20556 20733 ps "OnEdgeStrategy" … … 20587 20764 ) 20588 20765 ) 20589 *74 7(CptPort20766 *748 (CptPort 20590 20767 uid 27065,0 20591 20768 ps "OnEdgeStrategy" … … 20622 20799 ) 20623 20800 ) 20624 *74 8(CptPort20801 *749 (CptPort 20625 20802 uid 27069,0 20626 20803 ps "OnEdgeStrategy" … … 20657 20834 ) 20658 20835 ) 20659 *7 49(CptPort20836 *750 (CptPort 20660 20837 uid 27073,0 20661 20838 ps "OnEdgeStrategy" … … 20693 20870 ) 20694 20871 ) 20695 *75 0(CptPort20872 *751 (CptPort 20696 20873 uid 27077,0 20697 20874 ps "OnEdgeStrategy" … … 20730 20907 ) 20731 20908 ) 20732 *75 1(CptPort20909 *752 (CptPort 20733 20910 uid 27081,0 20734 20911 ps "OnEdgeStrategy" … … 20767 20944 ) 20768 20945 ) 20769 *75 2(CptPort20946 *753 (CptPort 20770 20947 uid 27085,0 20771 20948 ps "OnEdgeStrategy" … … 20804 20981 ) 20805 20982 ) 20806 *75 3(CptPort20983 *754 (CptPort 20807 20984 uid 27089,0 20808 20985 ps "OnEdgeStrategy" … … 20840 21017 ) 20841 21018 ) 20842 *75 4(CptPort21019 *755 (CptPort 20843 21020 uid 27093,0 20844 21021 ps "OnEdgeStrategy" … … 20876 21053 ) 20877 21054 ) 20878 *75 5(CptPort21055 *756 (CptPort 20879 21056 uid 27097,0 20880 21057 ps "OnEdgeStrategy" … … 20912 21089 ) 20913 21090 ) 20914 *75 6(CptPort21091 *757 (CptPort 20915 21092 uid 27109,0 20916 21093 ps "OnEdgeStrategy" … … 20948 21125 ) 20949 21126 ) 20950 *75 7(CptPort21127 *758 (CptPort 20951 21128 uid 27113,0 20952 21129 ps "OnEdgeStrategy" … … 20983 21160 ) 20984 21161 ) 20985 *75 8(CptPort21162 *759 (CptPort 20986 21163 uid 27127,0 20987 21164 ps "OnEdgeStrategy" … … 21017 21194 ) 21018 21195 ) 21019 *7 59(CptPort21196 *760 (CptPort 21020 21197 uid 27139,0 21021 21198 ps "OnEdgeStrategy" … … 21050 21227 ) 21051 21228 ) 21052 *76 0(CptPort21229 *761 (CptPort 21053 21230 uid 27143,0 21054 21231 ps "OnEdgeStrategy" … … 21102 21279 stg "VerticalLayoutStrategy" 21103 21280 textVec [ 21104 *76 1(Text21281 *762 (Text 21105 21282 uid 27120,0 21106 21283 va (VaSet … … 21112 21289 tm "BdLibraryNameMgr" 21113 21290 ) 21114 *76 2(Text21291 *763 (Text 21115 21292 uid 27121,0 21116 21293 va (VaSet … … 21122 21299 tm "CptNameMgr" 21123 21300 ) 21124 *76 3(Text21301 *764 (Text 21125 21302 uid 27122,0 21126 21303 va (VaSet … … 21181 21358 archFileType "UNKNOWN" 21182 21359 ) 21183 *76 4(Net21360 *765 (Net 21184 21361 uid 27131,0 21185 21362 decl (Decl … … 21195 21372 font "Courier New,8,0" 21196 21373 ) 21197 xt "-172000,66000,-125500,66800" 21198 st "SIGNAL data_valid_ack : std_logic := '0'" 21199 ) 21200 ) 21201 *765 (Net 21374 xt "-172000,66800,-125500,67600" 21375 st "SIGNAL data_valid_ack : std_logic := '0' 21376 " 21377 ) 21378 ) 21379 *766 (Net 21202 21380 uid 27147,0 21203 21381 decl (Decl … … 21213 21391 font "Courier New,8,0" 21214 21392 ) 21215 xt "-172000,69200,-125500,70000" 21216 st "SIGNAL dg_start_config : std_logic := '0'" 21217 ) 21218 ) 21219 *766 (Net 21393 xt "-172000,70000,-125500,70800" 21394 st "SIGNAL dg_start_config : std_logic := '0' 21395 " 21396 ) 21397 ) 21398 *767 (Net 21220 21399 uid 27153,0 21221 21400 decl (Decl … … 21230 21409 font "Courier New,8,0" 21231 21410 ) 21232 xt "-172000,68400,-146500,69200" 21233 st "SIGNAL dg_config_done : std_logic" 21234 ) 21235 ) 21236 *767 (Net 21411 xt "-172000,69200,-146500,70000" 21412 st "SIGNAL dg_config_done : std_logic 21413 " 21414 ) 21415 ) 21416 *768 (Net 21237 21417 uid 27603,0 21238 21418 decl (Decl … … 21251 21431 font "Courier New,8,0" 21252 21432 ) 21253 xt "-172000,10 3600,-136500,105200"21433 xt "-172000,104400,-136500,106000" 21254 21434 st "-- EVT HEADER - part 6 21255 SIGNAL runnumber : std_logic_vector(31 downto 0)" 21256 ) 21257 ) 21258 *768 (Wire 21435 SIGNAL runnumber : std_logic_vector(31 downto 0) 21436 " 21437 ) 21438 ) 21439 *769 (Net 21440 uid 28276,0 21441 decl (Decl 21442 n "socket_tx_free_out" 21443 t "std_logic_vector" 21444 b "(16 DOWNTO 0)" 21445 eolc "-- 17bit value .. that's true" 21446 posAdd 0 21447 o 143 21448 suid 421,0 21449 ) 21450 declText (MLText 21451 uid 28277,0 21452 va (VaSet 21453 font "Courier New,8,0" 21454 ) 21455 xt "-172000,38400,-125000,39200" 21456 st "socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true 21457 " 21458 ) 21459 ) 21460 *770 (PortIoOut 21461 uid 28284,0 21462 shape (CompositeShape 21463 uid 28285,0 21464 va (VaSet 21465 vasetType 1 21466 fg "0,0,32768" 21467 ) 21468 optionalChildren [ 21469 (Pentagon 21470 uid 28286,0 21471 sl 0 21472 ro 270 21473 xt "139500,113625,141000,114375" 21474 ) 21475 (Line 21476 uid 28287,0 21477 sl 0 21478 ro 270 21479 xt "139000,114000,139500,114000" 21480 pts [ 21481 "139000,114000" 21482 "139500,114000" 21483 ] 21484 ) 21485 ] 21486 ) 21487 stc 0 21488 sf 1 21489 tg (WTG 21490 uid 28288,0 21491 ps "PortIoTextPlaceStrategy" 21492 stg "STSignalDisplayStrategy" 21493 f (Text 21494 uid 28289,0 21495 va (VaSet 21496 ) 21497 xt "142000,113500,150600,114500" 21498 st "socket_tx_free_out" 21499 blo "142000,114300" 21500 tm "WireNameMgr" 21501 ) 21502 ) 21503 ) 21504 *771 (Wire 21259 21505 uid 322,0 21260 21506 shape (OrthoPolyLine … … 21272 21518 ) 21273 21519 start &63 21274 end &28 821520 end &289 21275 21521 ss 0 21276 21522 sat 32 … … 21296 21542 on &2 21297 21543 ) 21298 *7 69(Wire21544 *772 (Wire 21299 21545 uid 328,0 21300 21546 shape (OrthoPolyLine … … 21312 21558 ) 21313 21559 start &25 21314 end &28 721560 end &288 21315 21561 sat 32 21316 21562 eat 32 … … 21335 21581 on &3 21336 21582 ) 21337 *77 0(Wire21583 *773 (Wire 21338 21584 uid 334,0 21339 21585 shape (OrthoPolyLine … … 21351 21597 ) 21352 21598 start &24 21353 end &28 621599 end &287 21354 21600 sat 32 21355 21601 eat 32 … … 21374 21620 on &4 21375 21621 ) 21376 *77 1(Wire21622 *774 (Wire 21377 21623 uid 364,0 21378 21624 shape (OrthoPolyLine … … 21391 21637 ) 21392 21638 start &97 21393 end &29 021639 end &291 21394 21640 sat 32 21395 21641 eat 32 … … 21414 21660 on &5 21415 21661 ) 21416 *77 2(Wire21662 *775 (Wire 21417 21663 uid 370,0 21418 21664 shape (OrthoPolyLine … … 21431 21677 ) 21432 21678 start &96 21433 end &29 121679 end &292 21434 21680 sat 32 21435 21681 eat 32 … … 21454 21700 on &6 21455 21701 ) 21456 *77 3(Wire21702 *776 (Wire 21457 21703 uid 376,0 21458 21704 shape (OrthoPolyLine … … 21492 21738 on &7 21493 21739 ) 21494 *77 4(Wire21740 *777 (Wire 21495 21741 uid 384,0 21496 21742 shape (OrthoPolyLine … … 21532 21778 on &8 21533 21779 ) 21534 *77 5(Wire21780 *778 (Wire 21535 21781 uid 392,0 21536 21782 shape (OrthoPolyLine … … 21572 21818 on &9 21573 21819 ) 21574 *77 6(Wire21820 *779 (Wire 21575 21821 uid 400,0 21576 21822 shape (OrthoPolyLine … … 21610 21856 on &10 21611 21857 ) 21612 *7 77(Wire21858 *780 (Wire 21613 21859 uid 408,0 21614 21860 shape (OrthoPolyLine … … 21648 21894 on &11 21649 21895 ) 21650 *7 78(Wire21896 *781 (Wire 21651 21897 uid 424,0 21652 21898 shape (OrthoPolyLine … … 21686 21932 on &12 21687 21933 ) 21688 *7 79(Wire21934 *782 (Wire 21689 21935 uid 432,0 21690 21936 shape (OrthoPolyLine … … 21724 21970 on &13 21725 21971 ) 21726 *78 0(Wire21972 *783 (Wire 21727 21973 uid 1411,0 21728 21974 shape (OrthoPolyLine … … 21738 21984 ] 21739 21985 ) 21740 start &16 121986 start &162 21741 21987 end &27 21742 21988 sat 32 … … 21763 22009 on &82 21764 22010 ) 21765 *78 1(Wire22011 *784 (Wire 21766 22012 uid 1425,0 21767 22013 shape (OrthoPolyLine … … 21777 22023 ) 21778 22024 start &84 21779 end &34 222025 end &343 21780 22026 es 0 21781 22027 sat 32 … … 21802 22048 on &83 21803 22049 ) 21804 *78 2(Wire22050 *785 (Wire 21805 22051 uid 1682,0 21806 22052 shape (OrthoPolyLine … … 21816 22062 ] 21817 22063 ) 21818 start &16 222064 start &163 21819 22065 end &28 21820 22066 sat 32 … … 21839 22085 ) 21840 22086 ) 21841 on &13 821842 ) 21843 *78 3(Wire22087 on &139 22088 ) 22089 *786 (Wire 21844 22090 uid 2299,0 21845 22091 shape (OrthoPolyLine … … 21855 22101 ] 21856 22102 ) 21857 start &75 622103 start &757 21858 22104 end &26 21859 22105 sat 32 … … 21877 22123 ) 21878 22124 ) 21879 on &14 721880 ) 21881 *78 4(Wire22125 on &148 22126 ) 22127 *787 (Wire 21882 22128 uid 2476,0 21883 22129 shape (OrthoPolyLine … … 21892 22138 ] 21893 22139 ) 21894 start &75 322140 start &754 21895 22141 end &98 21896 22142 sat 32 … … 21913 22159 ) 21914 22160 ) 21915 on &14 821916 ) 21917 *78 5(Wire22161 on &149 22162 ) 22163 *788 (Wire 21918 22164 uid 2482,0 21919 22165 shape (OrthoPolyLine … … 21929 22175 ] 21930 22176 ) 21931 start &75 122177 start &752 21932 22178 end &94 21933 22179 sat 32 … … 21951 22197 ) 21952 22198 ) 21953 on &1 4921954 ) 21955 *78 6(Wire22199 on &150 22200 ) 22201 *789 (Wire 21956 22202 uid 2488,0 21957 22203 shape (OrthoPolyLine … … 21967 22213 ] 21968 22214 ) 21969 start &75 022215 start &751 21970 22216 end &95 21971 22217 sat 32 … … 21989 22235 ) 21990 22236 ) 21991 on &15 021992 ) 21993 *7 87(Wire22237 on &151 22238 ) 22239 *790 (Wire 21994 22240 uid 2494,0 21995 22241 shape (OrthoPolyLine … … 22005 22251 ] 22006 22252 ) 22007 start &75 222253 start &753 22008 22254 end &100 22009 22255 sat 32 … … 22027 22273 ) 22028 22274 ) 22029 on &15 122030 ) 22031 *7 88(Wire22275 on &152 22276 ) 22277 *791 (Wire 22032 22278 uid 2500,0 22033 22279 shape (OrthoPolyLine … … 22042 22288 ] 22043 22289 ) 22044 start &75 522290 start &756 22045 22291 end &101 22046 22292 sat 32 … … 22063 22309 ) 22064 22310 ) 22065 on &15 222066 ) 22067 *7 89(Wire22311 on &153 22312 ) 22313 *792 (Wire 22068 22314 uid 2506,0 22069 22315 shape (OrthoPolyLine … … 22078 22324 ] 22079 22325 ) 22080 start &75 422326 start &755 22081 22327 end &102 22082 22328 sat 32 … … 22099 22345 ) 22100 22346 ) 22101 on &15 322102 ) 22103 *79 0(Wire22347 on &154 22348 ) 22349 *793 (Wire 22104 22350 uid 2576,0 22105 22351 shape (OrthoPolyLine … … 22115 22361 ) 22116 22362 start &29 22117 end &74 622363 end &747 22118 22364 sat 32 22119 22365 eat 32 … … 22135 22381 ) 22136 22382 ) 22137 on &15 422138 ) 22139 *79 1(Wire22383 on &155 22384 ) 22385 *794 (Wire 22140 22386 uid 2582,0 22141 22387 shape (OrthoPolyLine … … 22151 22397 ) 22152 22398 start &30 22153 end &74 422399 end &745 22154 22400 sat 32 22155 22401 eat 32 … … 22171 22417 ) 22172 22418 ) 22173 on &15 522174 ) 22175 *79 2(Wire22419 on &156 22420 ) 22421 *795 (Wire 22176 22422 uid 2600,0 22177 22423 shape (OrthoPolyLine … … 22187 22433 ) 22188 22434 start &31 22189 end &74 822435 end &749 22190 22436 sat 32 22191 22437 eat 32 … … 22207 22453 ) 22208 22454 ) 22209 on &15 622210 ) 22211 *79 3(Wire22455 on &157 22456 ) 22457 *796 (Wire 22212 22458 uid 2642,0 22213 22459 shape (OrthoPolyLine … … 22224 22470 ) 22225 22471 start &33 22226 end &7 4922472 end &750 22227 22473 sat 32 22228 22474 eat 32 … … 22245 22491 ) 22246 22492 ) 22247 on &15 722248 ) 22249 *79 4(Wire22493 on &158 22494 ) 22495 *797 (Wire 22250 22496 uid 2778,0 22251 22497 shape (OrthoPolyLine … … 22261 22507 ) 22262 22508 start &62 22263 end &1 5922509 end &160 22264 22510 sat 32 22265 22511 eat 32 … … 22283 22529 ) 22284 22530 ) 22285 on &15 822286 ) 22287 *79 5(Wire22531 on &159 22532 ) 22533 *798 (Wire 22288 22534 uid 2786,0 22289 22535 shape (OrthoPolyLine … … 22299 22545 ] 22300 22546 ) 22301 start &16 022302 end &23 222547 start &161 22548 end &233 22303 22549 sat 32 22304 22550 eat 32 … … 22323 22569 ) 22324 22570 ) 22325 on &18 022326 ) 22327 *79 6(Wire22571 on &181 22572 ) 22573 *799 (Wire 22328 22574 uid 3984,0 22329 22575 optionalChildren [ 22330 * 797(BdJunction22576 *800 (BdJunction 22331 22577 uid 19235,0 22332 22578 ps "OnConnectorStrategy" … … 22353 22599 ] 22354 22600 ) 22355 start &17 522356 end &30 122601 start &176 22602 end &302 22357 22603 sat 32 22358 22604 eat 32 … … 22376 22622 ) 22377 22623 ) 22378 on &17 422379 ) 22380 * 798(Wire22624 on &175 22625 ) 22626 *801 (Wire 22381 22627 uid 4042,0 22382 22628 shape (OrthoPolyLine … … 22392 22638 ) 22393 22639 start &1 22394 end &30 022640 end &301 22395 22641 sat 32 22396 22642 eat 32 … … 22414 22660 ) 22415 22661 ) 22416 on &1 7922417 ) 22418 * 799(Wire22662 on &180 22663 ) 22664 *802 (Wire 22419 22665 uid 4226,0 22420 22666 shape (OrthoPolyLine … … 22430 22676 ] 22431 22677 ) 22432 start &17 822433 end &29 822678 start &179 22679 end &299 22434 22680 sat 32 22435 22681 eat 32 … … 22453 22699 ) 22454 22700 ) 22455 on &17 622456 ) 22457 *80 0(Wire22701 on &177 22702 ) 22703 *803 (Wire 22458 22704 uid 4240,0 22459 22705 shape (OrthoPolyLine … … 22492 22738 ) 22493 22739 ) 22494 on &17 622495 ) 22496 *80 1(Wire22740 on &177 22741 ) 22742 *804 (Wire 22497 22743 uid 4272,0 22498 22744 shape (OrthoPolyLine … … 22507 22753 ] 22508 22754 ) 22509 start &18 222510 end &2 2922755 start &183 22756 end &230 22511 22757 sat 32 22512 22758 eat 32 … … 22530 22776 ) 22531 22777 ) 22532 on &18 122533 ) 22534 *80 2(Wire22778 on &182 22779 ) 22780 *805 (Wire 22535 22781 uid 4401,0 22536 22782 shape (OrthoPolyLine … … 22548 22794 ) 22549 22795 start &36 22550 end &20 122796 end &202 22551 22797 sat 32 22552 22798 eat 32 … … 22568 22814 ) 22569 22815 ) 22570 on &18 322571 ) 22572 *80 3(Wire22816 on &184 22817 ) 22818 *806 (Wire 22573 22819 uid 4407,0 22574 22820 shape (OrthoPolyLine … … 22586 22832 ) 22587 22833 start &38 22588 end &20 722834 end &208 22589 22835 sat 32 22590 22836 eat 32 … … 22606 22852 ) 22607 22853 ) 22608 on &18 422609 ) 22610 *80 4(Wire22854 on &185 22855 ) 22856 *807 (Wire 22611 22857 uid 4419,0 22612 22858 shape (OrthoPolyLine … … 22624 22870 ) 22625 22871 start &64 22626 end &20 222872 end &203 22627 22873 ss 0 22628 22874 sat 32 … … 22645 22891 ) 22646 22892 ) 22647 on &18 522648 ) 22649 *80 5(Wire22893 on &186 22894 ) 22895 *808 (Wire 22650 22896 uid 4537,0 22651 22897 shape (OrthoPolyLine … … 22662 22908 ) 22663 22909 start &35 22664 end &18 822910 end &189 22665 22911 sat 32 22666 22912 eat 32 … … 22685 22931 ) 22686 22932 ) 22687 on &18 622688 ) 22689 *80 6(Wire22933 on &187 22934 ) 22935 *809 (Wire 22690 22936 uid 4545,0 22691 22937 shape (OrthoPolyLine … … 22700 22946 ] 22701 22947 ) 22702 start &26 722703 end &1 8922948 start &268 22949 end &190 22704 22950 sat 32 22705 22951 eat 32 … … 22722 22968 ) 22723 22969 ) 22724 on &18 722725 ) 22726 *8 07(Wire22970 on &188 22971 ) 22972 *810 (Wire 22727 22973 uid 4671,0 22728 22974 shape (OrthoPolyLine … … 22737 22983 ] 22738 22984 ) 22739 start &19 422740 end &20 322985 start &195 22986 end &204 22741 22987 sat 32 22742 22988 eat 32 … … 22760 23006 ) 22761 23007 ) 22762 on &19 022763 ) 22764 *8 08(Wire23008 on &191 23009 ) 23010 *811 (Wire 22765 23011 uid 4679,0 22766 23012 shape (OrthoPolyLine … … 22775 23021 ] 22776 23022 ) 22777 start &19 522778 end &20 423023 start &196 23024 end &205 22779 23025 sat 32 22780 23026 eat 32 … … 22798 23044 ) 22799 23045 ) 22800 on &19 122801 ) 22802 *8 09(Wire23046 on &192 23047 ) 23048 *812 (Wire 22803 23049 uid 4687,0 22804 23050 shape (OrthoPolyLine … … 22813 23059 ] 22814 23060 ) 22815 start &19 622816 end &20 523061 start &197 23062 end &206 22817 23063 sat 32 22818 23064 eat 32 … … 22836 23082 ) 22837 23083 ) 22838 on &19 222839 ) 22840 *81 0(Wire23084 on &193 23085 ) 23086 *813 (Wire 22841 23087 uid 4695,0 22842 23088 shape (OrthoPolyLine … … 22851 23097 ] 22852 23098 ) 22853 start &19 722854 end &20 623099 start &198 23100 end &207 22855 23101 sat 32 22856 23102 eat 32 … … 22874 23120 ) 22875 23121 ) 22876 on &19 322877 ) 22878 *81 1(Wire23122 on &194 23123 ) 23124 *814 (Wire 22879 23125 uid 4743,0 22880 23126 shape (OrthoPolyLine … … 22891 23137 ] 22892 23138 ) 22893 start &20 823139 start &209 22894 23140 end &37 22895 23141 sat 32 … … 22912 23158 ) 22913 23159 ) 22914 on &19 822915 ) 22916 *81 2(Wire23160 on &199 23161 ) 23162 *815 (Wire 22917 23163 uid 4948,0 22918 23164 shape (OrthoPolyLine … … 22927 23173 ] 22928 23174 ) 22929 start &2 0922930 end &22 023175 start &210 23176 end &221 22931 23177 sat 32 22932 23178 eat 32 … … 22950 23196 ) 22951 23197 ) 22952 on &2 1922953 ) 22954 *81 3(Wire23198 on &220 23199 ) 23200 *816 (Wire 22955 23201 uid 4962,0 22956 23202 shape (OrthoPolyLine … … 22965 23211 ] 22966 23212 ) 22967 start &31 622968 end &22 223213 start &317 23214 end &223 22969 23215 sat 32 22970 23216 eat 32 … … 22988 23234 ) 22989 23235 ) 22990 on &22 122991 ) 22992 *81 4(Wire23236 on &222 23237 ) 23238 *817 (Wire 22993 23239 uid 5222,0 22994 23240 shape (OrthoPolyLine … … 23028 23274 ) 23029 23275 ) 23030 on &22 323031 ) 23032 *81 5(Wire23276 on &224 23277 ) 23278 *818 (Wire 23033 23279 uid 5474,0 23034 23280 shape (OrthoPolyLine … … 23045 23291 ] 23046 23292 ) 23047 start &24 323293 start &244 23048 23294 end &41 23049 23295 sat 32 … … 23065 23311 ) 23066 23312 ) 23067 on &22 423068 ) 23069 *81 6(Wire23313 on &225 23314 ) 23315 *819 (Wire 23070 23316 uid 5480,0 23071 23317 shape (OrthoPolyLine … … 23082 23328 ] 23083 23329 ) 23084 start &24 223330 start &243 23085 23331 end &40 23086 23332 sat 32 … … 23102 23348 ) 23103 23349 ) 23104 on &22 523105 ) 23106 *8 17(Wire23350 on &226 23351 ) 23352 *820 (Wire 23107 23353 uid 5602,0 23108 23354 shape (OrthoPolyLine … … 23139 23385 ) 23140 23386 ) 23141 on &17 723142 ) 23143 *8 18(Wire23387 on &178 23388 ) 23389 *821 (Wire 23144 23390 uid 5626,0 23145 23391 shape (OrthoPolyLine … … 23155 23401 ) 23156 23402 start &39 23157 end &23 023403 end &231 23158 23404 sat 32 23159 23405 eat 32 … … 23175 23421 ) 23176 23422 ) 23177 on &22 723178 ) 23179 *8 19(Wire23423 on &228 23424 ) 23425 *822 (Wire 23180 23426 uid 5634,0 23181 23427 shape (OrthoPolyLine … … 23192 23438 ) 23193 23439 start &34 23194 end &23 123440 end &232 23195 23441 sat 32 23196 23442 eat 32 … … 23213 23459 ) 23214 23460 ) 23215 on &22 623216 ) 23217 *82 0(Wire23461 on &227 23462 ) 23463 *823 (Wire 23218 23464 uid 5646,0 23219 23465 shape (OrthoPolyLine … … 23229 23475 ] 23230 23476 ) 23231 end &23 323477 end &234 23232 23478 sat 16 23233 23479 eat 32 … … 23249 23495 ) 23250 23496 ) 23251 on &17 423252 ) 23253 *82 1(Wire23497 on &175 23498 ) 23499 *824 (Wire 23254 23500 uid 5805,0 23255 23501 shape (OrthoPolyLine … … 23264 23510 ] 23265 23511 ) 23266 end &24 623512 end &247 23267 23513 sat 16 23268 23514 eat 32 … … 23283 23529 ) 23284 23530 ) 23285 on &17 623286 ) 23287 *82 2(Wire23531 on &177 23532 ) 23533 *825 (Wire 23288 23534 uid 5813,0 23289 23535 shape (OrthoPolyLine … … 23298 23544 ] 23299 23545 ) 23300 start &23 823301 end &25 823546 start &239 23547 end &259 23302 23548 ss 0 23303 23549 sat 32 … … 23322 23568 ) 23323 23569 ) 23324 on &25 423325 ) 23326 *82 3(Wire23570 on &255 23571 ) 23572 *826 (Wire 23327 23573 uid 5821,0 23328 23574 shape (OrthoPolyLine … … 23337 23583 ] 23338 23584 ) 23339 start &24 823340 end &2 5923585 start &249 23586 end &260 23341 23587 sat 32 23342 23588 eat 32 … … 23360 23606 ) 23361 23607 ) 23362 on &25 523363 ) 23364 *82 4(Wire23608 on &256 23609 ) 23610 *827 (Wire 23365 23611 uid 5829,0 23366 23612 shape (OrthoPolyLine … … 23375 23621 ] 23376 23622 ) 23377 start &24 423378 end &26 023623 start &245 23624 end &261 23379 23625 sat 32 23380 23626 eat 32 … … 23398 23644 ) 23399 23645 ) 23400 on &25 623401 ) 23402 *82 5(Wire23646 on &257 23647 ) 23648 *828 (Wire 23403 23649 uid 5837,0 23404 23650 shape (OrthoPolyLine … … 23414 23660 ] 23415 23661 ) 23416 start &24 523417 end &26 123662 start &246 23663 end &262 23418 23664 sat 32 23419 23665 eat 32 … … 23438 23684 ) 23439 23685 ) 23440 on &25 723441 ) 23442 *82 6(Wire23686 on &258 23687 ) 23688 *829 (Wire 23443 23689 uid 6064,0 23444 23690 shape (OrthoPolyLine … … 23473 23719 ) 23474 23720 ) 23475 on &56 723476 ) 23477 *8 27(Wire23721 on &568 23722 ) 23723 *830 (Wire 23478 23724 uid 6072,0 23479 23725 shape (OrthoPolyLine … … 23489 23735 ] 23490 23736 ) 23491 start & 29923737 start &300 23492 23738 sat 32 23493 23739 eat 16 … … 23510 23756 ) 23511 23757 ) 23512 on &17 723513 ) 23514 *8 28(Wire23758 on &178 23759 ) 23760 *831 (Wire 23515 23761 uid 6160,0 23516 23762 shape (OrthoPolyLine … … 23525 23771 ] 23526 23772 ) 23527 start &24 723528 end &26 323773 start &248 23774 end &264 23529 23775 sat 32 23530 23776 eat 32 … … 23548 23794 ) 23549 23795 ) 23550 on &26 223551 ) 23552 *8 29(Wire23796 on &263 23797 ) 23798 *832 (Wire 23553 23799 uid 6276,0 23554 23800 shape (OrthoPolyLine … … 23563 23809 ] 23564 23810 ) 23565 end &14 223811 end &143 23566 23812 sat 16 23567 23813 eat 32 … … 23582 23828 ) 23583 23829 ) 23584 on &17 423585 ) 23586 *83 0(Wire23830 on &175 23831 ) 23832 *833 (Wire 23587 23833 uid 6362,0 23588 23834 shape (OrthoPolyLine … … 23598 23844 ] 23599 23845 ) 23600 start &68 223601 end &26 523846 start &683 23847 end &266 23602 23848 sat 32 23603 23849 eat 32 … … 23622 23868 ) 23623 23869 ) 23624 on &26 423625 ) 23626 *83 1(Wire23870 on &265 23871 ) 23872 *834 (Wire 23627 23873 uid 6452,0 23628 23874 shape (OrthoPolyLine … … 23658 23904 ) 23659 23905 ) 23660 on &64 823661 ) 23662 *83 2(Wire23906 on &649 23907 ) 23908 *835 (Wire 23663 23909 uid 6540,0 23664 23910 shape (OrthoPolyLine … … 23673 23919 ] 23674 23920 ) 23675 start &27 023676 end &4 0923921 start &271 23922 end &410 23677 23923 sat 32 23678 23924 eat 32 … … 23695 23941 ) 23696 23942 ) 23697 on &6 6923698 ) 23699 *83 3(Wire23943 on &670 23944 ) 23945 *836 (Wire 23700 23946 uid 6548,0 23701 23947 shape (OrthoPolyLine … … 23714 23960 ] 23715 23961 ) 23716 start &65 123717 end &27 223962 start &652 23963 end &273 23718 23964 ss 0 23719 23965 es 0 … … 23738 23984 ) 23739 23985 ) 23740 on &6 4923741 ) 23742 *83 4(Wire23986 on &650 23987 ) 23988 *837 (Wire 23743 23989 uid 8752,0 23744 23990 shape (OrthoPolyLine … … 23773 24019 ) 23774 24020 ) 23775 on &29 523776 ) 23777 *83 5(Wire24021 on &296 24022 ) 24023 *838 (Wire 23778 24024 uid 9006,0 23779 24025 shape (OrthoPolyLine … … 23809 24055 ) 23810 24056 ) 23811 on &29 623812 ) 23813 *83 6(Wire24057 on &297 24058 ) 24059 *839 (Wire 23814 24060 uid 9233,0 23815 24061 shape (OrthoPolyLine … … 23844 24090 ) 23845 24091 ) 23846 on &31 123847 ) 23848 *8 37(Wire24092 on &312 24093 ) 24094 *840 (Wire 23849 24095 uid 9241,0 23850 24096 shape (OrthoPolyLine … … 23879 24125 ) 23880 24126 ) 23881 on &31 223882 ) 23883 *8 38(Wire24127 on &313 24128 ) 24129 *841 (Wire 23884 24130 uid 9253,0 23885 24131 shape (OrthoPolyLine … … 23894 24140 ] 23895 24141 ) 23896 end &30 224142 end &303 23897 24143 sat 16 23898 24144 eat 32 … … 23913 24159 ) 23914 24160 ) 23915 on &31 123916 ) 23917 *8 39(Wire24161 on &312 24162 ) 24163 *842 (Wire 23918 24164 uid 9261,0 23919 24165 shape (OrthoPolyLine … … 23928 24174 ] 23929 24175 ) 23930 end &30 324176 end &304 23931 24177 sat 16 23932 24178 eat 32 … … 23947 24193 ) 23948 24194 ) 23949 on &31 223950 ) 23951 *84 0(Wire24195 on &313 24196 ) 24197 *843 (Wire 23952 24198 uid 9943,0 23953 24199 shape (OrthoPolyLine … … 23982 24228 ) 23983 24229 ) 23984 on &31 323985 ) 23986 *84 1(Wire24230 on &314 24231 ) 24232 *844 (Wire 23987 24233 uid 9951,0 23988 24234 shape (OrthoPolyLine … … 24017 24263 ) 24018 24264 ) 24019 on &31 424020 ) 24021 *84 2(Wire24265 on &315 24266 ) 24267 *845 (Wire 24022 24268 uid 10010,0 24023 24269 shape (OrthoPolyLine … … 24034 24280 ] 24035 24281 ) 24036 start &21 024037 end &3 1924282 start &211 24283 end &320 24038 24284 sat 32 24039 24285 eat 32 … … 24055 24301 ) 24056 24302 ) 24057 on &33 324058 ) 24059 *84 3(Wire24303 on &334 24304 ) 24305 *846 (Wire 24060 24306 uid 10018,0 24061 24307 shape (OrthoPolyLine … … 24070 24316 ] 24071 24317 ) 24072 end &32 124318 end &322 24073 24319 sat 16 24074 24320 eat 32 … … 24091 24337 ) 24092 24338 ) 24093 on &31 424094 ) 24095 *84 4(Wire24339 on &315 24340 ) 24341 *847 (Wire 24096 24342 uid 10036,0 24097 24343 shape (OrthoPolyLine … … 24106 24352 ] 24107 24353 ) 24108 end &30 424354 end &305 24109 24355 sat 16 24110 24356 eat 32 … … 24125 24371 ) 24126 24372 ) 24127 on &31 324128 ) 24129 *84 5(Wire24373 on &314 24374 ) 24375 *848 (Wire 24130 24376 uid 10266,0 24131 24377 shape (OrthoPolyLine … … 24160 24406 ) 24161 24407 ) 24162 on &33 424163 ) 24164 *84 6(Wire24408 on &335 24409 ) 24410 *849 (Wire 24165 24411 uid 10298,0 24166 24412 shape (OrthoPolyLine … … 24175 24421 ] 24176 24422 ) 24177 start &21 524423 start &216 24178 24424 end &45 24179 24425 sat 32 … … 24196 24442 ) 24197 24443 ) 24198 on &33 524199 ) 24200 *8 47(Wire24444 on &336 24445 ) 24446 *850 (Wire 24201 24447 uid 10304,0 24202 24448 shape (OrthoPolyLine … … 24211 24457 ] 24212 24458 ) 24213 start &21 324459 start &214 24214 24460 end &46 24215 24461 sat 32 … … 24232 24478 ) 24233 24479 ) 24234 on &33 624235 ) 24236 *8 48(Wire24480 on &337 24481 ) 24482 *851 (Wire 24237 24483 uid 10310,0 24238 24484 shape (OrthoPolyLine … … 24247 24493 ] 24248 24494 ) 24249 start &21 424495 start &215 24250 24496 end &47 24251 24497 sat 32 … … 24268 24514 ) 24269 24515 ) 24270 on &33 724271 ) 24272 *8 49(Wire24516 on &338 24517 ) 24518 *852 (Wire 24273 24519 uid 10316,0 24274 24520 shape (OrthoPolyLine … … 24285 24531 ) 24286 24532 start &44 24287 end &21 124533 end &212 24288 24534 sat 32 24289 24535 eat 32 … … 24306 24552 ) 24307 24553 ) 24308 on &33 824309 ) 24310 *85 0(Wire24554 on &339 24555 ) 24556 *853 (Wire 24311 24557 uid 10322,0 24312 24558 shape (OrthoPolyLine … … 24321 24567 ] 24322 24568 ) 24323 start &21 224324 end &34 024569 start &213 24570 end &341 24325 24571 sat 32 24326 24572 eat 32 … … 24344 24590 ) 24345 24591 ) 24346 on &3 3924347 ) 24348 *85 1(Wire24592 on &340 24593 ) 24594 *854 (Wire 24349 24595 uid 10431,0 24350 24596 shape (OrthoPolyLine … … 24359 24605 ] 24360 24606 ) 24361 end &34 724607 end &348 24362 24608 es 0 24363 24609 sat 16 … … 24381 24627 ) 24382 24628 ) 24383 on &57 124384 ) 24385 *85 2(Wire24629 on &572 24630 ) 24631 *855 (Wire 24386 24632 uid 10629,0 24387 24633 shape (OrthoPolyLine … … 24416 24662 ) 24417 24663 ) 24418 on &36 424419 ) 24420 *85 3(Wire24664 on &365 24665 ) 24666 *856 (Wire 24421 24667 uid 10637,0 24422 24668 shape (OrthoPolyLine … … 24451 24697 ) 24452 24698 ) 24453 on &36 524454 ) 24455 *85 4(Wire24699 on &366 24700 ) 24701 *857 (Wire 24456 24702 uid 10685,0 24457 24703 shape (OrthoPolyLine … … 24466 24712 ] 24467 24713 ) 24468 end &37 824714 end &379 24469 24715 sat 16 24470 24716 eat 32 … … 24486 24732 ) 24487 24733 ) 24488 on &36 524489 ) 24490 *85 5(Wire24734 on &366 24735 ) 24736 *858 (Wire 24491 24737 uid 10691,0 24492 24738 shape (OrthoPolyLine … … 24501 24747 ] 24502 24748 ) 24503 end &3 7924749 end &380 24504 24750 sat 16 24505 24751 eat 32 … … 24521 24767 ) 24522 24768 ) 24523 on &36 424524 ) 24525 *85 6(Wire24769 on &365 24770 ) 24771 *859 (Wire 24526 24772 uid 10699,0 24527 24773 shape (OrthoPolyLine … … 24537 24783 ] 24538 24784 ) 24539 end &37 324785 end &374 24540 24786 sat 16 24541 24787 eat 32 … … 24557 24803 ) 24558 24804 ) 24559 on &17 624560 ) 24561 *8 57(Wire24805 on &177 24806 ) 24807 *860 (Wire 24562 24808 uid 10707,0 24563 24809 shape (OrthoPolyLine … … 24572 24818 ] 24573 24819 ) 24574 end &37 724820 end &378 24575 24821 sat 16 24576 24822 eat 32 … … 24592 24838 ) 24593 24839 ) 24594 on &38 624595 ) 24596 *8 58(Wire24840 on &387 24841 ) 24842 *861 (Wire 24597 24843 uid 10723,0 24598 24844 shape (OrthoPolyLine … … 24607 24853 ] 24608 24854 ) 24609 start &37 424610 end &36 724855 start &375 24856 end &368 24611 24857 sat 32 24612 24858 eat 32 … … 24630 24876 ) 24631 24877 ) 24632 on &36 624633 ) 24634 *8 59(Wire24878 on &367 24879 ) 24880 *862 (Wire 24635 24881 uid 10737,0 24636 24882 shape (OrthoPolyLine … … 24645 24891 ] 24646 24892 ) 24647 start &37 524648 end &3 6924893 start &376 24894 end &370 24649 24895 sat 32 24650 24896 eat 32 … … 24668 24914 ) 24669 24915 ) 24670 on &36 824671 ) 24672 *86 0(Wire24916 on &369 24917 ) 24918 *863 (Wire 24673 24919 uid 10751,0 24674 24920 shape (OrthoPolyLine … … 24683 24929 ] 24684 24930 ) 24685 start &37 624686 end &37 124931 start &377 24932 end &372 24687 24933 sat 32 24688 24934 eat 32 … … 24706 24952 ) 24707 24953 ) 24708 on &37 024709 ) 24710 *86 1(Wire24954 on &371 24955 ) 24956 *864 (Wire 24711 24957 uid 11405,0 24712 24958 shape (OrthoPolyLine … … 24742 24988 ) 24743 24989 ) 24744 on &38 624745 ) 24746 *86 2(Wire24990 on &387 24991 ) 24992 *865 (Wire 24747 24993 uid 11858,0 24748 24994 shape (OrthoPolyLine … … 24777 25023 ) 24778 25024 ) 24779 on &38 724780 ) 24781 *86 3(Wire25025 on &388 25026 ) 25027 *866 (Wire 24782 25028 uid 11952,0 24783 25029 shape (OrthoPolyLine … … 24792 25038 ] 24793 25039 ) 24794 end &39 425040 end &395 24795 25041 sat 16 24796 25042 eat 32 … … 24813 25059 ) 24814 25060 ) 24815 on &38 724816 ) 24817 *86 4(Wire25061 on &388 25062 ) 25063 *867 (Wire 24818 25064 uid 12306,0 24819 25065 shape (OrthoPolyLine … … 24828 25074 ] 24829 25075 ) 24830 start &34 424831 end &39 225076 start &345 25077 end &393 24832 25078 sat 32 24833 25079 eat 32 … … 24850 25096 ) 24851 25097 ) 24852 on &56 824853 ) 24854 *86 5(Wire25098 on &569 25099 ) 25100 *868 (Wire 24855 25101 uid 12643,0 24856 25102 shape (OrthoPolyLine … … 24867 25113 ] 24868 25114 ) 24869 start &3 8924870 end &40 725115 start &390 25116 end &408 24871 25117 sat 32 24872 25118 eat 32 … … 24889 25135 ) 24890 25136 ) 24891 on &5 6924892 ) 24893 *86 6(Wire25137 on &570 25138 ) 25139 *869 (Wire 24894 25140 uid 12649,0 24895 25141 shape (OrthoPolyLine … … 24905 25151 ) 24906 25152 start &49 24907 end &41 025153 end &411 24908 25154 sat 32 24909 25155 eat 32 … … 24925 25171 ) 24926 25172 ) 24927 on &41 624928 ) 24929 *8 67(Wire25173 on &417 25174 ) 25175 *870 (Wire 24930 25176 uid 12655,0 24931 25177 shape (OrthoPolyLine … … 24942 25188 ) 24943 25189 start &50 24944 end &41 125190 end &412 24945 25191 sat 32 24946 25192 eat 32 … … 24962 25208 ) 24963 25209 ) 24964 on &41 724965 ) 24966 *8 68(Wire25210 on &418 25211 ) 25212 *871 (Wire 24967 25213 uid 12687,0 24968 25214 shape (OrthoPolyLine … … 24980 25226 ] 24981 25227 ) 24982 end &41 225228 end &413 24983 25229 sat 16 24984 25230 eat 32 … … 25000 25246 ) 25001 25247 ) 25002 on &17 725003 ) 25004 *8 69(Wire25248 on &178 25249 ) 25250 *872 (Wire 25005 25251 uid 13143,0 25006 25252 shape (OrthoPolyLine … … 25015 25261 ] 25016 25262 ) 25017 end &4 1925263 end &420 25018 25264 sat 16 25019 25265 eat 32 … … 25035 25281 ) 25036 25282 ) 25037 on &17 725038 ) 25039 *87 0(Wire25283 on &178 25284 ) 25285 *873 (Wire 25040 25286 uid 13159,0 25041 25287 shape (OrthoPolyLine … … 25053 25299 ) 25054 25300 start &116 25055 end &42 025301 end &421 25056 25302 sat 32 25057 25303 eat 32 … … 25073 25319 ) 25074 25320 ) 25075 on &42 625076 ) 25077 *87 1(Wire25321 on &427 25322 ) 25323 *874 (Wire 25078 25324 uid 13210,0 25079 25325 shape (OrthoPolyLine … … 25088 25334 ] 25089 25335 ) 25090 start &42 225091 end &42 825336 start &423 25337 end &429 25092 25338 sat 32 25093 25339 eat 32 … … 25109 25355 ) 25110 25356 ) 25111 on &57 025112 ) 25113 *87 2(Wire25357 on &571 25358 ) 25359 *875 (Wire 25114 25360 uid 13216,0 25115 25361 shape (OrthoPolyLine … … 25124 25370 ] 25125 25371 ) 25126 end &43 325372 end &434 25127 25373 sat 16 25128 25374 eat 32 … … 25145 25391 ) 25146 25392 ) 25147 on &33 425148 ) 25149 *87 3(Wire25393 on &335 25394 ) 25395 *876 (Wire 25150 25396 uid 13224,0 25151 25397 shape (OrthoPolyLine … … 25160 25406 ] 25161 25407 ) 25162 start &43 025408 start &431 25163 25409 sat 32 25164 25410 eat 16 … … 25181 25427 ) 25182 25428 ) 25183 on &57 125184 ) 25185 *87 4(Wire25429 on &572 25430 ) 25431 *877 (Wire 25186 25432 uid 13695,0 25187 25433 shape (OrthoPolyLine … … 25197 25443 ] 25198 25444 ) 25199 start &45 025445 start &451 25200 25446 end &118 25201 25447 sat 32 … … 25220 25466 ) 25221 25467 ) 25222 on &45 125223 ) 25224 *87 5(Wire25468 on &452 25469 ) 25470 *878 (Wire 25225 25471 uid 13921,0 25226 25472 shape (OrthoPolyLine … … 25259 25505 on &82 25260 25506 ) 25261 *87 6(Wire25507 *879 (Wire 25262 25508 uid 13929,0 25263 25509 shape (OrthoPolyLine … … 25294 25540 ) 25295 25541 ) 25296 on &13 825297 ) 25298 *8 77(Wire25542 on &139 25543 ) 25544 *880 (Wire 25299 25545 uid 14048,0 25300 25546 shape (OrthoPolyLine … … 25309 25555 ] 25310 25556 ) 25311 start &45 225312 end &45 825557 start &453 25558 end &459 25313 25559 sat 32 25314 25560 eat 32 … … 25331 25577 ) 25332 25578 ) 25333 on &45 325334 ) 25335 *8 78(Wire25579 on &454 25580 ) 25581 *881 (Wire 25336 25582 uid 14171,0 25337 25583 shape (OrthoPolyLine … … 25347 25593 ] 25348 25594 ) 25349 start &45 425350 end &5 7925595 start &455 25596 end &580 25351 25597 sat 32 25352 25598 eat 32 … … 25370 25616 ) 25371 25617 ) 25372 on &45 525373 ) 25374 *8 79(Wire25618 on &456 25619 ) 25620 *882 (Wire 25375 25621 uid 14427,0 25376 25622 shape (OrthoPolyLine … … 25385 25631 ] 25386 25632 ) 25387 end &45 725633 end &458 25388 25634 sat 16 25389 25635 eat 32 … … 25405 25651 ) 25406 25652 ) 25407 on &17 625408 ) 25409 *88 0(Wire25653 on &177 25654 ) 25655 *883 (Wire 25410 25656 uid 14479,0 25411 25657 shape (OrthoPolyLine … … 25420 25666 ] 25421 25667 ) 25422 start &46 025423 end &46 625668 start &461 25669 end &467 25424 25670 sat 32 25425 25671 eat 32 … … 25443 25689 ) 25444 25690 ) 25445 on &46 525446 ) 25447 *88 1(Wire25691 on &466 25692 ) 25693 *884 (Wire 25448 25694 uid 14493,0 25449 25695 shape (OrthoPolyLine … … 25458 25704 ] 25459 25705 ) 25460 start &46 125461 end &46 825706 start &462 25707 end &469 25462 25708 sat 32 25463 25709 eat 32 … … 25481 25727 ) 25482 25728 ) 25483 on &46 725484 ) 25485 *88 2(Wire25729 on &468 25730 ) 25731 *885 (Wire 25486 25732 uid 14622,0 25487 25733 shape (OrthoPolyLine … … 25497 25743 ] 25498 25744 ) 25499 start &4 5925500 end &47 025745 start &460 25746 end &471 25501 25747 sat 32 25502 25748 eat 32 … … 25521 25767 ) 25522 25768 ) 25523 on &4 6925524 ) 25525 *88 3(Wire25769 on &470 25770 ) 25771 *886 (Wire 25526 25772 uid 15071,0 25527 25773 shape (OrthoPolyLine … … 25537 25783 ) 25538 25784 start &105 25539 end &47 525785 end &476 25540 25786 sat 32 25541 25787 eat 32 … … 25557 25803 ) 25558 25804 ) 25559 on & 49925560 ) 25561 *88 4(Wire25805 on &500 25806 ) 25807 *887 (Wire 25562 25808 uid 15081,0 25563 25809 shape (OrthoPolyLine … … 25572 25818 ] 25573 25819 ) 25574 start &47 725575 end &49 225820 start &478 25821 end &493 25576 25822 sat 32 25577 25823 eat 32 … … 25595 25841 ) 25596 25842 ) 25597 on &50 025598 ) 25599 *88 5(Wire25843 on &501 25844 ) 25845 *888 (Wire 25600 25846 uid 15130,0 25601 25847 shape (OrthoPolyLine … … 25610 25856 ] 25611 25857 ) 25612 end &49 025858 end &491 25613 25859 es 0 25614 25860 sat 16 … … 25632 25878 ) 25633 25879 ) 25634 on &46 725635 ) 25636 *88 6(Wire25880 on &468 25881 ) 25882 *889 (Wire 25637 25883 uid 15379,0 25638 25884 shape (OrthoPolyLine … … 25647 25893 ] 25648 25894 ) 25649 end &74 225895 end &743 25650 25896 sat 16 25651 25897 eat 32 … … 25667 25913 ) 25668 25914 ) 25669 on &17 725670 ) 25671 *8 87(Wire25915 on &178 25916 ) 25917 *890 (Wire 25672 25918 uid 15494,0 25673 25919 optionalChildren [ 25674 *8 88(BdJunction25920 *891 (BdJunction 25675 25921 uid 15502,0 25676 25922 ps "OnConnectorStrategy" … … 25696 25942 ] 25697 25943 ) 25698 start &40 825944 start &409 25699 25945 end &75 25700 25946 sat 32 … … 25717 25963 ) 25718 25964 ) 25719 on &50 125720 ) 25721 *8 89(Wire25965 on &502 25966 ) 25967 *892 (Wire 25722 25968 uid 15498,0 25723 25969 shape (OrthoPolyLine … … 25733 25979 ] 25734 25980 ) 25735 start &14 125736 end &8 8825981 start &142 25982 end &891 25737 25983 sat 32 25738 25984 eat 32 … … 25756 26002 ) 25757 26003 ) 25758 on &50 125759 ) 25760 *89 0(Wire26004 on &502 26005 ) 26006 *893 (Wire 25761 26007 uid 15750,0 25762 26008 shape (OrthoPolyLine … … 25774 26020 ] 25775 26021 ) 25776 start &14 026022 start &141 25777 26023 end &51 25778 26024 sat 32 … … 25795 26041 ) 25796 26042 ) 25797 on &50 225798 ) 25799 *89 1(Wire26043 on &503 26044 ) 26045 *894 (Wire 25800 26046 uid 16371,0 25801 26047 shape (OrthoPolyLine … … 25832 26078 ) 25833 26079 ) 25834 on &50 325835 ) 25836 *89 2(Wire26080 on &504 26081 ) 26082 *895 (Wire 25837 26083 uid 16379,0 25838 26084 shape (OrthoPolyLine … … 25848 26094 ] 25849 26095 ) 25850 start &30 526096 start &306 25851 26097 sat 32 25852 26098 eat 16 … … 25869 26115 ) 25870 26116 ) 25871 on &50 325872 ) 25873 *89 3(Wire26117 on &504 26118 ) 26119 *896 (Wire 25874 26120 uid 16523,0 25875 26121 shape (OrthoPolyLine … … 25906 26152 ) 25907 26153 ) 25908 on &4 6925909 ) 25910 *89 4(Wire26154 on &470 26155 ) 26156 *897 (Wire 25911 26157 uid 16531,0 25912 26158 shape (OrthoPolyLine … … 25941 26187 ) 25942 26188 ) 25943 on &46 525944 ) 25945 *89 5(Wire26189 on &466 26190 ) 26191 *898 (Wire 25946 26192 uid 16539,0 25947 26193 shape (OrthoPolyLine … … 25976 26222 ) 25977 26223 ) 25978 on &46 725979 ) 25980 *89 6(Wire26224 on &468 26225 ) 26226 *899 (Wire 25981 26227 uid 16547,0 25982 26228 shape (OrthoPolyLine … … 25992 26238 ] 25993 26239 ) 25994 start &50 626240 start &507 25995 26241 end &54 25996 26242 sat 32 … … 26014 26260 ) 26015 26261 ) 26016 on &51 126017 ) 26018 * 897(Wire26262 on &512 26263 ) 26264 *900 (Wire 26019 26265 uid 16556,0 26020 26266 shape (OrthoPolyLine … … 26029 26275 ] 26030 26276 ) 26031 end &50 526277 end &506 26032 26278 sat 16 26033 26279 eat 32 … … 26048 26294 ) 26049 26295 ) 26050 on &17 726051 ) 26052 * 898(Wire26296 on &178 26297 ) 26298 *901 (Wire 26053 26299 uid 16564,0 26054 26300 shape (OrthoPolyLine … … 26063 26309 ] 26064 26310 ) 26065 start &50 726311 start &508 26066 26312 sat 32 26067 26313 eat 16 … … 26083 26329 ) 26084 26330 ) 26085 on &51 226086 ) 26087 * 899(Wire26331 on &513 26332 ) 26333 *902 (Wire 26088 26334 uid 16877,0 26089 26335 shape (OrthoPolyLine … … 26098 26344 ] 26099 26345 ) 26100 end &51 426346 end &515 26101 26347 sat 16 26102 26348 eat 32 … … 26118 26364 ) 26119 26365 ) 26120 on &17 626121 ) 26122 *90 0(Wire26366 on &177 26367 ) 26368 *903 (Wire 26123 26369 uid 16885,0 26124 26370 shape (OrthoPolyLine … … 26133 26379 ] 26134 26380 ) 26135 end &51 626381 end &517 26136 26382 sat 16 26137 26383 eat 32 … … 26153 26399 ) 26154 26400 ) 26155 on &50 126156 ) 26157 *90 1(Wire26401 on &502 26402 ) 26403 *904 (Wire 26158 26404 uid 16914,0 26159 26405 shape (OrthoPolyLine … … 26168 26414 ] 26169 26415 ) 26170 start &5 1926171 end &52 426416 start &520 26417 end &525 26172 26418 sat 32 26173 26419 eat 32 … … 26189 26435 ) 26190 26436 ) 26191 on &53 226192 ) 26193 *90 2(Wire26437 on &533 26438 ) 26439 *905 (Wire 26194 26440 uid 16939,0 26195 26441 shape (OrthoPolyLine … … 26204 26450 ] 26205 26451 ) 26206 start &51 826207 end &53 426452 start &519 26453 end &535 26208 26454 sat 32 26209 26455 eat 32 … … 26225 26471 ) 26226 26472 ) 26227 on &54 126228 ) 26229 *90 3(Wire26473 on &542 26474 ) 26475 *906 (Wire 26230 26476 uid 16945,0 26231 26477 shape (OrthoPolyLine … … 26241 26487 ] 26242 26488 ) 26243 start &51 526489 start &516 26244 26490 sat 32 26245 26491 eat 16 … … 26262 26508 ) 26263 26509 ) 26264 on &54 226265 ) 26266 *90 4(Wire26510 on &543 26511 ) 26512 *907 (Wire 26267 26513 uid 16955,0 26268 26514 shape (OrthoPolyLine … … 26299 26545 ) 26300 26546 ) 26301 on &54 226302 ) 26303 *90 5(Wire26547 on &543 26548 ) 26549 *908 (Wire 26304 26550 uid 17003,0 26305 26551 shape (OrthoPolyLine … … 26315 26561 ] 26316 26562 ) 26317 start &70 426563 start &705 26318 26564 ss 0 26319 26565 sat 32 … … 26337 26583 ) 26338 26584 ) 26339 on &54 326340 ) 26341 *90 6(Wire26585 on &544 26586 ) 26587 *909 (Wire 26342 26588 uid 17011,0 26343 26589 shape (OrthoPolyLine … … 26374 26620 ) 26375 26621 ) 26376 on &54 326377 ) 26378 *9 07(Wire26622 on &544 26623 ) 26624 *910 (Wire 26379 26625 uid 17019,0 26380 26626 shape (OrthoPolyLine … … 26389 26635 ] 26390 26636 ) 26391 start &70 526637 start &706 26392 26638 sat 32 26393 26639 eat 16 … … 26409 26655 ) 26410 26656 ) 26411 on &54 426412 ) 26413 *9 08(Wire26657 on &545 26658 ) 26659 *911 (Wire 26414 26660 uid 17027,0 26415 26661 shape (OrthoPolyLine … … 26444 26690 ) 26445 26691 ) 26446 on &54 426447 ) 26448 *9 09(Wire26692 on &545 26693 ) 26694 *912 (Wire 26449 26695 uid 17393,0 26450 26696 shape (OrthoPolyLine … … 26463 26709 ) 26464 26710 start &117 26465 end &42 126711 end &422 26466 26712 sat 32 26467 26713 eat 32 … … 26484 26730 ) 26485 26731 ) 26486 on &54 526487 ) 26488 *91 0(Wire26732 on &546 26733 ) 26734 *913 (Wire 26489 26735 uid 17401,0 26490 26736 shape (OrthoPolyLine … … 26521 26767 ) 26522 26768 ) 26523 on &54 526524 ) 26525 *91 1(Wire26769 on &546 26770 ) 26771 *914 (Wire 26526 26772 uid 18081,0 26527 26773 shape (OrthoPolyLine … … 26536 26782 ] 26537 26783 ) 26538 end &20 026784 end &201 26539 26785 sat 16 26540 26786 eat 32 … … 26556 26802 ) 26557 26803 ) 26558 on &17 726559 ) 26560 *91 2(Wire26804 on &178 26805 ) 26806 *915 (Wire 26561 26807 uid 18093,0 26562 26808 shape (OrthoPolyLine … … 26571 26817 ] 26572 26818 ) 26573 end &2 8926819 end &290 26574 26820 sat 16 26575 26821 eat 32 … … 26590 26836 ) 26591 26837 ) 26592 on &17 626593 ) 26594 *91 3(Wire26838 on &177 26839 ) 26840 *916 (Wire 26595 26841 uid 18101,0 26596 26842 shape (OrthoPolyLine … … 26605 26851 ] 26606 26852 ) 26607 end &28 526853 end &286 26608 26854 sat 16 26609 26855 eat 32 … … 26624 26870 ) 26625 26871 ) 26626 on &17 726627 ) 26628 *91 4(Wire26872 on &178 26873 ) 26874 *917 (Wire 26629 26875 uid 18459,0 26630 26876 shape (OrthoPolyLine … … 26639 26885 ] 26640 26886 ) 26641 start &75 726887 start &758 26642 26888 end &127 26643 26889 es 0 … … 26661 26907 ) 26662 26908 ) 26663 on &54 626664 ) 26665 *91 5(Wire26909 on &547 26910 ) 26911 *918 (Wire 26666 26912 uid 18974,0 26667 26913 shape (OrthoPolyLine … … 26676 26922 ] 26677 26923 ) 26678 start &55 026679 end &54 726924 start &551 26925 end &548 26680 26926 ss 0 26681 26927 sat 32 … … 26699 26945 ) 26700 26946 ) 26701 on &54 826702 ) 26703 *91 6(Wire26947 on &549 26948 ) 26949 *919 (Wire 26704 26950 uid 19231,0 26705 26951 shape (OrthoPolyLine … … 26715 26961 ] 26716 26962 ) 26717 start &55 526718 end & 79726963 start &556 26964 end &800 26719 26965 ss 0 26720 26966 sat 32 … … 26738 26984 ) 26739 26985 ) 26740 on &17 426741 ) 26742 *9 17(Wire26986 on &175 26987 ) 26988 *920 (Wire 26743 26989 uid 19276,0 26744 26990 shape (OrthoPolyLine … … 26753 26999 ] 26754 27000 ) 26755 end &55 327001 end &554 26756 27002 sat 16 26757 27003 eat 32 … … 26774 27020 ) 26775 27021 ) 26776 on &29 626777 ) 26778 *9 18(Wire27022 on &297 27023 ) 27024 *921 (Wire 26779 27025 uid 20153,0 26780 27026 shape (OrthoPolyLine … … 26789 27035 ] 26790 27036 ) 26791 start &2 4927037 start &250 26792 27038 sat 32 26793 27039 eat 16 … … 26809 27055 ) 26810 27056 ) 26811 on &56 726812 ) 26813 *9 19(Wire27057 on &568 27058 ) 27059 *922 (Wire 26814 27060 uid 20923,0 26815 27061 shape (OrthoPolyLine … … 26844 27090 ) 26845 27091 ) 26846 on &57 226847 ) 26848 *92 0(Wire27092 on &573 27093 ) 27094 *923 (Wire 26849 27095 uid 20931,0 26850 27096 shape (OrthoPolyLine … … 26859 27105 ] 26860 27106 ) 26861 end &2 3927107 end &240 26862 27108 sat 16 26863 27109 eat 32 … … 26879 27125 ) 26880 27126 ) 26881 on &57 226882 ) 26883 *92 1(Wire27127 on &573 27128 ) 27129 *924 (Wire 26884 27130 uid 20939,0 26885 27131 shape (OrthoPolyLine … … 26914 27160 ) 26915 27161 ) 26916 on &57 326917 ) 26918 *92 2(Wire27162 on &574 27163 ) 27164 *925 (Wire 26919 27165 uid 20945,0 26920 27166 shape (OrthoPolyLine … … 26929 27175 ] 26930 27176 ) 26931 end &74 527177 end &746 26932 27178 sat 16 26933 27179 eat 32 … … 26949 27195 ) 26950 27196 ) 26951 on &57 326952 ) 26953 *92 3(Wire27197 on &574 27198 ) 27199 *926 (Wire 26954 27200 uid 20953,0 26955 27201 shape (OrthoPolyLine … … 26984 27230 ) 26985 27231 ) 26986 on &57 326987 ) 26988 *92 4(Wire27232 on &574 27233 ) 27234 *927 (Wire 26989 27235 uid 20987,0 26990 27236 shape (OrthoPolyLine … … 27019 27265 ) 27020 27266 ) 27021 on &57 427022 ) 27023 *92 5(Wire27267 on &575 27268 ) 27269 *928 (Wire 27024 27270 uid 21003,0 27025 27271 shape (OrthoPolyLine … … 27054 27300 ) 27055 27301 ) 27056 on &57 527057 ) 27058 *92 6(Wire27302 on &576 27303 ) 27304 *929 (Wire 27059 27305 uid 21011,0 27060 27306 shape (OrthoPolyLine … … 27089 27335 ) 27090 27336 ) 27091 on &57 627092 ) 27093 *9 27(Wire27337 on &577 27338 ) 27339 *930 (Wire 27094 27340 uid 21027,0 27095 27341 shape (OrthoPolyLine … … 27124 27370 ) 27125 27371 ) 27126 on &57 727127 ) 27128 *9 28(Wire27372 on &578 27373 ) 27374 *931 (Wire 27129 27375 uid 21049,0 27130 27376 shape (OrthoPolyLine … … 27139 27385 ] 27140 27386 ) 27141 end &24 127387 end &242 27142 27388 sat 16 27143 27389 eat 32 … … 27159 27405 ) 27160 27406 ) 27161 on &57 627162 ) 27163 *9 29(Wire27407 on &577 27408 ) 27409 *932 (Wire 27164 27410 uid 21061,0 27165 27411 shape (OrthoPolyLine … … 27174 27420 ] 27175 27421 ) 27176 start &24 027422 start &241 27177 27423 sat 32 27178 27424 eat 16 … … 27194 27440 ) 27195 27441 ) 27196 on &57 727197 ) 27198 *93 0(Wire27442 on &578 27443 ) 27444 *933 (Wire 27199 27445 uid 21067,0 27200 27446 shape (OrthoPolyLine … … 27209 27455 ] 27210 27456 ) 27211 start &74 327457 start &744 27212 27458 sat 32 27213 27459 eat 16 … … 27229 27475 ) 27230 27476 ) 27231 on &57 427232 ) 27233 *93 1(Wire27477 on &575 27478 ) 27479 *934 (Wire 27234 27480 uid 21083,0 27235 27481 shape (OrthoPolyLine … … 27244 27490 ] 27245 27491 ) 27246 end &74 727492 end &748 27247 27493 sat 16 27248 27494 eat 32 … … 27264 27510 ) 27265 27511 ) 27266 on &57 527267 ) 27268 *93 2(Wire27512 on &576 27513 ) 27514 *935 (Wire 27269 27515 uid 21559,0 27270 27516 shape (OrthoPolyLine … … 27279 27525 ] 27280 27526 ) 27281 end &25 027527 end &251 27282 27528 es 0 27283 27529 sat 16 … … 27300 27546 ) 27301 27547 ) 27302 on &29 527303 ) 27304 *93 3(Wire27548 on &296 27549 ) 27550 *936 (Wire 27305 27551 uid 21768,0 27306 27552 shape (OrthoPolyLine … … 27337 27583 ) 27338 27584 ) 27339 on &45 527340 ) 27341 *93 4(Wire27585 on &456 27586 ) 27587 *937 (Wire 27342 27588 uid 21917,0 27343 27589 shape (OrthoPolyLine … … 27352 27598 ] 27353 27599 ) 27354 start &59 027355 end &60 827600 start &591 27601 end &609 27356 27602 sat 32 27357 27603 eat 32 … … 27375 27621 ) 27376 27622 ) 27377 on &6 1927378 ) 27379 *93 5(Wire27623 on &620 27624 ) 27625 *938 (Wire 27380 27626 uid 21923,0 27381 27627 shape (OrthoPolyLine … … 27390 27636 ] 27391 27637 ) 27392 start &59 127393 end &61 027638 start &592 27639 end &611 27394 27640 sat 32 27395 27641 eat 32 … … 27413 27659 ) 27414 27660 ) 27415 on &62 027416 ) 27417 *93 6(Wire27661 on &621 27662 ) 27663 *939 (Wire 27418 27664 uid 21929,0 27419 27665 shape (OrthoPolyLine … … 27428 27674 ] 27429 27675 ) 27430 start &59 227431 end &61 227676 start &593 27677 end &613 27432 27678 sat 32 27433 27679 eat 32 … … 27451 27697 ) 27452 27698 ) 27453 on &62 127454 ) 27455 *9 37(Wire27699 on &622 27700 ) 27701 *940 (Wire 27456 27702 uid 21935,0 27457 27703 shape (OrthoPolyLine … … 27466 27712 ] 27467 27713 ) 27468 start &59 327469 end &61 427714 start &594 27715 end &615 27470 27716 sat 32 27471 27717 eat 32 … … 27489 27735 ) 27490 27736 ) 27491 on &62 227492 ) 27493 *9 38(Wire27737 on &623 27738 ) 27739 *941 (Wire 27494 27740 uid 21986,0 27495 27741 shape (OrthoPolyLine … … 27504 27750 ] 27505 27751 ) 27506 start &59 827507 end &6 3927752 start &599 27753 end &640 27508 27754 es 0 27509 27755 sat 32 … … 27528 27774 ) 27529 27775 ) 27530 on &64 627531 ) 27532 *9 39(Wire27776 on &647 27777 ) 27778 *942 (Wire 27533 27779 uid 21992,0 27534 27780 shape (OrthoPolyLine … … 27547 27793 ] 27548 27794 ) 27549 start &67 127550 end &64 127795 start &672 27796 end &642 27551 27797 ss 0 27552 27798 sat 32 … … 27570 27816 ) 27571 27817 ) 27572 on &64 727573 ) 27574 *94 0(Wire27818 on &648 27819 ) 27820 *943 (Wire 27575 27821 uid 22068,0 27576 27822 shape (OrthoPolyLine … … 27587 27833 ] 27588 27834 ) 27589 end &65 427835 end &655 27590 27836 sat 16 27591 27837 eat 32 … … 27608 27854 ) 27609 27855 ) 27610 on &64 827611 ) 27612 *94 1(Wire27856 on &649 27857 ) 27858 *944 (Wire 27613 27859 uid 22127,0 27614 27860 shape (OrthoPolyLine … … 27623 27869 ] 27624 27870 ) 27625 start &62 427626 end &65 627871 start &625 27872 end &657 27627 27873 sat 32 27628 27874 eat 32 … … 27646 27892 ) 27647 27893 ) 27648 on &66 827649 ) 27650 *94 2(Wire27894 on &669 27895 ) 27896 *945 (Wire 27651 27897 uid 22352,0 27652 27898 shape (OrthoPolyLine … … 27661 27907 ] 27662 27908 ) 27663 end &38 227909 end &383 27664 27910 es 0 27665 27911 sat 16 … … 27683 27929 ) 27684 27930 ) 27685 on &46 727686 ) 27687 *94 3(Wire27931 on &468 27932 ) 27933 *946 (Wire 27688 27934 uid 22360,0 27689 27935 shape (OrthoPolyLine … … 27698 27944 ] 27699 27945 ) 27700 end &38 127946 end &382 27701 27947 es 0 27702 27948 sat 16 … … 27720 27966 ) 27721 27967 ) 27722 on &46 527723 ) 27724 *94 4(Wire27968 on &466 27969 ) 27970 *947 (Wire 27725 27971 uid 23047,0 27726 27972 shape (OrthoPolyLine … … 27736 27982 ] 27737 27983 ) 27738 start &47 227739 end &68 027984 start &473 27985 end &681 27740 27986 sat 32 27741 27987 eat 32 … … 27758 28004 ) 27759 28005 ) 27760 on &69 227761 ) 27762 *94 5(Wire28006 on &693 28007 ) 28008 *948 (Wire 27763 28009 uid 23055,0 27764 28010 shape (OrthoPolyLine … … 27795 28041 ) 27796 28042 ) 27797 on &69 227798 ) 27799 *94 6(Wire28043 on &693 28044 ) 28045 *949 (Wire 27800 28046 uid 23063,0 27801 28047 shape (OrthoPolyLine … … 27831 28077 ) 27832 28078 ) 27833 on &64 827834 ) 27835 *9 47(Wire28079 on &649 28080 ) 28081 *950 (Wire 27836 28082 uid 23343,0 27837 28083 shape (OrthoPolyLine … … 27866 28112 ) 27867 28113 ) 27868 on &69 327869 ) 27870 *9 48(Wire28114 on &694 28115 ) 28116 *951 (Wire 27871 28117 uid 23351,0 27872 28118 shape (OrthoPolyLine … … 27901 28147 ) 27902 28148 ) 27903 on &69 427904 ) 27905 *9 49(Wire28149 on &695 28150 ) 28151 *952 (Wire 27906 28152 uid 23357,0 27907 28153 shape (OrthoPolyLine … … 27916 28162 ] 27917 28163 ) 27918 start &30 728164 start &308 27919 28165 sat 32 27920 28166 eat 16 … … 27936 28182 ) 27937 28183 ) 27938 on &69 427939 ) 27940 *95 0(Wire28184 on &695 28185 ) 28186 *953 (Wire 27941 28187 uid 23365,0 27942 28188 shape (OrthoPolyLine … … 27951 28197 ] 27952 28198 ) 27953 start &30 628199 start &307 27954 28200 sat 32 27955 28201 eat 16 … … 27971 28217 ) 27972 28218 ) 27973 on &69 327974 ) 27975 *95 1(Wire28219 on &694 28220 ) 28221 *954 (Wire 27976 28222 uid 23600,0 27977 28223 shape (OrthoPolyLine … … 28006 28252 ) 28007 28253 ) 28008 on &29 528009 ) 28010 *95 2(Wire28254 on &296 28255 ) 28256 *955 (Wire 28011 28257 uid 23833,0 28012 28258 shape (OrthoPolyLine … … 28041 28287 ) 28042 28288 ) 28043 on &69 428044 ) 28045 *95 3(Wire28289 on &695 28290 ) 28291 *956 (Wire 28046 28292 uid 24078,0 28047 28293 shape (OrthoPolyLine … … 28057 28303 ) 28058 28304 start &72 28059 end &69 628305 end &697 28060 28306 sat 32 28061 28307 eat 32 … … 28079 28325 ) 28080 28326 ) 28081 on &69 528082 ) 28083 *95 4(Wire28327 on &696 28328 ) 28329 *957 (Wire 28084 28330 uid 24646,0 28085 28331 shape (OrthoPolyLine … … 28094 28340 ] 28095 28341 ) 28096 start &70 128097 end &71 028342 start &702 28343 end &711 28098 28344 sat 32 28099 28345 eat 32 … … 28117 28363 ) 28118 28364 ) 28119 on &71 528120 ) 28121 *95 5(Wire28365 on &716 28366 ) 28367 *958 (Wire 28122 28368 uid 24660,0 28123 28369 shape (OrthoPolyLine … … 28132 28378 ] 28133 28379 ) 28134 start &71 128135 end & 69928380 start &712 28381 end &700 28136 28382 sat 32 28137 28383 eat 32 … … 28155 28401 ) 28156 28402 ) 28157 on &71 428158 ) 28159 *95 6(Wire28403 on &715 28404 ) 28405 *959 (Wire 28160 28406 uid 24674,0 28161 28407 shape (OrthoPolyLine … … 28170 28416 ] 28171 28417 ) 28172 start &70 028173 end &71 228418 start &701 28419 end &713 28174 28420 sat 32 28175 28421 eat 32 … … 28193 28439 ) 28194 28440 ) 28195 on &71 628196 ) 28197 *9 57(Wire28441 on &717 28442 ) 28443 *960 (Wire 28198 28444 uid 24688,0 28199 28445 shape (OrthoPolyLine … … 28208 28454 ] 28209 28455 ) 28210 start &70 228211 end &71 328456 start &703 28457 end &714 28212 28458 sat 32 28213 28459 eat 32 … … 28231 28477 ) 28232 28478 ) 28233 on &71 728234 ) 28235 *9 58(Wire28479 on &718 28480 ) 28481 *961 (Wire 28236 28482 uid 24708,0 28237 28483 shape (OrthoPolyLine … … 28246 28492 ] 28247 28493 ) 28248 end &69 828494 end &699 28249 28495 es 0 28250 28496 sat 16 … … 28267 28513 ) 28268 28514 ) 28269 on &17 628270 ) 28271 *9 59(Wire28515 on &177 28516 ) 28517 *962 (Wire 28272 28518 uid 24724,0 28273 28519 shape (OrthoPolyLine … … 28282 28528 ] 28283 28529 ) 28284 start &70 328530 start &704 28285 28531 sat 32 28286 28532 eat 16 … … 28302 28548 ) 28303 28549 ) 28304 on &38 628305 ) 28306 *96 0(Wire28550 on &387 28551 ) 28552 *963 (Wire 28307 28553 uid 24738,0 28308 28554 shape (OrthoPolyLine … … 28317 28563 ] 28318 28564 ) 28319 start &70 628565 start &707 28320 28566 sat 32 28321 28567 eat 16 … … 28337 28583 ) 28338 28584 ) 28339 on &71 828340 ) 28341 *96 1(Wire28585 on &719 28586 ) 28587 *964 (Wire 28342 28588 uid 24750,0 28343 28589 shape (OrthoPolyLine … … 28373 28619 ) 28374 28620 ) 28375 on &71 828376 ) 28377 *96 2(Wire28621 on &719 28622 ) 28623 *965 (Wire 28378 28624 uid 25029,0 28379 28625 shape (OrthoPolyLine … … 28408 28654 ) 28409 28655 ) 28410 on &7 1928411 ) 28412 *96 3(Wire28656 on &720 28657 ) 28658 *966 (Wire 28413 28659 uid 25035,0 28414 28660 shape (OrthoPolyLine … … 28423 28669 ] 28424 28670 ) 28425 end &14 328671 end &144 28426 28672 es 0 28427 28673 sat 16 … … 28444 28690 ) 28445 28691 ) 28446 on &7 1928447 ) 28448 *96 4(Wire28692 on &720 28693 ) 28694 *967 (Wire 28449 28695 uid 25298,0 28450 28696 shape (OrthoPolyLine … … 28461 28707 ) 28462 28708 start &131 28463 end &72 028709 end &721 28464 28710 sat 32 28465 28711 eat 32 … … 28484 28730 ) 28485 28731 ) 28486 on &72 128487 ) 28488 *96 5(Wire28732 on &722 28733 ) 28734 *968 (Wire 28489 28735 uid 25543,0 28490 28736 shape (OrthoPolyLine … … 28500 28746 ) 28501 28747 start &132 28502 end &72 328748 end &724 28503 28749 sat 32 28504 28750 eat 32 … … 28522 28768 ) 28523 28769 ) 28524 on &72 228525 ) 28526 *96 6(Wire28770 on &723 28771 ) 28772 *969 (Wire 28527 28773 uid 25557,0 28528 28774 shape (OrthoPolyLine … … 28538 28784 ) 28539 28785 start &133 28540 end &72 528786 end &726 28541 28787 sat 32 28542 28788 eat 32 … … 28560 28806 ) 28561 28807 ) 28562 on &72 428563 ) 28564 *9 67(Wire28808 on &725 28809 ) 28810 *970 (Wire 28565 28811 uid 25842,0 28566 28812 shape (OrthoPolyLine … … 28577 28823 ) 28578 28824 start &76 28579 end &72 728825 end &728 28580 28826 sat 32 28581 28827 eat 32 … … 28597 28843 ) 28598 28844 ) 28599 on &73 428600 ) 28601 *9 68(Wire28845 on &735 28846 ) 28847 *971 (Wire 28602 28848 uid 26073,0 28603 28849 shape (OrthoPolyLine … … 28613 28859 ] 28614 28860 ) 28615 start &74 128616 end &73 528861 start &742 28862 end &736 28617 28863 sat 32 28618 28864 eat 32 … … 28637 28883 ) 28638 28884 ) 28639 on &73 628640 ) 28641 *9 69(Wire28885 on &737 28886 ) 28887 *972 (Wire 28642 28888 uid 26336,0 28643 28889 shape (OrthoPolyLine … … 28672 28918 ) 28673 28919 ) 28674 on &73 728675 ) 28676 *97 0(Wire28920 on &738 28921 ) 28922 *973 (Wire 28677 28923 uid 26344,0 28678 28924 shape (OrthoPolyLine … … 28689 28935 ) 28690 28936 start &78 28691 end &73 828937 end &739 28692 28938 sat 32 28693 28939 eat 32 … … 28712 28958 ) 28713 28959 ) 28714 on &7 3928715 ) 28716 *97 1(Wire28960 on &740 28961 ) 28962 *974 (Wire 28717 28963 uid 26356,0 28718 28964 shape (OrthoPolyLine … … 28747 28993 ) 28748 28994 ) 28749 on &73 728750 ) 28751 *97 2(Wire28995 on &738 28996 ) 28997 *975 (Wire 28752 28998 uid 27133,0 28753 28999 shape (OrthoPolyLine … … 28763 29009 ) 28764 29010 start &107 28765 end &75 829011 end &759 28766 29012 sat 32 28767 29013 eat 32 … … 28783 29029 ) 28784 29030 ) 28785 on &76 428786 ) 28787 *97 3(Wire29031 on &765 29032 ) 29033 *976 (Wire 28788 29034 uid 27149,0 28789 29035 shape (OrthoPolyLine … … 28798 29044 ] 28799 29045 ) 28800 start &76 029046 start &761 28801 29047 end &66 28802 29048 sat 32 … … 28819 29065 ) 28820 29066 ) 28821 on &76 528822 ) 28823 *97 4(Wire29067 on &766 29068 ) 29069 *977 (Wire 28824 29070 uid 27155,0 28825 29071 shape (OrthoPolyLine … … 28834 29080 ] 28835 29081 ) 28836 start &7 5929082 start &760 28837 29083 end &65 28838 29084 sat 32 … … 28855 29101 ) 28856 29102 ) 28857 on &76 628858 ) 28859 *97 5(Wire29103 on &767 29104 ) 29105 *978 (Wire 28860 29106 uid 27605,0 28861 29107 shape (OrthoPolyLine … … 28892 29138 ) 28893 29139 ) 28894 on &76 728895 ) 28896 *97 6(Wire29140 on &768 29141 ) 29142 *979 (Wire 28897 29143 uid 27611,0 28898 29144 shape (OrthoPolyLine … … 28929 29175 ) 28930 29176 ) 28931 on &767 29177 on &768 29178 ) 29179 *980 (Wire 29180 uid 28278,0 29181 shape (OrthoPolyLine 29182 uid 28279,0 29183 va (VaSet 29184 vasetType 3 29185 lineWidth 2 29186 ) 29187 xt "124750,114000,139000,114000" 29188 pts [ 29189 "124750,114000" 29190 "139000,114000" 29191 ] 29192 ) 29193 start &135 29194 end &770 29195 sat 32 29196 eat 32 29197 sty 1 29198 stc 0 29199 st 0 29200 sf 1 29201 si 0 29202 tg (WTG 29203 uid 28282,0 29204 ps "ConnStartEndStrategy" 29205 stg "STSignalDisplayStrategy" 29206 f (Text 29207 uid 28283,0 29208 va (VaSet 29209 isHidden 1 29210 ) 29211 xt "126000,113000,134600,114000" 29212 st "socket_tx_free_out" 29213 blo "126000,113800" 29214 tm "WireNameMgr" 29215 ) 29216 ) 29217 on &769 28932 29218 ) 28933 29219 ] … … 28943 29229 color "26368,26368,26368" 28944 29230 ) 28945 packageList *9 77(PackageList29231 packageList *981 (PackageList 28946 29232 uid 41,0 28947 29233 stg "VerticalLayoutStrategy" 28948 29234 textVec [ 28949 *9 78(Text29235 *982 (Text 28950 29236 uid 42,0 28951 29237 va (VaSet … … 28956 29242 blo "-163000,-15200" 28957 29243 ) 28958 *9 79(MLText29244 *983 (MLText 28959 29245 uid 43,0 28960 29246 va (VaSet … … 28982 29268 stg "VerticalLayoutStrategy" 28983 29269 textVec [ 28984 *98 0(Text29270 *984 (Text 28985 29271 uid 45,0 28986 29272 va (VaSet … … 28992 29278 blo "20000,800" 28993 29279 ) 28994 *98 1(Text29280 *985 (Text 28995 29281 uid 46,0 28996 29282 va (VaSet … … 29002 29288 blo "20000,1800" 29003 29289 ) 29004 *98 2(MLText29290 *986 (MLText 29005 29291 uid 47,0 29006 29292 va (VaSet … … 29012 29298 tm "BdCompilerDirectivesTextMgr" 29013 29299 ) 29014 *98 3(Text29300 *987 (Text 29015 29301 uid 48,0 29016 29302 va (VaSet … … 29022 29308 blo "20000,4800" 29023 29309 ) 29024 *98 4(MLText29310 *988 (MLText 29025 29311 uid 49,0 29026 29312 va (VaSet … … 29030 29316 tm "BdCompilerDirectivesTextMgr" 29031 29317 ) 29032 *98 5(Text29318 *989 (Text 29033 29319 uid 50,0 29034 29320 va (VaSet … … 29040 29326 blo "20000,5800" 29041 29327 ) 29042 *9 86(MLText29328 *990 (MLText 29043 29329 uid 51,0 29044 29330 va (VaSet … … 29052 29338 ) 29053 29339 windowSize "0,0,1681,1028" 29054 viewArea "66700, 54975,176350,121425"29340 viewArea "66700,94575,176350,161025" 29055 29341 cachedDiagramExtent "-174000,-16000,261100,353300" 29056 29342 pageSetupInfo (PageSetupInfo … … 29078 29364 hasePageBreakOrigin 1 29079 29365 pageBreakOrigin "-73000,0" 29080 lastUid 282 63,029366 lastUid 28291,0 29081 29367 defaultCommentText (CommentText 29082 29368 shape (Rectangle … … 29140 29426 stg "VerticalLayoutStrategy" 29141 29427 textVec [ 29142 *9 87(Text29428 *991 (Text 29143 29429 va (VaSet 29144 29430 font "Arial,8,1" … … 29149 29435 tm "BdLibraryNameMgr" 29150 29436 ) 29151 *9 88(Text29437 *992 (Text 29152 29438 va (VaSet 29153 29439 font "Arial,8,1" … … 29158 29444 tm "BlkNameMgr" 29159 29445 ) 29160 *9 89(Text29446 *993 (Text 29161 29447 va (VaSet 29162 29448 font "Arial,8,1" … … 29209 29495 stg "VerticalLayoutStrategy" 29210 29496 textVec [ 29211 *99 0(Text29497 *994 (Text 29212 29498 va (VaSet 29213 29499 font "Arial,8,1" … … 29217 29503 blo "550,4300" 29218 29504 ) 29219 *99 1(Text29505 *995 (Text 29220 29506 va (VaSet 29221 29507 font "Arial,8,1" … … 29225 29511 blo "550,5300" 29226 29512 ) 29227 *99 2(Text29513 *996 (Text 29228 29514 va (VaSet 29229 29515 font "Arial,8,1" … … 29274 29560 stg "VerticalLayoutStrategy" 29275 29561 textVec [ 29276 *99 3(Text29562 *997 (Text 29277 29563 va (VaSet 29278 29564 font "Arial,8,1" … … 29283 29569 tm "BdLibraryNameMgr" 29284 29570 ) 29285 *99 4(Text29571 *998 (Text 29286 29572 va (VaSet 29287 29573 font "Arial,8,1" … … 29292 29578 tm "CptNameMgr" 29293 29579 ) 29294 *99 5(Text29580 *999 (Text 29295 29581 va (VaSet 29296 29582 font "Arial,8,1" … … 29346 29632 stg "VerticalLayoutStrategy" 29347 29633 textVec [ 29348 * 996(Text29634 *1000 (Text 29349 29635 va (VaSet 29350 29636 font "Arial,8,1" … … 29354 29640 blo "500,4300" 29355 29641 ) 29356 * 997(Text29642 *1001 (Text 29357 29643 va (VaSet 29358 29644 font "Arial,8,1" … … 29362 29648 blo "500,5300" 29363 29649 ) 29364 * 998(Text29650 *1002 (Text 29365 29651 va (VaSet 29366 29652 font "Arial,8,1" … … 29407 29693 stg "VerticalLayoutStrategy" 29408 29694 textVec [ 29409 * 999(Text29695 *1003 (Text 29410 29696 va (VaSet 29411 29697 font "Arial,8,1" … … 29415 29701 blo "50,4300" 29416 29702 ) 29417 *100 0(Text29703 *1004 (Text 29418 29704 va (VaSet 29419 29705 font "Arial,8,1" … … 29423 29709 blo "50,5300" 29424 29710 ) 29425 *100 1(Text29711 *1005 (Text 29426 29712 va (VaSet 29427 29713 font "Arial,8,1" … … 29464 29750 stg "VerticalLayoutStrategy" 29465 29751 textVec [ 29466 *100 2(Text29752 *1006 (Text 29467 29753 va (VaSet 29468 29754 font "Arial,8,1" … … 29473 29759 tm "HdlTextNameMgr" 29474 29760 ) 29475 *100 3(Text29761 *1007 (Text 29476 29762 va (VaSet 29477 29763 font "Arial,8,1" … … 29876 30162 stg "VerticalLayoutStrategy" 29877 30163 textVec [ 29878 *100 4(Text30164 *1008 (Text 29879 30165 va (VaSet 29880 30166 font "Arial,8,1" … … 29884 30170 blo "14100,20800" 29885 30171 ) 29886 *100 5(MLText30172 *1009 (MLText 29887 30173 va (VaSet 29888 30174 ) … … 29936 30222 stg "VerticalLayoutStrategy" 29937 30223 textVec [ 29938 *10 06(Text30224 *1010 (Text 29939 30225 va (VaSet 29940 30226 font "Arial,8,1" … … 29944 30230 blo "14100,20800" 29945 30231 ) 29946 *10 07(MLText30232 *1011 (MLText 29947 30233 va (VaSet 29948 30234 ) … … 30043 30329 font "Arial,8,1" 30044 30330 ) 30045 xt "-174000,4 5600,-170200,46600"30331 xt "-174000,46400,-170200,47400" 30046 30332 st "Pre User:" 30047 blo "-174000,4 6400"30333 blo "-174000,47200" 30048 30334 ) 30049 30335 preUserText (MLText … … 30060 30346 font "Arial,8,1" 30061 30347 ) 30062 xt "-174000,4 6600,-166900,47600"30348 xt "-174000,47400,-166900,48400" 30063 30349 st "Diagram Signals:" 30064 blo "-174000,4 7400"30350 blo "-174000,48200" 30065 30351 ) 30066 30352 postUserLabel (Text … … 30069 30355 font "Arial,8,1" 30070 30356 ) 30071 xt "-174000,126 000,-169300,127000"30357 xt "-174000,126800,-169300,127800" 30072 30358 st "Post User:" 30073 blo "-174000,12 6800"30359 blo "-174000,127600" 30074 30360 ) 30075 30361 postUserText (MLText … … 30084 30370 commonDM (CommonDM 30085 30371 ldm (LogicalDM 30086 suid 4 19,030372 suid 421,0 30087 30373 usingSuid 1 30088 emptyRow *10 08(LEmptyRow30374 emptyRow *1012 (LEmptyRow 30089 30375 ) 30090 30376 uid 54,0 30091 30377 optionalChildren [ 30092 *10 09(RefLabelRowHdr30093 ) 30094 *101 0(TitleRowHdr30095 ) 30096 *101 1(FilterRowHdr30097 ) 30098 *101 2(RefLabelColHdr30378 *1013 (RefLabelRowHdr 30379 ) 30380 *1014 (TitleRowHdr 30381 ) 30382 *1015 (FilterRowHdr 30383 ) 30384 *1016 (RefLabelColHdr 30099 30385 tm "RefLabelColHdrMgr" 30100 30386 ) 30101 *101 3(RowExpandColHdr30387 *1017 (RowExpandColHdr 30102 30388 tm "RowExpandColHdrMgr" 30103 30389 ) 30104 *101 4(GroupColHdr30390 *1018 (GroupColHdr 30105 30391 tm "GroupColHdrMgr" 30106 30392 ) 30107 *101 5(NameColHdr30393 *1019 (NameColHdr 30108 30394 tm "BlockDiagramNameColHdrMgr" 30109 30395 ) 30110 *10 16(ModeColHdr30396 *1020 (ModeColHdr 30111 30397 tm "BlockDiagramModeColHdrMgr" 30112 30398 ) 30113 *10 17(TypeColHdr30399 *1021 (TypeColHdr 30114 30400 tm "BlockDiagramTypeColHdrMgr" 30115 30401 ) 30116 *10 18(BoundsColHdr30402 *1022 (BoundsColHdr 30117 30403 tm "BlockDiagramBoundsColHdrMgr" 30118 30404 ) 30119 *10 19(InitColHdr30405 *1023 (InitColHdr 30120 30406 tm "BlockDiagramInitColHdrMgr" 30121 30407 ) 30122 *102 0(EolColHdr30408 *1024 (EolColHdr 30123 30409 tm "BlockDiagramEolColHdrMgr" 30124 30410 ) 30125 *102 1(LeafLogPort30411 *1025 (LeafLogPort 30126 30412 port (LogicalPort 30127 30413 m 4 … … 30137 30423 uid 516,0 30138 30424 ) 30139 *102 2(LeafLogPort30425 *1026 (LeafLogPort 30140 30426 port (LogicalPort 30141 30427 m 4 … … 30150 30436 uid 518,0 30151 30437 ) 30152 *102 3(LeafLogPort30438 *1027 (LeafLogPort 30153 30439 port (LogicalPort 30154 30440 m 4 … … 30163 30449 uid 520,0 30164 30450 ) 30165 *102 4(LeafLogPort30451 *1028 (LeafLogPort 30166 30452 port (LogicalPort 30167 30453 m 4 … … 30176 30462 uid 530,0 30177 30463 ) 30178 *102 5(LeafLogPort30464 *1029 (LeafLogPort 30179 30465 port (LogicalPort 30180 30466 m 4 … … 30189 30475 uid 532,0 30190 30476 ) 30191 *10 26(LeafLogPort30477 *1030 (LeafLogPort 30192 30478 port (LogicalPort 30193 30479 m 1 … … 30202 30488 uid 534,0 30203 30489 ) 30204 *10 27(LeafLogPort30490 *1031 (LeafLogPort 30205 30491 port (LogicalPort 30206 30492 m 1 … … 30215 30501 uid 536,0 30216 30502 ) 30217 *10 28(LeafLogPort30503 *1032 (LeafLogPort 30218 30504 port (LogicalPort 30219 30505 m 2 … … 30228 30514 uid 538,0 30229 30515 ) 30230 *10 29(LeafLogPort30516 *1033 (LeafLogPort 30231 30517 port (LogicalPort 30232 30518 m 1 … … 30241 30527 uid 540,0 30242 30528 ) 30243 *103 0(LeafLogPort30529 *1034 (LeafLogPort 30244 30530 port (LogicalPort 30245 30531 m 1 … … 30254 30540 uid 542,0 30255 30541 ) 30256 *103 1(LeafLogPort30542 *1035 (LeafLogPort 30257 30543 port (LogicalPort 30258 30544 m 1 … … 30267 30553 uid 546,0 30268 30554 ) 30269 *103 2(LeafLogPort30555 *1036 (LeafLogPort 30270 30556 port (LogicalPort 30271 30557 decl (Decl … … 30278 30564 uid 548,0 30279 30565 ) 30280 *103 3(LeafLogPort30566 *1037 (LeafLogPort 30281 30567 port (LogicalPort 30282 30568 decl (Decl … … 30290 30576 uid 1455,0 30291 30577 ) 30292 *103 4(LeafLogPort30578 *1038 (LeafLogPort 30293 30579 port (LogicalPort 30294 30580 decl (Decl … … 30303 30589 uid 1457,0 30304 30590 ) 30305 *103 5(LeafLogPort30591 *1039 (LeafLogPort 30306 30592 port (LogicalPort 30307 30593 decl (Decl … … 30315 30601 uid 1694,0 30316 30602 ) 30317 *10 36(LeafLogPort30603 *1040 (LeafLogPort 30318 30604 port (LogicalPort 30319 30605 m 4 … … 30330 30616 uid 2305,0 30331 30617 ) 30332 *10 37(LeafLogPort30618 *1041 (LeafLogPort 30333 30619 port (LogicalPort 30334 30620 lang 2 … … 30344 30630 uid 2512,0 30345 30631 ) 30346 *10 38(LeafLogPort30632 *1042 (LeafLogPort 30347 30633 port (LogicalPort 30348 30634 lang 2 … … 30359 30645 uid 2514,0 30360 30646 ) 30361 *10 39(LeafLogPort30647 *1043 (LeafLogPort 30362 30648 port (LogicalPort 30363 30649 lang 2 … … 30375 30661 uid 2516,0 30376 30662 ) 30377 *104 0(LeafLogPort30663 *1044 (LeafLogPort 30378 30664 port (LogicalPort 30379 30665 lang 2 … … 30390 30676 uid 2518,0 30391 30677 ) 30392 *104 1(LeafLogPort30678 *1045 (LeafLogPort 30393 30679 port (LogicalPort 30394 30680 lang 2 … … 30404 30690 uid 2520,0 30405 30691 ) 30406 *104 2(LeafLogPort30692 *1046 (LeafLogPort 30407 30693 port (LogicalPort 30408 30694 lang 2 … … 30418 30704 uid 2522,0 30419 30705 ) 30420 *104 3(LeafLogPort30706 *1047 (LeafLogPort 30421 30707 port (LogicalPort 30422 30708 m 4 … … 30430 30716 uid 2604,0 30431 30717 ) 30432 *104 4(LeafLogPort30718 *1048 (LeafLogPort 30433 30719 port (LogicalPort 30434 30720 m 4 … … 30443 30729 uid 2606,0 30444 30730 ) 30445 *104 5(LeafLogPort30731 *1049 (LeafLogPort 30446 30732 port (LogicalPort 30447 30733 m 4 … … 30455 30741 uid 2612,0 30456 30742 ) 30457 *10 46(LeafLogPort30743 *1050 (LeafLogPort 30458 30744 port (LogicalPort 30459 30745 m 4 … … 30468 30754 uid 2646,0 30469 30755 ) 30470 *10 47(LeafLogPort30756 *1051 (LeafLogPort 30471 30757 port (LogicalPort 30472 30758 m 1 … … 30481 30767 uid 2812,0 30482 30768 ) 30483 *10 48(LeafLogPort30769 *1052 (LeafLogPort 30484 30770 port (LogicalPort 30485 30771 m 1 … … 30493 30779 uid 3902,0 30494 30780 ) 30495 *10 49(LeafLogPort30781 *1053 (LeafLogPort 30496 30782 port (LogicalPort 30497 30783 m 1 … … 30507 30793 uid 4070,0 30508 30794 ) 30509 *105 0(LeafLogPort30795 *1054 (LeafLogPort 30510 30796 port (LogicalPort 30511 30797 m 4 … … 30521 30807 uid 4212,0 30522 30808 ) 30523 *105 1(LeafLogPort30809 *1055 (LeafLogPort 30524 30810 port (LogicalPort 30525 30811 decl (Decl … … 30532 30818 uid 4234,0 30533 30819 ) 30534 *105 2(LeafLogPort30820 *1056 (LeafLogPort 30535 30821 port (LogicalPort 30536 30822 decl (Decl … … 30544 30830 uid 4262,0 30545 30831 ) 30546 *105 3(LeafLogPort30832 *1057 (LeafLogPort 30547 30833 port (LogicalPort 30548 30834 decl (Decl … … 30555 30841 uid 4276,0 30556 30842 ) 30557 *105 4(LeafLogPort30843 *1058 (LeafLogPort 30558 30844 port (LogicalPort 30559 30845 m 4 … … 30568 30854 uid 4563,0 30569 30855 ) 30570 *105 5(LeafLogPort30856 *1059 (LeafLogPort 30571 30857 port (LogicalPort 30572 30858 m 4 … … 30580 30866 uid 4565,0 30581 30867 ) 30582 *10 56(LeafLogPort30868 *1060 (LeafLogPort 30583 30869 port (LogicalPort 30584 30870 m 4 … … 30593 30879 uid 4569,0 30594 30880 ) 30595 *10 57(LeafLogPort30881 *1061 (LeafLogPort 30596 30882 port (LogicalPort 30597 30883 m 1 … … 30607 30893 uid 4585,0 30608 30894 ) 30609 *10 58(LeafLogPort30895 *1062 (LeafLogPort 30610 30896 port (LogicalPort 30611 30897 m 1 … … 30620 30906 uid 4587,0 30621 30907 ) 30622 *10 59(LeafLogPort30908 *1063 (LeafLogPort 30623 30909 port (LogicalPort 30624 30910 decl (Decl … … 30631 30917 uid 4733,0 30632 30918 ) 30633 *106 0(LeafLogPort30919 *1064 (LeafLogPort 30634 30920 port (LogicalPort 30635 30921 decl (Decl … … 30642 30928 uid 4735,0 30643 30929 ) 30644 *106 1(LeafLogPort30930 *1065 (LeafLogPort 30645 30931 port (LogicalPort 30646 30932 decl (Decl … … 30653 30939 uid 4737,0 30654 30940 ) 30655 *106 2(LeafLogPort30941 *1066 (LeafLogPort 30656 30942 port (LogicalPort 30657 30943 decl (Decl … … 30664 30950 uid 4739,0 30665 30951 ) 30666 *106 3(LeafLogPort30952 *1067 (LeafLogPort 30667 30953 port (LogicalPort 30668 30954 m 4 … … 30676 30962 uid 4749,0 30677 30963 ) 30678 *106 4(LeafLogPort30964 *1068 (LeafLogPort 30679 30965 port (LogicalPort 30680 30966 m 1 … … 30689 30975 uid 4974,0 30690 30976 ) 30691 *106 5(LeafLogPort30977 *1069 (LeafLogPort 30692 30978 port (LogicalPort 30693 30979 m 1 … … 30702 30988 uid 4976,0 30703 30989 ) 30704 *10 66(LeafLogPort30990 *1070 (LeafLogPort 30705 30991 port (LogicalPort 30706 30992 m 1 … … 30717 31003 uid 5226,0 30718 31004 ) 30719 *10 67(LeafLogPort31005 *1071 (LeafLogPort 30720 31006 port (LogicalPort 30721 31007 m 4 … … 30729 31015 uid 5502,0 30730 31016 ) 30731 *10 68(LeafLogPort31017 *1072 (LeafLogPort 30732 31018 port (LogicalPort 30733 31019 m 4 … … 30741 31027 uid 5504,0 30742 31028 ) 30743 *10 69(LeafLogPort31029 *1073 (LeafLogPort 30744 31030 port (LogicalPort 30745 31031 lang 10 … … 30755 31041 uid 5642,0 30756 31042 ) 30757 *107 0(LeafLogPort31043 *1074 (LeafLogPort 30758 31044 port (LogicalPort 30759 31045 m 4 … … 30767 31053 uid 5644,0 30768 31054 ) 30769 *107 1(LeafLogPort31055 *1075 (LeafLogPort 30770 31056 port (LogicalPort 30771 31057 m 1 … … 30779 31065 uid 5867,0 30780 31066 ) 30781 *107 2(LeafLogPort31067 *1076 (LeafLogPort 30782 31068 port (LogicalPort 30783 31069 m 2 … … 30793 31079 uid 5869,0 30794 31080 ) 30795 *107 3(LeafLogPort31081 *1077 (LeafLogPort 30796 31082 port (LogicalPort 30797 31083 m 1 … … 30805 31091 uid 5871,0 30806 31092 ) 30807 *107 4(LeafLogPort31093 *1078 (LeafLogPort 30808 31094 port (LogicalPort 30809 31095 m 1 … … 30818 31104 uid 5873,0 30819 31105 ) 30820 *107 5(LeafLogPort31106 *1079 (LeafLogPort 30821 31107 port (LogicalPort 30822 31108 m 1 … … 30831 31117 uid 6172,0 30832 31118 ) 30833 *10 76(LeafLogPort31119 *1080 (LeafLogPort 30834 31120 port (LogicalPort 30835 31121 m 1 … … 30846 31132 uid 6374,0 30847 31133 ) 30848 *10 77(LeafLogPort31134 *1081 (LeafLogPort 30849 31135 port (LogicalPort 30850 31136 m 4 … … 30858 31144 uid 8760,0 30859 31145 ) 30860 *10 78(LeafLogPort31146 *1082 (LeafLogPort 30861 31147 port (LogicalPort 30862 31148 lang 2 … … 30871 31157 uid 9018,0 30872 31158 ) 30873 *10 79(LeafLogPort31159 *1083 (LeafLogPort 30874 31160 port (LogicalPort 30875 31161 m 4 … … 30886 31172 uid 9247,0 30887 31173 ) 30888 *108 0(LeafLogPort31174 *1084 (LeafLogPort 30889 31175 port (LogicalPort 30890 31176 m 4 … … 30902 31188 uid 9249,0 30903 31189 ) 30904 *108 1(LeafLogPort31190 *1085 (LeafLogPort 30905 31191 port (LogicalPort 30906 31192 m 4 … … 30917 31203 uid 10024,0 30918 31204 ) 30919 *108 2(LeafLogPort31205 *1086 (LeafLogPort 30920 31206 port (LogicalPort 30921 31207 m 4 … … 30930 31216 uid 10026,0 30931 31217 ) 30932 *108 3(LeafLogPort31218 *1087 (LeafLogPort 30933 31219 port (LogicalPort 30934 31220 m 4 … … 30943 31229 uid 10028,0 30944 31230 ) 30945 *108 4(LeafLogPort31231 *1088 (LeafLogPort 30946 31232 port (LogicalPort 30947 31233 m 4 … … 30955 31241 uid 10294,0 30956 31242 ) 30957 *108 5(LeafLogPort31243 *1089 (LeafLogPort 30958 31244 port (LogicalPort 30959 31245 m 4 … … 30967 31253 uid 10334,0 30968 31254 ) 30969 *10 86(LeafLogPort31255 *1090 (LeafLogPort 30970 31256 port (LogicalPort 30971 31257 m 4 … … 30980 31266 uid 10336,0 30981 31267 ) 30982 *10 87(LeafLogPort31268 *1091 (LeafLogPort 30983 31269 port (LogicalPort 30984 31270 m 4 … … 30993 31279 uid 10338,0 30994 31280 ) 30995 *10 88(LeafLogPort31281 *1092 (LeafLogPort 30996 31282 port (LogicalPort 30997 31283 m 4 … … 31007 31293 uid 10340,0 31008 31294 ) 31009 *10 89(LeafLogPort31295 *1093 (LeafLogPort 31010 31296 port (LogicalPort 31011 31297 m 1 … … 31020 31306 uid 10342,0 31021 31307 ) 31022 *109 0(LeafLogPort31308 *1094 (LeafLogPort 31023 31309 port (LogicalPort 31024 31310 m 4 … … 31031 31317 ) 31032 31318 uid 10763,0 31033 )31034 *1091 (LeafLogPort31035 port (LogicalPort31036 m 431037 decl (Decl31038 n "socks_waiting"31039 t "std_logic"31040 o 12431041 suid 244,031042 )31043 )31044 uid 10765,031045 )31046 *1092 (LeafLogPort31047 port (LogicalPort31048 m 131049 decl (Decl31050 n "green"31051 t "std_logic"31052 o 3731053 suid 248,031054 )31055 )31056 uid 10767,031057 )31058 *1093 (LeafLogPort31059 port (LogicalPort31060 m 131061 decl (Decl31062 n "amber"31063 t "std_logic"31064 o 2931065 suid 249,031066 )31067 )31068 uid 10769,031069 )31070 *1094 (LeafLogPort31071 port (LogicalPort31072 m 131073 decl (Decl31074 n "red"31075 t "std_logic"31076 o 4131077 suid 250,031078 )31079 )31080 uid 10771,031081 31319 ) 31082 31320 *1095 (LeafLogPort … … 31084 31322 m 4 31085 31323 decl (Decl 31324 n "socks_waiting" 31325 t "std_logic" 31326 o 124 31327 suid 244,0 31328 ) 31329 ) 31330 uid 10765,0 31331 ) 31332 *1096 (LeafLogPort 31333 port (LogicalPort 31334 m 1 31335 decl (Decl 31336 n "green" 31337 t "std_logic" 31338 o 37 31339 suid 248,0 31340 ) 31341 ) 31342 uid 10767,0 31343 ) 31344 *1097 (LeafLogPort 31345 port (LogicalPort 31346 m 1 31347 decl (Decl 31348 n "amber" 31349 t "std_logic" 31350 o 29 31351 suid 249,0 31352 ) 31353 ) 31354 uid 10769,0 31355 ) 31356 *1098 (LeafLogPort 31357 port (LogicalPort 31358 m 1 31359 decl (Decl 31360 n "red" 31361 t "std_logic" 31362 o 41 31363 suid 250,0 31364 ) 31365 ) 31366 uid 10771,0 31367 ) 31368 *1099 (LeafLogPort 31369 port (LogicalPort 31370 m 4 31371 decl (Decl 31086 31372 n "drs_readout_started" 31087 31373 t "std_logic" … … 31092 31378 uid 11411,0 31093 31379 ) 31094 *1 096(LeafLogPort31380 *1100 (LeafLogPort 31095 31381 port (LogicalPort 31096 31382 m 4 … … 31104 31390 uid 11966,0 31105 31391 ) 31106 *1 097(LeafLogPort31392 *1101 (LeafLogPort 31107 31393 port (LogicalPort 31108 31394 m 4 … … 31121 31407 uid 12661,0 31122 31408 ) 31123 *1 098(LeafLogPort31409 *1102 (LeafLogPort 31124 31410 port (LogicalPort 31125 31411 m 4 … … 31133 31419 uid 12663,0 31134 31420 ) 31135 *1 099(LeafLogPort31421 *1103 (LeafLogPort 31136 31422 port (LogicalPort 31137 31423 m 4 … … 31146 31432 uid 13275,0 31147 31433 ) 31148 *110 0(LeafLogPort31434 *1104 (LeafLogPort 31149 31435 port (LogicalPort 31150 31436 decl (Decl … … 31159 31445 scheme 0 31160 31446 ) 31161 *110 1(LeafLogPort31447 *1105 (LeafLogPort 31162 31448 port (LogicalPort 31163 31449 decl (Decl … … 31172 31458 scheme 0 31173 31459 ) 31174 *110 2(LeafLogPort31460 *1106 (LeafLogPort 31175 31461 port (LogicalPort 31176 31462 decl (Decl … … 31186 31472 scheme 0 31187 31473 ) 31188 *110 3(LeafLogPort31474 *1107 (LeafLogPort 31189 31475 port (LogicalPort 31190 31476 m 1 … … 31198 31484 uid 14507,0 31199 31485 ) 31200 *110 4(LeafLogPort31486 *1108 (LeafLogPort 31201 31487 port (LogicalPort 31202 31488 m 1 … … 31211 31497 uid 14509,0 31212 31498 ) 31213 *110 5(LeafLogPort31499 *1109 (LeafLogPort 31214 31500 port (LogicalPort 31215 31501 m 1 … … 31224 31510 uid 14634,0 31225 31511 ) 31226 *11 06(LeafLogPort31512 *1110 (LeafLogPort 31227 31513 port (LogicalPort 31228 31514 m 4 … … 31239 31525 uid 15144,0 31240 31526 ) 31241 *11 07(LeafLogPort31527 *1111 (LeafLogPort 31242 31528 port (LogicalPort 31243 31529 m 4 … … 31254 31540 uid 15146,0 31255 31541 ) 31256 *11 08(LeafLogPort31542 *1112 (LeafLogPort 31257 31543 port (LogicalPort 31258 31544 m 4 … … 31266 31552 uid 15504,0 31267 31553 ) 31268 *11 09(LeafLogPort31554 *1113 (LeafLogPort 31269 31555 port (LogicalPort 31270 31556 lang 2 … … 31282 31568 uid 15754,0 31283 31569 ) 31284 *111 0(LeafLogPort31570 *1114 (LeafLogPort 31285 31571 port (LogicalPort 31286 31572 m 4 … … 31298 31584 uid 16386,0 31299 31585 ) 31300 *111 1(LeafLogPort31586 *1115 (LeafLogPort 31301 31587 port (LogicalPort 31302 31588 m 4 … … 31314 31600 uid 16571,0 31315 31601 ) 31316 *111 2(LeafLogPort31602 *1116 (LeafLogPort 31317 31603 port (LogicalPort 31318 31604 m 4 … … 31329 31615 uid 16573,0 31330 31616 ) 31331 *111 3(LeafLogPort31617 *1117 (LeafLogPort 31332 31618 port (LogicalPort 31333 31619 m 4 … … 31341 31627 uid 16961,0 31342 31628 ) 31343 *111 4(LeafLogPort31629 *1118 (LeafLogPort 31344 31630 port (LogicalPort 31345 31631 m 4 … … 31353 31639 uid 16963,0 31354 31640 ) 31355 *111 5(LeafLogPort31641 *1119 (LeafLogPort 31356 31642 port (LogicalPort 31357 31643 m 4 … … 31366 31652 uid 16965,0 31367 31653 ) 31368 *11 16(LeafLogPort31654 *1120 (LeafLogPort 31369 31655 port (LogicalPort 31370 31656 m 4 … … 31381 31667 uid 17033,0 31382 31668 ) 31383 *11 17(LeafLogPort31669 *1121 (LeafLogPort 31384 31670 port (LogicalPort 31385 31671 m 4 … … 31399 31685 uid 17035,0 31400 31686 ) 31401 *11 18(LeafLogPort31687 *1122 (LeafLogPort 31402 31688 port (LogicalPort 31403 31689 m 4 … … 31413 31699 uid 17397,0 31414 31700 ) 31415 *11 19(LeafLogPort31701 *1123 (LeafLogPort 31416 31702 port (LogicalPort 31417 31703 lang 2 … … 31426 31712 uid 18463,0 31427 31713 ) 31428 *112 0(LeafLogPort31714 *1124 (LeafLogPort 31429 31715 port (LogicalPort 31430 31716 lang 2 … … 31440 31726 scheme 0 31441 31727 ) 31442 *112 1(LeafLogPort31728 *1125 (LeafLogPort 31443 31729 port (LogicalPort 31444 31730 lang 10 … … 31454 31740 uid 20159,0 31455 31741 ) 31456 *112 2(LeafLogPort31742 *1126 (LeafLogPort 31457 31743 port (LogicalPort 31458 31744 m 4 … … 31468 31754 uid 20515,0 31469 31755 ) 31470 *112 3(LeafLogPort31756 *1127 (LeafLogPort 31471 31757 port (LogicalPort 31472 31758 m 4 … … 31482 31768 uid 20517,0 31483 31769 ) 31484 *112 4(LeafLogPort31770 *1128 (LeafLogPort 31485 31771 port (LogicalPort 31486 31772 m 4 … … 31494 31780 uid 20523,0 31495 31781 ) 31496 *112 5(LeafLogPort31782 *1129 (LeafLogPort 31497 31783 port (LogicalPort 31498 31784 m 4 … … 31506 31792 uid 20525,0 31507 31793 ) 31508 *11 26(LeafLogPort31794 *1130 (LeafLogPort 31509 31795 port (LogicalPort 31510 31796 m 4 … … 31521 31807 uid 21091,0 31522 31808 ) 31523 *11 27(LeafLogPort31809 *1131 (LeafLogPort 31524 31810 port (LogicalPort 31525 31811 m 4 … … 31533 31819 uid 21093,0 31534 31820 ) 31535 *11 28(LeafLogPort31821 *1132 (LeafLogPort 31536 31822 port (LogicalPort 31537 31823 m 4 … … 31546 31832 uid 21097,0 31547 31833 ) 31548 *11 29(LeafLogPort31834 *1133 (LeafLogPort 31549 31835 port (LogicalPort 31550 31836 m 4 … … 31558 31844 uid 21101,0 31559 31845 ) 31560 *113 0(LeafLogPort31846 *1134 (LeafLogPort 31561 31847 port (LogicalPort 31562 31848 m 4 … … 31571 31857 uid 21103,0 31572 31858 ) 31573 *113 1(LeafLogPort31859 *1135 (LeafLogPort 31574 31860 port (LogicalPort 31575 31861 m 4 … … 31583 31869 uid 21107,0 31584 31870 ) 31585 *113 2(LeafLogPort31871 *1136 (LeafLogPort 31586 31872 port (LogicalPort 31587 31873 m 4 … … 31595 31881 uid 22029,0 31596 31882 ) 31597 *113 3(LeafLogPort31883 *1137 (LeafLogPort 31598 31884 port (LogicalPort 31599 31885 m 4 … … 31607 31893 uid 22031,0 31608 31894 ) 31609 *113 4(LeafLogPort31895 *1138 (LeafLogPort 31610 31896 port (LogicalPort 31611 31897 m 4 … … 31619 31905 uid 22033,0 31620 31906 ) 31621 *113 5(LeafLogPort31907 *1139 (LeafLogPort 31622 31908 port (LogicalPort 31623 31909 m 4 … … 31631 31917 uid 22035,0 31632 31918 ) 31633 *11 36(LeafLogPort31919 *1140 (LeafLogPort 31634 31920 port (LogicalPort 31635 31921 m 4 … … 31643 31929 uid 22037,0 31644 31930 ) 31645 *11 37(LeafLogPort31931 *1141 (LeafLogPort 31646 31932 port (LogicalPort 31647 31933 m 4 … … 31655 31941 uid 22039,0 31656 31942 ) 31657 *11 38(LeafLogPort31943 *1142 (LeafLogPort 31658 31944 port (LogicalPort 31659 31945 m 4 … … 31668 31954 uid 22133,0 31669 31955 ) 31670 *11 39(LeafLogPort31956 *1143 (LeafLogPort 31671 31957 port (LogicalPort 31672 31958 m 4 … … 31681 31967 uid 22135,0 31682 31968 ) 31683 *114 0(LeafLogPort31969 *1144 (LeafLogPort 31684 31970 port (LogicalPort 31685 31971 m 4 … … 31693 31979 uid 22137,0 31694 31980 ) 31695 *114 1(LeafLogPort31981 *1145 (LeafLogPort 31696 31982 port (LogicalPort 31697 31983 m 4 … … 31706 31992 uid 22139,0 31707 31993 ) 31708 *114 2(LeafLogPort31994 *1146 (LeafLogPort 31709 31995 port (LogicalPort 31710 31996 m 4 … … 31721 32007 uid 23053,0 31722 32008 ) 31723 *114 3(LeafLogPort32009 *1147 (LeafLogPort 31724 32010 port (LogicalPort 31725 32011 m 4 … … 31733 32019 uid 23373,0 31734 32020 ) 31735 *114 4(LeafLogPort32021 *1148 (LeafLogPort 31736 32022 port (LogicalPort 31737 32023 m 4 … … 31745 32031 uid 23375,0 31746 32032 ) 31747 *114 5(LeafLogPort32033 *1149 (LeafLogPort 31748 32034 port (LogicalPort 31749 32035 m 1 … … 31758 32044 uid 24090,0 31759 32045 ) 31760 *11 46(LeafLogPort32046 *1150 (LeafLogPort 31761 32047 port (LogicalPort 31762 32048 decl (Decl … … 31769 32055 uid 24716,0 31770 32056 ) 31771 *11 47(LeafLogPort32057 *1151 (LeafLogPort 31772 32058 port (LogicalPort 31773 32059 m 1 … … 31781 32067 uid 24718,0 31782 32068 ) 31783 *11 48(LeafLogPort32069 *1152 (LeafLogPort 31784 32070 port (LogicalPort 31785 32071 m 1 … … 31793 32079 uid 24720,0 31794 32080 ) 31795 *11 49(LeafLogPort32081 *1153 (LeafLogPort 31796 32082 port (LogicalPort 31797 32083 m 1 … … 31805 32091 uid 24722,0 31806 32092 ) 31807 *115 0(LeafLogPort32093 *1154 (LeafLogPort 31808 32094 port (LogicalPort 31809 32095 m 4 … … 31818 32104 uid 24744,0 31819 32105 ) 31820 *115 1(LeafLogPort32106 *1155 (LeafLogPort 31821 32107 port (LogicalPort 31822 32108 m 4 … … 31831 32117 uid 25043,0 31832 32118 ) 31833 *115 2(LeafLogPort32119 *1156 (LeafLogPort 31834 32120 port (LogicalPort 31835 32121 m 1 … … 31846 32132 uid 25312,0 31847 32133 ) 31848 *115 3(LeafLogPort32134 *1157 (LeafLogPort 31849 32135 port (LogicalPort 31850 32136 m 1 … … 31858 32144 uid 25569,0 31859 32145 ) 31860 *115 4(LeafLogPort32146 *1158 (LeafLogPort 31861 32147 port (LogicalPort 31862 32148 m 1 … … 31870 32156 uid 25571,0 31871 32157 ) 31872 *115 5(LeafLogPort32158 *1159 (LeafLogPort 31873 32159 port (LogicalPort 31874 32160 m 4 … … 31882 32168 uid 25846,0 31883 32169 ) 31884 *11 56(LeafLogPort32170 *1160 (LeafLogPort 31885 32171 port (LogicalPort 31886 32172 lang 2 … … 31898 32184 uid 26087,0 31899 32185 ) 31900 *11 57(LeafLogPort32186 *1161 (LeafLogPort 31901 32187 port (LogicalPort 31902 32188 m 4 … … 31910 32196 uid 26364,0 31911 32197 ) 31912 *11 58(LeafLogPort32198 *1162 (LeafLogPort 31913 32199 port (LogicalPort 31914 32200 m 1 … … 31925 32211 uid 26593,0 31926 32212 ) 31927 *11 59(LeafLogPort32213 *1163 (LeafLogPort 31928 32214 port (LogicalPort 31929 32215 m 4 … … 31938 32224 uid 27137,0 31939 32225 ) 31940 *116 0(LeafLogPort32226 *1164 (LeafLogPort 31941 32227 port (LogicalPort 31942 32228 m 4 … … 31951 32237 uid 27159,0 31952 32238 ) 31953 *116 1(LeafLogPort32239 *1165 (LeafLogPort 31954 32240 port (LogicalPort 31955 32241 m 4 … … 31963 32249 uid 27161,0 31964 32250 ) 31965 *116 2(LeafLogPort32251 *1166 (LeafLogPort 31966 32252 port (LogicalPort 31967 32253 m 4 … … 31979 32265 uid 27619,0 31980 32266 ) 32267 *1167 (LeafLogPort 32268 port (LogicalPort 32269 m 1 32270 decl (Decl 32271 n "socket_tx_free_out" 32272 t "std_logic_vector" 32273 b "(16 DOWNTO 0)" 32274 eolc "-- 17bit value .. that's true" 32275 posAdd 0 32276 o 143 32277 suid 421,0 32278 ) 32279 ) 32280 uid 28290,0 32281 ) 31981 32282 ] 31982 32283 ) … … 31986 32287 uid 67,0 31987 32288 optionalChildren [ 31988 *116 3(Sheet32289 *1168 (Sheet 31989 32290 sheetRow (SheetRow 31990 32291 headerVa (MVa … … 32003 32304 font "Tahoma,10,0" 32004 32305 ) 32005 emptyMRCItem *116 4(MRCItem32006 litem &10 0832007 pos 14 232306 emptyMRCItem *1169 (MRCItem 32307 litem &1012 32308 pos 143 32008 32309 dimension 20 32009 32310 ) 32010 32311 uid 69,0 32011 32312 optionalChildren [ 32012 *11 65(MRCItem32013 litem &10 0932313 *1170 (MRCItem 32314 litem &1013 32014 32315 pos 0 32015 32316 dimension 20 32016 32317 uid 70,0 32017 32318 ) 32018 *11 66(MRCItem32019 litem &101 032319 *1171 (MRCItem 32320 litem &1014 32020 32321 pos 1 32021 32322 dimension 23 32022 32323 uid 71,0 32023 32324 ) 32024 *11 67(MRCItem32025 litem &101 132325 *1172 (MRCItem 32326 litem &1015 32026 32327 pos 2 32027 32328 hidden 1 … … 32029 32330 uid 72,0 32030 32331 ) 32031 *11 68(MRCItem32032 litem &102 132332 *1173 (MRCItem 32333 litem &1025 32033 32334 pos 53 32034 32335 dimension 20 32035 32336 uid 517,0 32036 32337 ) 32037 *11 69(MRCItem32038 litem &102 232338 *1174 (MRCItem 32339 litem &1026 32039 32340 pos 54 32040 32341 dimension 20 32041 32342 uid 519,0 32042 32343 ) 32043 *117 0(MRCItem32044 litem &102 332344 *1175 (MRCItem 32345 litem &1027 32045 32346 pos 55 32046 32347 dimension 20 32047 32348 uid 521,0 32048 32349 ) 32049 *117 1(MRCItem32050 litem &102 432350 *1176 (MRCItem 32351 litem &1028 32051 32352 pos 56 32052 32353 dimension 20 32053 32354 uid 531,0 32054 32355 ) 32055 *117 2(MRCItem32056 litem &102 532356 *1177 (MRCItem 32357 litem &1029 32057 32358 pos 57 32058 32359 dimension 20 32059 32360 uid 533,0 32060 32361 ) 32061 *117 3(MRCItem32062 litem &10 2632362 *1178 (MRCItem 32363 litem &1030 32063 32364 pos 0 32064 32365 dimension 20 32065 32366 uid 535,0 32066 32367 ) 32067 *117 4(MRCItem32068 litem &10 2732368 *1179 (MRCItem 32369 litem &1031 32069 32370 pos 1 32070 32371 dimension 20 32071 32372 uid 537,0 32072 32373 ) 32073 *11 75(MRCItem32074 litem &10 2832374 *1180 (MRCItem 32375 litem &1032 32075 32376 pos 2 32076 32377 dimension 20 32077 32378 uid 539,0 32078 32379 ) 32079 *11 76(MRCItem32080 litem &10 2932380 *1181 (MRCItem 32381 litem &1033 32081 32382 pos 3 32082 32383 dimension 20 32083 32384 uid 541,0 32084 32385 ) 32085 *11 77(MRCItem32086 litem &103 032386 *1182 (MRCItem 32387 litem &1034 32087 32388 pos 4 32088 32389 dimension 20 32089 32390 uid 543,0 32090 32391 ) 32091 *11 78(MRCItem32092 litem &103 132392 *1183 (MRCItem 32393 litem &1035 32093 32394 pos 5 32094 32395 dimension 20 32095 32396 uid 547,0 32096 32397 ) 32097 *11 79(MRCItem32098 litem &103 232398 *1184 (MRCItem 32399 litem &1036 32099 32400 pos 6 32100 32401 dimension 20 32101 32402 uid 549,0 32102 32403 ) 32103 *118 0(MRCItem32104 litem &103 332404 *1185 (MRCItem 32405 litem &1037 32105 32406 pos 8 32106 32407 dimension 20 32107 32408 uid 1456,0 32108 32409 ) 32109 *118 1(MRCItem32110 litem &103 432410 *1186 (MRCItem 32411 litem &1038 32111 32412 pos 7 32112 32413 dimension 20 32113 32414 uid 1458,0 32114 32415 ) 32115 *118 2(MRCItem32116 litem &103 532416 *1187 (MRCItem 32417 litem &1039 32117 32418 pos 9 32118 32419 dimension 20 32119 32420 uid 1695,0 32120 32421 ) 32121 *118 3(MRCItem32122 litem &10 3632422 *1188 (MRCItem 32423 litem &1040 32123 32424 pos 58 32124 32425 dimension 20 32125 32426 uid 2306,0 32126 32427 ) 32127 *118 4(MRCItem32128 litem &10 3732428 *1189 (MRCItem 32429 litem &1041 32129 32430 pos 59 32130 32431 dimension 20 32131 32432 uid 2513,0 32132 32433 ) 32133 *11 85(MRCItem32134 litem &10 3832434 *1190 (MRCItem 32435 litem &1042 32135 32436 pos 60 32136 32437 dimension 20 32137 32438 uid 2515,0 32138 32439 ) 32139 *11 86(MRCItem32140 litem &10 3932440 *1191 (MRCItem 32441 litem &1043 32141 32442 pos 61 32142 32443 dimension 20 32143 32444 uid 2517,0 32144 32445 ) 32145 *11 87(MRCItem32146 litem &104 032446 *1192 (MRCItem 32447 litem &1044 32147 32448 pos 62 32148 32449 dimension 20 32149 32450 uid 2519,0 32150 32451 ) 32151 *11 88(MRCItem32152 litem &104 132452 *1193 (MRCItem 32453 litem &1045 32153 32454 pos 63 32154 32455 dimension 20 32155 32456 uid 2521,0 32156 32457 ) 32157 *11 89(MRCItem32158 litem &104 232458 *1194 (MRCItem 32459 litem &1046 32159 32460 pos 64 32160 32461 dimension 20 32161 32462 uid 2523,0 32162 32463 ) 32163 *119 0(MRCItem32164 litem &104 332464 *1195 (MRCItem 32465 litem &1047 32165 32466 pos 65 32166 32467 dimension 20 32167 32468 uid 2605,0 32168 32469 ) 32169 *119 1(MRCItem32170 litem &104 432470 *1196 (MRCItem 32471 litem &1048 32171 32472 pos 66 32172 32473 dimension 20 32173 32474 uid 2607,0 32174 32475 ) 32175 *119 2(MRCItem32176 litem &104 532476 *1197 (MRCItem 32477 litem &1049 32177 32478 pos 67 32178 32479 dimension 20 32179 32480 uid 2613,0 32180 32481 ) 32181 *119 3(MRCItem32182 litem &10 4632482 *1198 (MRCItem 32483 litem &1050 32183 32484 pos 68 32184 32485 dimension 20 32185 32486 uid 2647,0 32186 32487 ) 32187 *119 4(MRCItem32188 litem &10 4732488 *1199 (MRCItem 32489 litem &1051 32189 32490 pos 10 32190 32491 dimension 20 32191 32492 uid 2813,0 32192 32493 ) 32193 *1 195(MRCItem32194 litem &10 4832494 *1200 (MRCItem 32495 litem &1052 32195 32496 pos 11 32196 32497 dimension 20 32197 32498 uid 3903,0 32198 32499 ) 32199 *1 196(MRCItem32200 litem &10 4932500 *1201 (MRCItem 32501 litem &1053 32201 32502 pos 12 32202 32503 dimension 20 32203 32504 uid 4071,0 32204 32505 ) 32205 *1 197(MRCItem32206 litem &105 032506 *1202 (MRCItem 32507 litem &1054 32207 32508 pos 69 32208 32509 dimension 20 32209 32510 uid 4213,0 32210 32511 ) 32211 *1 198(MRCItem32212 litem &105 132512 *1203 (MRCItem 32513 litem &1055 32213 32514 pos 13 32214 32515 dimension 20 32215 32516 uid 4235,0 32216 32517 ) 32217 *1 199(MRCItem32218 litem &105 232518 *1204 (MRCItem 32519 litem &1056 32219 32520 pos 14 32220 32521 dimension 20 32221 32522 uid 4263,0 32222 32523 ) 32223 *120 0(MRCItem32224 litem &105 332524 *1205 (MRCItem 32525 litem &1057 32225 32526 pos 15 32226 32527 dimension 20 32227 32528 uid 4277,0 32228 32529 ) 32229 *120 1(MRCItem32230 litem &105 432530 *1206 (MRCItem 32531 litem &1058 32231 32532 pos 70 32232 32533 dimension 20 32233 32534 uid 4564,0 32234 32535 ) 32235 *120 2(MRCItem32236 litem &105 532536 *1207 (MRCItem 32537 litem &1059 32237 32538 pos 71 32238 32539 dimension 20 32239 32540 uid 4566,0 32240 32541 ) 32241 *120 3(MRCItem32242 litem &10 5632542 *1208 (MRCItem 32543 litem &1060 32243 32544 pos 72 32244 32545 dimension 20 32245 32546 uid 4570,0 32246 32547 ) 32247 *120 4(MRCItem32248 litem &10 5732548 *1209 (MRCItem 32549 litem &1061 32249 32550 pos 16 32250 32551 dimension 20 32251 32552 uid 4586,0 32252 32553 ) 32253 *12 05(MRCItem32254 litem &10 5832554 *1210 (MRCItem 32555 litem &1062 32255 32556 pos 17 32256 32557 dimension 20 32257 32558 uid 4588,0 32258 32559 ) 32259 *12 06(MRCItem32260 litem &10 5932560 *1211 (MRCItem 32561 litem &1063 32261 32562 pos 18 32262 32563 dimension 20 32263 32564 uid 4734,0 32264 32565 ) 32265 *12 07(MRCItem32266 litem &106 032566 *1212 (MRCItem 32567 litem &1064 32267 32568 pos 19 32268 32569 dimension 20 32269 32570 uid 4736,0 32270 32571 ) 32271 *12 08(MRCItem32272 litem &106 132572 *1213 (MRCItem 32573 litem &1065 32273 32574 pos 20 32274 32575 dimension 20 32275 32576 uid 4738,0 32276 32577 ) 32277 *12 09(MRCItem32278 litem &106 232578 *1214 (MRCItem 32579 litem &1066 32279 32580 pos 21 32280 32581 dimension 20 32281 32582 uid 4740,0 32282 32583 ) 32283 *121 0(MRCItem32284 litem &106 332584 *1215 (MRCItem 32585 litem &1067 32285 32586 pos 73 32286 32587 dimension 20 32287 32588 uid 4750,0 32288 32589 ) 32289 *121 1(MRCItem32290 litem &106 432590 *1216 (MRCItem 32591 litem &1068 32291 32592 pos 22 32292 32593 dimension 20 32293 32594 uid 4975,0 32294 32595 ) 32295 *121 2(MRCItem32296 litem &106 532596 *1217 (MRCItem 32597 litem &1069 32297 32598 pos 23 32298 32599 dimension 20 32299 32600 uid 4977,0 32300 32601 ) 32301 *121 3(MRCItem32302 litem &10 6632602 *1218 (MRCItem 32603 litem &1070 32303 32604 pos 24 32304 32605 dimension 20 32305 32606 uid 5227,0 32306 32607 ) 32307 *121 4(MRCItem32308 litem &10 6732608 *1219 (MRCItem 32609 litem &1071 32309 32610 pos 74 32310 32611 dimension 20 32311 32612 uid 5503,0 32312 32613 ) 32313 *12 15(MRCItem32314 litem &10 6832614 *1220 (MRCItem 32615 litem &1072 32315 32616 pos 75 32316 32617 dimension 20 32317 32618 uid 5505,0 32318 32619 ) 32319 *12 16(MRCItem32320 litem &10 6932620 *1221 (MRCItem 32621 litem &1073 32321 32622 pos 76 32322 32623 dimension 20 32323 32624 uid 5643,0 32324 32625 ) 32325 *12 17(MRCItem32326 litem &107 032626 *1222 (MRCItem 32627 litem &1074 32327 32628 pos 77 32328 32629 dimension 20 32329 32630 uid 5645,0 32330 32631 ) 32331 *12 18(MRCItem32332 litem &107 132632 *1223 (MRCItem 32633 litem &1075 32333 32634 pos 25 32334 32635 dimension 20 32335 32636 uid 5868,0 32336 32637 ) 32337 *12 19(MRCItem32338 litem &107 232638 *1224 (MRCItem 32639 litem &1076 32339 32640 pos 26 32340 32641 dimension 20 32341 32642 uid 5870,0 32342 32643 ) 32343 *122 0(MRCItem32344 litem &107 332644 *1225 (MRCItem 32645 litem &1077 32345 32646 pos 27 32346 32647 dimension 20 32347 32648 uid 5872,0 32348 32649 ) 32349 *122 1(MRCItem32350 litem &107 432650 *1226 (MRCItem 32651 litem &1078 32351 32652 pos 28 32352 32653 dimension 20 32353 32654 uid 5874,0 32354 32655 ) 32355 *122 2(MRCItem32356 litem &107 532656 *1227 (MRCItem 32657 litem &1079 32357 32658 pos 29 32358 32659 dimension 20 32359 32660 uid 6173,0 32360 32661 ) 32361 *122 3(MRCItem32362 litem &10 7632662 *1228 (MRCItem 32663 litem &1080 32363 32664 pos 30 32364 32665 dimension 20 32365 32666 uid 6375,0 32366 32667 ) 32367 *122 4(MRCItem32368 litem &10 7732668 *1229 (MRCItem 32669 litem &1081 32369 32670 pos 78 32370 32671 dimension 20 32371 32672 uid 8761,0 32372 32673 ) 32373 *12 25(MRCItem32374 litem &10 7832674 *1230 (MRCItem 32675 litem &1082 32375 32676 pos 52 32376 32677 dimension 20 32377 32678 uid 9019,0 32378 32679 ) 32379 *12 26(MRCItem32380 litem &10 7932680 *1231 (MRCItem 32681 litem &1083 32381 32682 pos 79 32382 32683 dimension 20 32383 32684 uid 9248,0 32384 32685 ) 32385 *12 27(MRCItem32386 litem &108 032686 *1232 (MRCItem 32687 litem &1084 32387 32688 pos 80 32388 32689 dimension 20 32389 32690 uid 9250,0 32390 32691 ) 32391 *12 28(MRCItem32392 litem &108 132692 *1233 (MRCItem 32693 litem &1085 32393 32694 pos 81 32394 32695 dimension 20 32395 32696 uid 10025,0 32396 32697 ) 32397 *12 29(MRCItem32398 litem &108 232698 *1234 (MRCItem 32699 litem &1086 32399 32700 pos 82 32400 32701 dimension 20 32401 32702 uid 10027,0 32402 32703 ) 32403 *123 0(MRCItem32404 litem &108 332704 *1235 (MRCItem 32705 litem &1087 32405 32706 pos 83 32406 32707 dimension 20 32407 32708 uid 10029,0 32408 32709 ) 32409 *123 1(MRCItem32410 litem &108 432710 *1236 (MRCItem 32711 litem &1088 32411 32712 pos 84 32412 32713 dimension 20 32413 32714 uid 10295,0 32414 32715 ) 32415 *123 2(MRCItem32416 litem &108 532716 *1237 (MRCItem 32717 litem &1089 32417 32718 pos 85 32418 32719 dimension 20 32419 32720 uid 10335,0 32420 32721 ) 32421 *123 3(MRCItem32422 litem &10 8632722 *1238 (MRCItem 32723 litem &1090 32423 32724 pos 86 32424 32725 dimension 20 32425 32726 uid 10337,0 32426 32727 ) 32427 *123 4(MRCItem32428 litem &10 8732728 *1239 (MRCItem 32729 litem &1091 32429 32730 pos 87 32430 32731 dimension 20 32431 32732 uid 10339,0 32432 32733 ) 32433 *12 35(MRCItem32434 litem &10 8832734 *1240 (MRCItem 32735 litem &1092 32435 32736 pos 88 32436 32737 dimension 20 32437 32738 uid 10341,0 32438 32739 ) 32439 *12 36(MRCItem32440 litem &10 8932740 *1241 (MRCItem 32741 litem &1093 32441 32742 pos 31 32442 32743 dimension 20 32443 32744 uid 10343,0 32444 32745 ) 32445 *12 37(MRCItem32446 litem &109 032746 *1242 (MRCItem 32747 litem &1094 32447 32748 pos 89 32448 32749 dimension 20 32449 32750 uid 10764,0 32450 32751 ) 32451 *12 38(MRCItem32452 litem &109 132752 *1243 (MRCItem 32753 litem &1095 32453 32754 pos 90 32454 32755 dimension 20 32455 32756 uid 10766,0 32456 32757 ) 32457 *12 39(MRCItem32458 litem &109 232758 *1244 (MRCItem 32759 litem &1096 32459 32760 pos 32 32460 32761 dimension 20 32461 32762 uid 10768,0 32462 32763 ) 32463 *124 0(MRCItem32464 litem &109 332764 *1245 (MRCItem 32765 litem &1097 32465 32766 pos 33 32466 32767 dimension 20 32467 32768 uid 10770,0 32468 32769 ) 32469 *124 1(MRCItem32470 litem &109 432770 *1246 (MRCItem 32771 litem &1098 32471 32772 pos 34 32472 32773 dimension 20 32473 32774 uid 10772,0 32474 32775 ) 32475 *124 2(MRCItem32476 litem &109 532776 *1247 (MRCItem 32777 litem &1099 32477 32778 pos 91 32478 32779 dimension 20 32479 32780 uid 11412,0 32480 32781 ) 32481 *124 3(MRCItem32482 litem &1 09632782 *1248 (MRCItem 32783 litem &1100 32483 32784 pos 92 32484 32785 dimension 20 32485 32786 uid 11967,0 32486 32787 ) 32487 *124 4(MRCItem32488 litem &1 09732788 *1249 (MRCItem 32789 litem &1101 32489 32790 pos 93 32490 32791 dimension 20 32491 32792 uid 12662,0 32492 32793 ) 32493 *12 45(MRCItem32494 litem &1 09832794 *1250 (MRCItem 32795 litem &1102 32495 32796 pos 94 32496 32797 dimension 20 32497 32798 uid 12664,0 32498 32799 ) 32499 *12 46(MRCItem32500 litem &1 09932800 *1251 (MRCItem 32801 litem &1103 32501 32802 pos 95 32502 32803 dimension 20 32503 32804 uid 13276,0 32504 32805 ) 32505 *12 47(MRCItem32506 litem &110 032806 *1252 (MRCItem 32807 litem &1104 32507 32808 pos 35 32508 32809 dimension 20 32509 32810 uid 13688,0 32510 32811 ) 32511 *12 48(MRCItem32512 litem &110 132812 *1253 (MRCItem 32813 litem &1105 32513 32814 pos 36 32514 32815 dimension 20 32515 32816 uid 14041,0 32516 32817 ) 32517 *12 49(MRCItem32518 litem &110 232818 *1254 (MRCItem 32819 litem &1106 32519 32820 pos 37 32520 32821 dimension 20 32521 32822 uid 14164,0 32522 32823 ) 32523 *125 0(MRCItem32524 litem &110 332824 *1255 (MRCItem 32825 litem &1107 32525 32826 pos 38 32526 32827 dimension 20 32527 32828 uid 14508,0 32528 32829 ) 32529 *125 1(MRCItem32530 litem &110 432830 *1256 (MRCItem 32831 litem &1108 32531 32832 pos 39 32532 32833 dimension 20 32533 32834 uid 14510,0 32534 32835 ) 32535 *125 2(MRCItem32536 litem &110 532836 *1257 (MRCItem 32837 litem &1109 32537 32838 pos 40 32538 32839 dimension 20 32539 32840 uid 14635,0 32540 32841 ) 32541 *125 3(MRCItem32542 litem &11 0632842 *1258 (MRCItem 32843 litem &1110 32543 32844 pos 96 32544 32845 dimension 20 32545 32846 uid 15145,0 32546 32847 ) 32547 *125 4(MRCItem32548 litem &11 0732848 *1259 (MRCItem 32849 litem &1111 32549 32850 pos 97 32550 32851 dimension 20 32551 32852 uid 15147,0 32552 32853 ) 32553 *12 55(MRCItem32554 litem &11 0832854 *1260 (MRCItem 32855 litem &1112 32555 32856 pos 98 32556 32857 dimension 20 32557 32858 uid 15505,0 32558 32859 ) 32559 *12 56(MRCItem32560 litem &11 0932860 *1261 (MRCItem 32861 litem &1113 32561 32862 pos 99 32562 32863 dimension 20 32563 32864 uid 15755,0 32564 32865 ) 32565 *12 57(MRCItem32566 litem &111 032866 *1262 (MRCItem 32867 litem &1114 32567 32868 pos 100 32568 32869 dimension 20 32569 32870 uid 16387,0 32570 32871 ) 32571 *12 58(MRCItem32572 litem &111 132872 *1263 (MRCItem 32873 litem &1115 32573 32874 pos 101 32574 32875 dimension 20 32575 32876 uid 16572,0 32576 32877 ) 32577 *12 59(MRCItem32578 litem &111 232878 *1264 (MRCItem 32879 litem &1116 32579 32880 pos 102 32580 32881 dimension 20 32581 32882 uid 16574,0 32582 32883 ) 32583 *126 0(MRCItem32584 litem &111 332884 *1265 (MRCItem 32885 litem &1117 32585 32886 pos 103 32586 32887 dimension 20 32587 32888 uid 16962,0 32588 32889 ) 32589 *126 1(MRCItem32590 litem &111 432890 *1266 (MRCItem 32891 litem &1118 32591 32892 pos 104 32592 32893 dimension 20 32593 32894 uid 16964,0 32594 32895 ) 32595 *126 2(MRCItem32596 litem &111 532896 *1267 (MRCItem 32897 litem &1119 32597 32898 pos 105 32598 32899 dimension 20 32599 32900 uid 16966,0 32600 32901 ) 32601 *126 3(MRCItem32602 litem &11 1632902 *1268 (MRCItem 32903 litem &1120 32603 32904 pos 106 32604 32905 dimension 20 32605 32906 uid 17034,0 32606 32907 ) 32607 *126 4(MRCItem32608 litem &11 1732908 *1269 (MRCItem 32909 litem &1121 32609 32910 pos 107 32610 32911 dimension 20 32611 32912 uid 17036,0 32612 32913 ) 32613 *12 65(MRCItem32614 litem &11 1832914 *1270 (MRCItem 32915 litem &1122 32615 32916 pos 108 32616 32917 dimension 20 32617 32918 uid 17398,0 32618 32919 ) 32619 *12 66(MRCItem32620 litem &11 1932920 *1271 (MRCItem 32921 litem &1123 32621 32922 pos 109 32622 32923 dimension 20 32623 32924 uid 18464,0 32624 32925 ) 32625 *12 67(MRCItem32626 litem &112 032926 *1272 (MRCItem 32927 litem &1124 32627 32928 pos 41 32628 32929 dimension 20 32629 32930 uid 18967,0 32630 32931 ) 32631 *12 68(MRCItem32632 litem &112 132932 *1273 (MRCItem 32933 litem &1125 32633 32934 pos 110 32634 32935 dimension 20 32635 32936 uid 20160,0 32636 32937 ) 32637 *12 69(MRCItem32638 litem &112 232938 *1274 (MRCItem 32939 litem &1126 32639 32940 pos 111 32640 32941 dimension 20 32641 32942 uid 20516,0 32642 32943 ) 32643 *127 0(MRCItem32644 litem &112 332944 *1275 (MRCItem 32945 litem &1127 32645 32946 pos 112 32646 32947 dimension 20 32647 32948 uid 20518,0 32648 32949 ) 32649 *127 1(MRCItem32650 litem &112 432950 *1276 (MRCItem 32951 litem &1128 32651 32952 pos 113 32652 32953 dimension 20 32653 32954 uid 20524,0 32654 32955 ) 32655 *127 2(MRCItem32656 litem &112 532956 *1277 (MRCItem 32957 litem &1129 32657 32958 pos 114 32658 32959 dimension 20 32659 32960 uid 20526,0 32660 32961 ) 32661 *127 3(MRCItem32662 litem &11 2632962 *1278 (MRCItem 32963 litem &1130 32663 32964 pos 115 32664 32965 dimension 20 32665 32966 uid 21092,0 32666 32967 ) 32667 *127 4(MRCItem32668 litem &11 2732968 *1279 (MRCItem 32969 litem &1131 32669 32970 pos 116 32670 32971 dimension 20 32671 32972 uid 21094,0 32672 32973 ) 32673 *12 75(MRCItem32674 litem &11 2832974 *1280 (MRCItem 32975 litem &1132 32675 32976 pos 117 32676 32977 dimension 20 32677 32978 uid 21098,0 32678 32979 ) 32679 *12 76(MRCItem32680 litem &11 2932980 *1281 (MRCItem 32981 litem &1133 32681 32982 pos 118 32682 32983 dimension 20 32683 32984 uid 21102,0 32684 32985 ) 32685 *12 77(MRCItem32686 litem &113 032986 *1282 (MRCItem 32987 litem &1134 32687 32988 pos 119 32688 32989 dimension 20 32689 32990 uid 21104,0 32690 32991 ) 32691 *12 78(MRCItem32692 litem &113 132992 *1283 (MRCItem 32993 litem &1135 32693 32994 pos 120 32694 32995 dimension 20 32695 32996 uid 21108,0 32696 32997 ) 32697 *12 79(MRCItem32698 litem &113 232998 *1284 (MRCItem 32999 litem &1136 32699 33000 pos 121 32700 33001 dimension 20 32701 33002 uid 22030,0 32702 33003 ) 32703 *128 0(MRCItem32704 litem &113 333004 *1285 (MRCItem 33005 litem &1137 32705 33006 pos 122 32706 33007 dimension 20 32707 33008 uid 22032,0 32708 33009 ) 32709 *128 1(MRCItem32710 litem &113 433010 *1286 (MRCItem 33011 litem &1138 32711 33012 pos 123 32712 33013 dimension 20 32713 33014 uid 22034,0 32714 33015 ) 32715 *128 2(MRCItem32716 litem &113 533016 *1287 (MRCItem 33017 litem &1139 32717 33018 pos 124 32718 33019 dimension 20 32719 33020 uid 22036,0 32720 33021 ) 32721 *128 3(MRCItem32722 litem &11 3633022 *1288 (MRCItem 33023 litem &1140 32723 33024 pos 125 32724 33025 dimension 20 32725 33026 uid 22038,0 32726 33027 ) 32727 *128 4(MRCItem32728 litem &11 3733028 *1289 (MRCItem 33029 litem &1141 32729 33030 pos 126 32730 33031 dimension 20 32731 33032 uid 22040,0 32732 33033 ) 32733 *12 85(MRCItem32734 litem &11 3833034 *1290 (MRCItem 33035 litem &1142 32735 33036 pos 127 32736 33037 dimension 20 32737 33038 uid 22134,0 32738 33039 ) 32739 *12 86(MRCItem32740 litem &11 3933040 *1291 (MRCItem 33041 litem &1143 32741 33042 pos 128 32742 33043 dimension 20 32743 33044 uid 22136,0 32744 33045 ) 32745 *12 87(MRCItem32746 litem &114 033046 *1292 (MRCItem 33047 litem &1144 32747 33048 pos 129 32748 33049 dimension 20 32749 33050 uid 22138,0 32750 33051 ) 32751 *12 88(MRCItem32752 litem &114 133052 *1293 (MRCItem 33053 litem &1145 32753 33054 pos 130 32754 33055 dimension 20 32755 33056 uid 22140,0 32756 33057 ) 32757 *12 89(MRCItem32758 litem &114 233058 *1294 (MRCItem 33059 litem &1146 32759 33060 pos 131 32760 33061 dimension 20 32761 33062 uid 23054,0 32762 33063 ) 32763 *129 0(MRCItem32764 litem &114 333064 *1295 (MRCItem 33065 litem &1147 32765 33066 pos 132 32766 33067 dimension 20 32767 33068 uid 23374,0 32768 33069 ) 32769 *129 1(MRCItem32770 litem &114 433070 *1296 (MRCItem 33071 litem &1148 32771 33072 pos 133 32772 33073 dimension 20 32773 33074 uid 23376,0 32774 33075 ) 32775 *129 2(MRCItem32776 litem &114 533076 *1297 (MRCItem 33077 litem &1149 32777 33078 pos 42 32778 33079 dimension 20 32779 33080 uid 24091,0 32780 33081 ) 32781 *129 3(MRCItem32782 litem &11 4633082 *1298 (MRCItem 33083 litem &1150 32783 33084 pos 43 32784 33085 dimension 20 32785 33086 uid 24717,0 32786 33087 ) 32787 *129 4(MRCItem32788 litem &11 4733088 *1299 (MRCItem 33089 litem &1151 32789 33090 pos 44 32790 33091 dimension 20 32791 33092 uid 24719,0 32792 33093 ) 32793 *1 295(MRCItem32794 litem &11 4833094 *1300 (MRCItem 33095 litem &1152 32795 33096 pos 45 32796 33097 dimension 20 32797 33098 uid 24721,0 32798 33099 ) 32799 *1 296(MRCItem32800 litem &11 4933100 *1301 (MRCItem 33101 litem &1153 32801 33102 pos 46 32802 33103 dimension 20 32803 33104 uid 24723,0 32804 33105 ) 32805 *1 297(MRCItem32806 litem &115 033106 *1302 (MRCItem 33107 litem &1154 32807 33108 pos 134 32808 33109 dimension 20 32809 33110 uid 24745,0 32810 33111 ) 32811 *1 298(MRCItem32812 litem &115 133112 *1303 (MRCItem 33113 litem &1155 32813 33114 pos 135 32814 33115 dimension 20 32815 33116 uid 25044,0 32816 33117 ) 32817 *1 299(MRCItem32818 litem &115 233118 *1304 (MRCItem 33119 litem &1156 32819 33120 pos 47 32820 33121 dimension 20 32821 33122 uid 25313,0 32822 33123 ) 32823 *130 0(MRCItem32824 litem &115 333124 *1305 (MRCItem 33125 litem &1157 32825 33126 pos 48 32826 33127 dimension 20 32827 33128 uid 25570,0 32828 33129 ) 32829 *130 1(MRCItem32830 litem &115 433130 *1306 (MRCItem 33131 litem &1158 32831 33132 pos 49 32832 33133 dimension 20 32833 33134 uid 25572,0 32834 33135 ) 32835 *130 2(MRCItem32836 litem &115 533136 *1307 (MRCItem 33137 litem &1159 32837 33138 pos 136 32838 33139 dimension 20 32839 33140 uid 25847,0 32840 33141 ) 32841 *130 3(MRCItem32842 litem &11 5633142 *1308 (MRCItem 33143 litem &1160 32843 33144 pos 50 32844 33145 dimension 20 32845 33146 uid 26088,0 32846 33147 ) 32847 *130 4(MRCItem32848 litem &11 5733148 *1309 (MRCItem 33149 litem &1161 32849 33150 pos 137 32850 33151 dimension 20 32851 33152 uid 26365,0 32852 33153 ) 32853 *13 05(MRCItem32854 litem &11 5833154 *1310 (MRCItem 33155 litem &1162 32855 33156 pos 51 32856 33157 dimension 20 32857 33158 uid 26594,0 32858 33159 ) 32859 *13 06(MRCItem32860 litem &11 5933160 *1311 (MRCItem 33161 litem &1163 32861 33162 pos 138 32862 33163 dimension 20 32863 33164 uid 27138,0 32864 33165 ) 32865 *13 07(MRCItem32866 litem &116 033166 *1312 (MRCItem 33167 litem &1164 32867 33168 pos 139 32868 33169 dimension 20 32869 33170 uid 27160,0 32870 33171 ) 32871 *13 08(MRCItem32872 litem &116 133172 *1313 (MRCItem 33173 litem &1165 32873 33174 pos 140 32874 33175 dimension 20 32875 33176 uid 27162,0 32876 33177 ) 32877 *13 09(MRCItem32878 litem &116 233178 *1314 (MRCItem 33179 litem &1166 32879 33180 pos 141 32880 33181 dimension 20 32881 33182 uid 27620,0 33183 ) 33184 *1315 (MRCItem 33185 litem &1167 33186 pos 142 33187 dimension 20 33188 uid 28291,0 32882 33189 ) 32883 33190 ] … … 32892 33199 uid 73,0 32893 33200 optionalChildren [ 32894 *131 0(MRCItem32895 litem &101 233201 *1316 (MRCItem 33202 litem &1016 32896 33203 pos 0 32897 33204 dimension 20 32898 33205 uid 74,0 32899 33206 ) 32900 *131 1(MRCItem32901 litem &101 433207 *1317 (MRCItem 33208 litem &1018 32902 33209 pos 1 32903 33210 dimension 50 32904 33211 uid 75,0 32905 33212 ) 32906 *131 2(MRCItem32907 litem &101 533213 *1318 (MRCItem 33214 litem &1019 32908 33215 pos 2 32909 33216 dimension 100 32910 33217 uid 76,0 32911 33218 ) 32912 *131 3(MRCItem32913 litem &10 1633219 *1319 (MRCItem 33220 litem &1020 32914 33221 pos 3 32915 33222 dimension 50 32916 33223 uid 77,0 32917 33224 ) 32918 *13 14(MRCItem32919 litem &10 1733225 *1320 (MRCItem 33226 litem &1021 32920 33227 pos 4 32921 33228 dimension 100 32922 33229 uid 78,0 32923 33230 ) 32924 *13 15(MRCItem32925 litem &10 1833231 *1321 (MRCItem 33232 litem &1022 32926 33233 pos 5 32927 33234 dimension 100 32928 33235 uid 79,0 32929 33236 ) 32930 *13 16(MRCItem32931 litem &10 1933237 *1322 (MRCItem 33238 litem &1023 32932 33239 pos 6 32933 33240 dimension 50 32934 33241 uid 80,0 32935 33242 ) 32936 *13 17(MRCItem32937 litem &102 033243 *1323 (MRCItem 33244 litem &1024 32938 33245 pos 7 32939 33246 dimension 290 … … 32955 33262 genericsCommonDM (CommonDM 32956 33263 ldm (LogicalDM 32957 emptyRow *13 18(LEmptyRow33264 emptyRow *1324 (LEmptyRow 32958 33265 ) 32959 33266 uid 83,0 32960 33267 optionalChildren [ 32961 *13 19(RefLabelRowHdr32962 ) 32963 *132 0(TitleRowHdr32964 ) 32965 *132 1(FilterRowHdr32966 ) 32967 *132 2(RefLabelColHdr33268 *1325 (RefLabelRowHdr 33269 ) 33270 *1326 (TitleRowHdr 33271 ) 33272 *1327 (FilterRowHdr 33273 ) 33274 *1328 (RefLabelColHdr 32968 33275 tm "RefLabelColHdrMgr" 32969 33276 ) 32970 *132 3(RowExpandColHdr33277 *1329 (RowExpandColHdr 32971 33278 tm "RowExpandColHdrMgr" 32972 33279 ) 32973 *13 24(GroupColHdr33280 *1330 (GroupColHdr 32974 33281 tm "GroupColHdrMgr" 32975 33282 ) 32976 *13 25(NameColHdr33283 *1331 (NameColHdr 32977 33284 tm "GenericNameColHdrMgr" 32978 33285 ) 32979 *13 26(TypeColHdr33286 *1332 (TypeColHdr 32980 33287 tm "GenericTypeColHdrMgr" 32981 33288 ) 32982 *13 27(InitColHdr33289 *1333 (InitColHdr 32983 33290 tm "GenericValueColHdrMgr" 32984 33291 ) 32985 *13 28(PragmaColHdr33292 *1334 (PragmaColHdr 32986 33293 tm "GenericPragmaColHdrMgr" 32987 33294 ) 32988 *13 29(EolColHdr33295 *1335 (EolColHdr 32989 33296 tm "GenericEolColHdrMgr" 32990 33297 ) 32991 *133 0(LogGeneric33298 *1336 (LogGeneric 32992 33299 generic (GiElement 32993 33300 name "RAMADDRWIDTH64b" … … 33004 33311 uid 95,0 33005 33312 optionalChildren [ 33006 *133 1(Sheet33313 *1337 (Sheet 33007 33314 sheetRow (SheetRow 33008 33315 headerVa (MVa … … 33021 33328 font "Tahoma,10,0" 33022 33329 ) 33023 emptyMRCItem *133 2(MRCItem33024 litem &13 1833330 emptyMRCItem *1338 (MRCItem 33331 litem &1324 33025 33332 pos 1 33026 33333 dimension 20 … … 33028 33335 uid 97,0 33029 33336 optionalChildren [ 33030 *133 3(MRCItem33031 litem &13 1933337 *1339 (MRCItem 33338 litem &1325 33032 33339 pos 0 33033 33340 dimension 20 33034 33341 uid 98,0 33035 33342 ) 33036 *13 34(MRCItem33037 litem &132 033343 *1340 (MRCItem 33344 litem &1326 33038 33345 pos 1 33039 33346 dimension 23 33040 33347 uid 99,0 33041 33348 ) 33042 *13 35(MRCItem33043 litem &132 133349 *1341 (MRCItem 33350 litem &1327 33044 33351 pos 2 33045 33352 hidden 1 … … 33047 33354 uid 100,0 33048 33355 ) 33049 *13 36(MRCItem33050 litem &133 033356 *1342 (MRCItem 33357 litem &1336 33051 33358 pos 0 33052 33359 dimension 20 … … 33064 33371 uid 101,0 33065 33372 optionalChildren [ 33066 *13 37(MRCItem33067 litem &132 233373 *1343 (MRCItem 33374 litem &1328 33068 33375 pos 0 33069 33376 dimension 20 33070 33377 uid 102,0 33071 33378 ) 33072 *13 38(MRCItem33073 litem &13 2433379 *1344 (MRCItem 33380 litem &1330 33074 33381 pos 1 33075 33382 dimension 50 33076 33383 uid 103,0 33077 33384 ) 33078 *13 39(MRCItem33079 litem &13 2533385 *1345 (MRCItem 33386 litem &1331 33080 33387 pos 2 33081 33388 dimension 186 33082 33389 uid 104,0 33083 33390 ) 33084 *134 0(MRCItem33085 litem &13 2633391 *1346 (MRCItem 33392 litem &1332 33086 33393 pos 3 33087 33394 dimension 96 33088 33395 uid 105,0 33089 33396 ) 33090 *134 1(MRCItem33091 litem &13 2733397 *1347 (MRCItem 33398 litem &1333 33092 33399 pos 4 33093 33400 dimension 50 33094 33401 uid 106,0 33095 33402 ) 33096 *134 2(MRCItem33097 litem &13 2833403 *1348 (MRCItem 33404 litem &1334 33098 33405 pos 5 33099 33406 dimension 50 33100 33407 uid 107,0 33101 33408 ) 33102 *134 3(MRCItem33103 litem &13 2933409 *1349 (MRCItem 33410 litem &1335 33104 33411 pos 6 33105 33412 dimension 80 -
TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/symbol.sb ¶
r10883 r10901 22 22 ) 23 23 version "24.1" 24 appVersion "2009. 1 (Build 12)"24 appVersion "2009.2 (Build 10)" 25 25 model (Symbol 26 26 commonDM (CommonDM … … 1348 1348 (vvPair 1349 1349 variable "HDLDir" 1350 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"1350 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl" 1351 1351 ) 1352 1352 (vvPair 1353 1353 variable "HDSDir" 1354 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"1354 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" 1355 1355 ) 1356 1356 (vvPair 1357 1357 variable "SideDataDesignDir" 1358 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.info"1358 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.info" 1359 1359 ) 1360 1360 (vvPair 1361 1361 variable "SideDataUserDir" 1362 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.user"1362 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.user" 1363 1363 ) 1364 1364 (vvPair 1365 1365 variable "SourceDir" 1366 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"1366 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds" 1367 1367 ) 1368 1368 (vvPair … … 1380 1380 (vvPair 1381 1381 variable "d" 1382 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"1382 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main" 1383 1383 ) 1384 1384 (vvPair 1385 1385 variable "d_logical" 1386 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"1386 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main" 1387 1387 ) 1388 1388 (vvPair 1389 1389 variable "date" 1390 value " 25.05.2011"1390 value "01.06.2011" 1391 1391 ) 1392 1392 (vvPair … … 1400 1400 (vvPair 1401 1401 variable "dd" 1402 value " 25"1402 value "01" 1403 1403 ) 1404 1404 (vvPair … … 1428 1428 (vvPair 1429 1429 variable "host" 1430 value " IHP110"1430 value "E5B-LABOR6" 1431 1431 ) 1432 1432 (vvPair … … 1464 1464 (vvPair 1465 1465 variable "mm" 1466 value "0 5"1466 value "06" 1467 1467 ) 1468 1468 (vvPair … … 1472 1472 (vvPair 1473 1473 variable "month" 1474 value " Mai"1474 value "Jun" 1475 1475 ) 1476 1476 (vvPair 1477 1477 variable "month_long" 1478 value " Mai"1478 value "Juni" 1479 1479 ) 1480 1480 (vvPair 1481 1481 variable "p" 1482 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb"1482 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb" 1483 1483 ) 1484 1484 (vvPair 1485 1485 variable "p_logical" 1486 value " D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\symbol.sb"1486 value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\symbol.sb" 1487 1487 ) 1488 1488 (vvPair … … 1508 1508 (vvPair 1509 1509 variable "task_ModelSimPath" 1510 value " D:\\modeltech_6.5e\\win32"1510 value "C:\\modeltech_6.6a\\win32" 1511 1511 ) 1512 1512 (vvPair … … 1540 1540 (vvPair 1541 1541 variable "time" 1542 value "1 4:53:43"1542 value "17:50:58" 1543 1543 ) 1544 1544 (vvPair … … 1548 1548 (vvPair 1549 1549 variable "user" 1550 value "d aqct3"1550 value "dneise" 1551 1551 ) 1552 1552 (vvPair 1553 1553 variable "version" 1554 value "2009. 1 (Build 12)"1554 value "2009.2 (Build 10)" 1555 1555 ) 1556 1556 (vvPair … … 1607 1607 ) 1608 1608 xt "44000,42000,80500,42800" 1609 st "wiz_reset : OUT std_logic := '1' ; 1610 " 1609 st "wiz_reset : OUT std_logic := '1' ;" 1611 1610 ) 1612 1611 thePort (LogicalPort … … 1654 1653 ) 1655 1654 xt "44000,32400,86500,33200" 1656 st "led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ; 1657 " 1655 st "led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;" 1658 1656 ) 1659 1657 thePort (LogicalPort … … 1702 1700 ) 1703 1701 xt "44000,12400,67500,13200" 1704 st "trigger : IN std_logic ; 1705 " 1702 st "trigger : IN std_logic ;" 1706 1703 ) 1707 1704 thePort (LogicalPort … … 1748 1745 ) 1749 1746 xt "44000,22800,80500,23600" 1750 st "adc_oeb : OUT std_logic := '1' ; 1751 " 1747 st "adc_oeb : OUT std_logic := '1' ;" 1752 1748 ) 1753 1749 thePort (LogicalPort … … 1794 1790 ) 1795 1791 xt "44000,9200,77000,10000" 1796 st "board_id : IN std_logic_vector (3 DOWNTO 0) ; 1797 " 1792 st "board_id : IN std_logic_vector (3 DOWNTO 0) ;" 1798 1793 ) 1799 1794 thePort (LogicalPort … … 1839 1834 ) 1840 1835 xt "44000,10000,77000,10800" 1841 st "crate_id : IN std_logic_vector (1 DOWNTO 0) ; 1842 " 1836 st "crate_id : IN std_logic_vector (1 DOWNTO 0) ;" 1843 1837 ) 1844 1838 thePort (LogicalPort … … 1885 1879 ) 1886 1880 xt "44000,39600,77000,40400" 1887 st "wiz_addr : OUT std_logic_vector (9 DOWNTO 0) ; 1888 " 1881 st "wiz_addr : OUT std_logic_vector (9 DOWNTO 0) ;" 1889 1882 ) 1890 1883 thePort (LogicalPort … … 1932 1925 ) 1933 1926 xt "44000,44400,76500,45200" 1934 st "wiz_data : INOUT std_logic_vector (15 DOWNTO 0) 1935 " 1927 st "wiz_data : INOUT std_logic_vector (15 DOWNTO 0)" 1936 1928 ) 1937 1929 thePort (LogicalPort … … 1979 1971 ) 1980 1972 xt "44000,40400,80500,41200" 1981 st "wiz_cs : OUT std_logic := '1' ; 1982 " 1973 st "wiz_cs : OUT std_logic := '1' ;" 1983 1974 ) 1984 1975 thePort (LogicalPort … … 2026 2017 ) 2027 2018 xt "44000,42800,80500,43600" 2028 st "wiz_wr : OUT std_logic := '1' ; 2029 " 2019 st "wiz_wr : OUT std_logic := '1' ;" 2030 2020 ) 2031 2021 thePort (LogicalPort … … 2073 2063 ) 2074 2064 xt "44000,41200,80500,42000" 2075 st "wiz_rd : OUT std_logic := '1' ; 2076 " 2065 st "wiz_rd : OUT std_logic := '1' ;" 2077 2066 ) 2078 2067 thePort (LogicalPort … … 2120 2109 ) 2121 2110 xt "44000,13200,67500,14000" 2122 st "wiz_int : IN std_logic ; 2123 " 2111 st "wiz_int : IN std_logic ;" 2124 2112 ) 2125 2113 thePort (LogicalPort … … 2164 2152 ) 2165 2153 xt "44000,14800,67500,15600" 2166 st "CLK_25_PS : OUT std_logic ; 2167 " 2154 st "CLK_25_PS : OUT std_logic ;" 2168 2155 ) 2169 2156 thePort (LogicalPort … … 2209 2196 ) 2210 2197 xt "44000,15600,67500,16400" 2211 st "CLK_50 : OUT std_logic ; 2212 " 2198 st "CLK_50 : OUT std_logic ;" 2213 2199 ) 2214 2200 thePort (LogicalPort … … 2256 2242 ) 2257 2243 xt "44000,2000,67500,2800" 2258 st "CLK : IN std_logic ; 2259 " 2244 st "CLK : IN std_logic ;" 2260 2245 ) 2261 2246 thePort (LogicalPort … … 2300 2285 ) 2301 2286 xt "44000,8400,77000,9200" 2302 st "adc_otr_array : IN std_logic_vector (3 DOWNTO 0) ; 2303 " 2287 st "adc_otr_array : IN std_logic_vector (3 DOWNTO 0) ;" 2304 2288 ) 2305 2289 thePort (LogicalPort … … 2345 2329 ) 2346 2330 xt "44000,7600,72500,8400" 2347 st "adc_data_array : IN adc_data_array_type ; 2348 " 2331 st "adc_data_array : IN adc_data_array_type ;" 2349 2332 ) 2350 2333 thePort (LogicalPort … … 2389 2372 ) 2390 2373 xt "44000,30000,86500,30800" 2391 st "drs_channel_id : OUT std_logic_vector (3 downto 0) := (others => '0') ; 2392 " 2374 st "drs_channel_id : OUT std_logic_vector (3 downto 0) := (others => '0') ;" 2393 2375 ) 2394 2376 thePort (LogicalPort … … 2436 2418 ) 2437 2419 xt "44000,30800,80500,31600" 2438 st "drs_dwrite : OUT std_logic := '1' ; 2439 " 2420 st "drs_dwrite : OUT std_logic := '1' ;" 2440 2421 ) 2441 2422 thePort (LogicalPort … … 2482 2463 ) 2483 2464 xt "44000,4400,67500,5200" 2484 st "SROUT_in_0 : IN std_logic ; 2485 " 2465 st "SROUT_in_0 : IN std_logic ;" 2486 2466 ) 2487 2467 thePort (LogicalPort … … 2526 2506 ) 2527 2507 xt "44000,5200,67500,6000" 2528 st "SROUT_in_1 : IN std_logic ; 2529 " 2508 st "SROUT_in_1 : IN std_logic ;" 2530 2509 ) 2531 2510 thePort (LogicalPort … … 2570 2549 ) 2571 2550 xt "44000,6000,67500,6800" 2572 st "SROUT_in_2 : IN std_logic ; 2573 " 2551 st "SROUT_in_2 : IN std_logic ;" 2574 2552 ) 2575 2553 thePort (LogicalPort … … 2614 2592 ) 2615 2593 xt "44000,6800,67500,7600" 2616 st "SROUT_in_3 : IN std_logic ; 2617 " 2594 st "SROUT_in_3 : IN std_logic ;" 2618 2595 ) 2619 2596 thePort (LogicalPort … … 2658 2635 ) 2659 2636 xt "44000,20400,80500,21200" 2660 st "RSRLOAD : OUT std_logic := '0' ; 2661 " 2637 st "RSRLOAD : OUT std_logic := '0' ;" 2662 2638 ) 2663 2639 thePort (LogicalPort … … 2704 2680 ) 2705 2681 xt "44000,21200,80500,22000" 2706 st "SRCLK : OUT std_logic := '0' ; 2707 " 2682 st "SRCLK : OUT std_logic := '0' ;" 2708 2683 ) 2709 2684 thePort (LogicalPort … … 2751 2726 ) 2752 2727 xt "44000,35600,67500,36400" 2753 st "sclk : OUT std_logic ; 2754 " 2728 st "sclk : OUT std_logic ;" 2755 2729 ) 2756 2730 thePort (LogicalPort … … 2797 2771 ) 2798 2772 xt "44000,43600,67500,44400" 2799 st "sio : INOUT std_logic ; 2800 " 2773 st "sio : INOUT std_logic ;" 2801 2774 ) 2802 2775 thePort (LogicalPort … … 2845 2818 ) 2846 2819 xt "44000,26800,67500,27600" 2847 st "dac_cs : OUT std_logic ; 2848 " 2820 st "dac_cs : OUT std_logic ;" 2849 2821 ) 2850 2822 thePort (LogicalPort … … 2891 2863 ) 2892 2864 xt "44000,36400,77000,37200" 2893 st "sensor_cs : OUT std_logic_vector (3 DOWNTO 0) ; 2894 " 2865 st "sensor_cs : OUT std_logic_vector (3 DOWNTO 0) ;" 2895 2866 ) 2896 2867 thePort (LogicalPort … … 2938 2909 ) 2939 2910 xt "44000,34000,80500,34800" 2940 st "mosi : OUT std_logic := '0' ; 2941 " 2911 st "mosi : OUT std_logic := '0' ;" 2942 2912 ) 2943 2913 thePort (LogicalPort … … 2985 2955 ) 2986 2956 xt "44000,29200,94000,30000" 2987 st "denable : OUT std_logic := '0' ; -- default domino wave off 2988 " 2957 st "denable : OUT std_logic := '0' ; -- default domino wave off" 2989 2958 ) 2990 2959 thePort (LogicalPort … … 3034 3003 ) 3035 3004 xt "44000,22000,80500,22800" 3036 st "SRIN_out : OUT std_logic := '0' ; 3037 " 3005 st "SRIN_out : OUT std_logic := '0' ;" 3038 3006 ) 3039 3007 thePort (LogicalPort … … 3081 3049 ) 3082 3050 xt "44000,31600,67500,32400" 3083 st "green : OUT std_logic ; 3084 " 3051 st "green : OUT std_logic ;" 3085 3052 ) 3086 3053 thePort (LogicalPort … … 3127 3094 ) 3128 3095 xt "44000,25200,67500,26000" 3129 st "amber : OUT std_logic ; 3130 " 3096 st "amber : OUT std_logic ;" 3131 3097 ) 3132 3098 thePort (LogicalPort … … 3173 3139 ) 3174 3140 xt "44000,34800,67500,35600" 3175 st "red : OUT std_logic ; 3176 " 3141 st "red : OUT std_logic ;" 3177 3142 ) 3178 3143 thePort (LogicalPort … … 3218 3183 ) 3219 3184 xt "44000,2800,77000,3600" 3220 st "D_T_in : IN std_logic_vector (1 DOWNTO 0) ; 3221 " 3185 st "D_T_in : IN std_logic_vector (1 DOWNTO 0) ;" 3222 3186 ) 3223 3187 thePort (LogicalPort … … 3263 3227 ) 3264 3228 xt "44000,10800,99000,11600" 3265 st "drs_refclk_in : IN std_logic ; -- used to check if DRS REFCLK exsists, if not DENABLE inhibit 3266 " 3229 st "drs_refclk_in : IN std_logic ; -- used to check if DRS REFCLK exsists, if not DENABLE inhibit" 3267 3230 ) 3268 3231 thePort (LogicalPort … … 3308 3271 ) 3309 3272 xt "44000,11600,106500,12400" 3310 st "plllock_in : IN std_logic_vector (3 DOWNTO 0) ; -- high level, if dominowave is running and DRS PLL locked 3311 " 3273 st "plllock_in : IN std_logic_vector (3 DOWNTO 0) ; -- high level, if dominowave is running and DRS PLL locked" 3312 3274 ) 3313 3275 thePort (LogicalPort … … 3355 3317 ) 3356 3318 xt "44000,26000,77500,26800" 3357 st "counter_result : OUT std_logic_vector (11 DOWNTO 0) ; 3358 " 3319 st "counter_result : OUT std_logic_vector (11 DOWNTO 0) ;" 3359 3320 ) 3360 3321 thePort (LogicalPort … … 3402 3363 ) 3403 3364 xt "44000,23600,67500,24400" 3404 st "alarm_refclk_too_high : OUT std_logic ; 3405 " 3365 st "alarm_refclk_too_high : OUT std_logic ;" 3406 3366 ) 3407 3367 thePort (LogicalPort … … 3448 3408 ) 3449 3409 xt "44000,24400,67500,25200" 3450 st "alarm_refclk_too_low : OUT std_logic ; 3451 " 3410 st "alarm_refclk_too_low : OUT std_logic ;" 3452 3411 ) 3453 3412 thePort (LogicalPort … … 3495 3454 ) 3496 3455 xt "44000,14000,67500,14800" 3497 st "ADC_CLK : OUT std_logic ; 3498 " 3456 st "ADC_CLK : OUT std_logic ;" 3499 3457 ) 3500 3458 thePort (LogicalPort … … 3542 3500 ) 3543 3501 xt "44000,38000,80500,38800" 3544 st "trigger_veto : OUT std_logic := '1' ; 3545 " 3502 st "trigger_veto : OUT std_logic := '1' ;" 3546 3503 ) 3547 3504 thePort (LogicalPort … … 3588 3545 ) 3589 3546 xt "44000,3600,67500,4400" 3590 st "FTM_RS485_rx_d : IN std_logic ; 3591 " 3547 st "FTM_RS485_rx_d : IN std_logic ;" 3592 3548 ) 3593 3549 thePort (LogicalPort … … 3633 3589 ) 3634 3590 xt "44000,18800,67500,19600" 3635 st "FTM_RS485_tx_d : OUT std_logic ; 3636 " 3591 st "FTM_RS485_tx_d : OUT std_logic ;" 3637 3592 ) 3638 3593 thePort (LogicalPort … … 3679 3634 ) 3680 3635 xt "44000,18000,67500,18800" 3681 st "FTM_RS485_rx_en : OUT std_logic ; 3682 " 3636 st "FTM_RS485_rx_en : OUT std_logic ;" 3683 3637 ) 3684 3638 thePort (LogicalPort … … 3725 3679 ) 3726 3680 xt "44000,19600,67500,20400" 3727 st "FTM_RS485_tx_en : OUT std_logic ; 3728 " 3681 st "FTM_RS485_tx_en : OUT std_logic ;" 3729 3682 ) 3730 3683 thePort (LogicalPort … … 3771 3724 ) 3772 3725 xt "44000,38800,102500,39600" 3773 st "w5300_state : OUT std_logic_vector (7 DOWNTO 0) ; -- state is encoded here ... useful for debugging. 3774 " 3726 st "w5300_state : OUT std_logic_vector (7 DOWNTO 0) ; -- state is encoded here ... useful for debugging." 3775 3727 ) 3776 3728 thePort (LogicalPort … … 3820 3772 ) 3821 3773 xt "44000,27600,67500,28400" 3822 st "debug_data_ram_empty : OUT std_logic ; 3823 " 3774 st "debug_data_ram_empty : OUT std_logic ;" 3824 3775 ) 3825 3776 thePort (LogicalPort … … 3866 3817 ) 3867 3818 xt "44000,28400,67500,29200" 3868 st "debug_data_valid : OUT std_logic ; 3869 " 3819 st "debug_data_valid : OUT std_logic ;" 3870 3820 ) 3871 3821 thePort (LogicalPort … … 3912 3862 ) 3913 3863 xt "44000,33200,102500,34000" 3914 st "mem_manager_state : OUT std_logic_vector (3 DOWNTO 0) ; -- state is encoded here ... useful for debugging. 3915 " 3864 st "mem_manager_state : OUT std_logic_vector (3 DOWNTO 0) ; -- state is encoded here ... useful for debugging." 3916 3865 ) 3917 3866 thePort (LogicalPort … … 3963 3912 xt "44000,16400,77000,18000" 3964 3913 st "-- for debugging 3965 DG_state : OUT std_logic_vector (7 downto 0) ; 3966 " 3914 DG_state : OUT std_logic_vector (7 downto 0) ;" 3967 3915 ) 3968 3916 thePort (LogicalPort … … 4012 3960 ) 4013 3961 xt "44000,37200,92500,38000" 4014 st "socket_tx_free_out : OUT std_logic_vector (16 DOWNTO 0) ; -- 17bit value .. that's true 4015 " 3962 st "socket_tx_free_out : OUT std_logic_vector (16 DOWNTO 0) ; -- 17bit value .. that's true" 4016 3963 ) 4017 3964 thePort (LogicalPort … … 4076 4023 st "Generic Declarations 4077 4024 4078 RAMADDRWIDTH64b integer 12 4079 " 4025 RAMADDRWIDTH64b integer 12 " 4080 4026 ) 4081 4027 header "Generic Declarations" … … 4117 4063 bg "0,0,32768" 4118 4064 ) 4119 xt "36200,48000,4 7000,49000"4065 xt "36200,48000,45900,49000" 4120 4066 st " 4121 4067 by %user on %dd %month %year … … 4148 4094 bg "0,0,32768" 4149 4095 ) 4150 xt "53200,44000,56 500,45000"4096 xt "53200,44000,56200,45000" 4151 4097 st " 4152 4098 Project: … … 4179 4125 bg "0,0,32768" 4180 4126 ) 4181 xt "36200,46000,4 7100,47000"4127 xt "36200,46000,46200,47000" 4182 4128 st " 4183 4129 <enter diagram title here> … … 4210 4156 bg "0,0,32768" 4211 4157 ) 4212 xt "32200,46000,34 500,47000"4158 xt "32200,46000,34300,47000" 4213 4159 st " 4214 4160 Title: … … 4241 4187 bg "0,0,32768" 4242 4188 ) 4243 xt "53200,45200,6 3000,46200"4189 xt "53200,45200,62400,46200" 4244 4190 st " 4245 4191 <enter comments here> … … 4271 4217 bg "0,0,32768" 4272 4218 ) 4273 xt "57200,44000,61 900,45000"4219 xt "57200,44000,61700,45000" 4274 4220 st " 4275 4221 %project_name … … 4301 4247 fg "32768,0,0" 4302 4248 ) 4303 xt "39 200,44500,45800,45500"4249 xt "39150,44500,45850,45500" 4304 4250 st " 4305 4251 <company name> … … 4333 4279 bg "0,0,32768" 4334 4280 ) 4335 xt "32200,47000,34 500,48000"4281 xt "32200,47000,34300,48000" 4336 4282 st " 4337 4283 Path: … … 4364 4310 bg "0,0,32768" 4365 4311 ) 4366 xt "32200,48000,3 5300,49000"4312 xt "32200,48000,34900,49000" 4367 4313 st " 4368 4314 Edited: … … 4395 4341 bg "0,0,32768" 4396 4342 ) 4397 xt "36200,47000, 50400,48000"4343 xt "36200,47000,49000,48000" 4398 4344 st " 4399 4345 %library/%unit/%view … … 4450 4396 va (VaSet 4451 4397 ) 4452 xt "0,1000,1 6100,6000"4398 xt "0,1000,14500,6000" 4453 4399 st "LIBRARY ieee; 4454 4400 USE ieee.std_logic_1164.all; … … 4479 4425 fg "0,0,32768" 4480 4426 ) 4481 xt "200,200,2 400,1200"4427 xt "200,200,2000,1200" 4482 4428 st " 4483 4429 Text … … 4702 4648 ) 4703 4649 ) 4704 lastUid 8 287,04650 lastUid 8310,0 4705 4651 okToSyncOnLoad 1 4706 4652 OkToSyncGenericsOnLoad 1
Note:
See TracChangeset
for help on using the changeset viewer.