Ignore:
Timestamp:
06/01/11 16:53:00 (14 years ago)
Author:
neise
Message:
gui stuff
Location:
firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds
Files:
10 added
4 edited

Legend:

Unmodified
Added
Removed
  • TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd

    r10883 r10901  
    400400)
    401401version "29.1"
    402 appVersion "2009.1 (Build 12)"
     402appVersion "2009.2 (Build 10)"
    403403noEmbeddedEditors 1
    404404model (BlockDiag
     
    407407(vvPair
    408408variable "HDLDir"
    409 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     409value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    410410)
    411411(vvPair
    412412variable "HDSDir"
    413 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     413value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    414414)
    415415(vvPair
    416416variable "SideDataDesignDir"
    417 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
     417value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
    418418)
    419419(vvPair
    420420variable "SideDataUserDir"
    421 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
     421value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
    422422)
    423423(vvPair
    424424variable "SourceDir"
    425 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     425value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    426426)
    427427(vvPair
     
    439439(vvPair
    440440variable "d"
    441 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
     441value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
    442442)
    443443(vvPair
    444444variable "d_logical"
    445 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
     445value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
    446446)
    447447(vvPair
    448448variable "date"
    449 value "25.05.2011"
     449value "01.06.2011"
    450450)
    451451(vvPair
     
    459459(vvPair
    460460variable "dd"
    461 value "25"
     461value "01"
    462462)
    463463(vvPair
     
    487487(vvPair
    488488variable "host"
    489 value "IHP110"
     489value "E5B-LABOR6"
    490490)
    491491(vvPair
     
    523523(vvPair
    524524variable "mm"
    525 value "05"
     525value "06"
    526526)
    527527(vvPair
     
    531531(vvPair
    532532variable "month"
    533 value "Mai"
     533value "Jun"
    534534)
    535535(vvPair
    536536variable "month_long"
    537 value "Mai"
     537value "Juni"
    538538)
    539539(vvPair
    540540variable "p"
    541 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
     541value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
    542542)
    543543(vvPair
    544544variable "p_logical"
    545 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
     545value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
    546546)
    547547(vvPair
     
    567567(vvPair
    568568variable "task_ModelSimPath"
    569 value "D:\\modeltech_6.5e\\win32"
     569value "C:\\modeltech_6.6a\\win32"
    570570)
    571571(vvPair
     
    599599(vvPair
    600600variable "time"
    601 value "14:53:43"
     601value "17:50:58"
    602602)
    603603(vvPair
     
    607607(vvPair
    608608variable "user"
    609 value "daqct3"
     609value "dneise"
    610610)
    611611(vvPair
    612612variable "version"
    613 value "2009.1 (Build 12)"
     613value "2009.2 (Build 10)"
    614614)
    615615(vvPair
     
    691691)
    692692xt "-172000,126000,-125500,126800"
    693 st "SIGNAL write_ea                     : std_logic_vector(0 downto 0)                 := \"0\"
    694 "
     693st "SIGNAL write_ea                     : std_logic_vector(0 downto 0)                 := \"0\""
    695694)
    696695)
     
    710709)
    711710xt "-172000,60400,-129000,61200"
    712 st "SIGNAL addr_out                     : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    713 "
     711st "SIGNAL addr_out                     : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    714712)
    715713)
     
    729727)
    730728xt "-172000,65200,-136500,66000"
    731 st "SIGNAL data_out                     : std_logic_vector(63 DOWNTO 0)
    732 "
     729st "SIGNAL data_out                     : std_logic_vector(63 DOWNTO 0)"
    733730)
    734731)
     
    748745)
    749746xt "-172000,94800,-129000,95600"
    750 st "SIGNAL ram_addr                     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
    751 "
     747st "SIGNAL ram_addr                     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
    752748)
    753749)
     
    767763)
    768764xt "-172000,95600,-136500,96400"
    769 st "SIGNAL ram_data                     : std_logic_vector(15 downto 0)
    770 "
     765st "SIGNAL ram_data                     : std_logic_vector(15 downto 0)"
    771766)
    772767)
     
    786781)
    787782xt "-172000,43200,-129000,44000"
    788 st "wiz_reset                    : std_logic                                    := '1'
    789 "
     783st "wiz_reset                    : std_logic                                    := '1'"
    790784)
    791785)
     
    805799)
    806800xt "-172000,40800,-140500,41600"
    807 st "wiz_addr                     : std_logic_vector(9 DOWNTO 0)
    808 "
     801st "wiz_addr                     : std_logic_vector(9 DOWNTO 0)"
    809802)
    810803)
     
    824817)
    825818xt "-172000,45600,-140000,46400"
    826 st "wiz_data                     : std_logic_vector(15 DOWNTO 0)
    827 "
     819st "wiz_data                     : std_logic_vector(15 DOWNTO 0)"
    828820)
    829821)
     
    843835)
    844836xt "-172000,41600,-129000,42400"
    845 st "wiz_cs                       : std_logic                                    := '1'
    846 "
     837st "wiz_cs                       : std_logic                                    := '1'"
    847838)
    848839)
     
    862853)
    863854xt "-172000,44000,-129000,44800"
    864 st "wiz_wr                       : std_logic                                    := '1'
    865 "
     855st "wiz_wr                       : std_logic                                    := '1'"
    866856)
    867857)
     
    881871)
    882872xt "-172000,42400,-129000,43200"
    883 st "wiz_rd                       : std_logic                                    := '1'
    884 "
     873st "wiz_rd                       : std_logic                                    := '1'"
    885874)
    886875)
     
    899888)
    900889xt "-172000,14400,-150000,15200"
    901 st "wiz_int                      : std_logic
    902 "
     890st "wiz_int                      : std_logic"
    903891)
    904892)
     
    33753363)
    33763364xt "-172000,10400,-140500,11200"
    3377 st "board_id                     : std_logic_vector(3 DOWNTO 0)
    3378 "
     3365st "board_id                     : std_logic_vector(3 DOWNTO 0)"
    33793366)
    33803367)
     
    33953382)
    33963383xt "-172000,13600,-150000,14400"
    3397 st "trigger                      : std_logic
    3398 "
     3384st "trigger                      : std_logic"
    33993385)
    34003386)
     
    53585344font "Arial,8,1"
    53595345)
    5360 xt "119700,123000,125900,124000"
     5346xt "103700,117000,109900,118000"
    53615347st "FACT_FAD_lib"
    5362 blo "119700,123800"
     5348blo "103700,117800"
    53635349tm "BdLibraryNameMgr"
    53645350)
     
    53685354font "Arial,8,1"
    53695355)
    5370 xt "119700,124000,125400,125000"
     5356xt "103700,118000,109400,119000"
    53715357st "w5300_modul"
    5372 blo "119700,124800"
     5358blo "103700,118800"
    53735359tm "CptNameMgr"
    53745360)
     
    53785364font "Arial,8,1"
    53795365)
    5380 xt "119700,125000,129300,126000"
     5366xt "103700,119000,113300,120000"
    53815367st "w5300_modul_instance"
    5382 blo "119700,125800"
     5368blo "103700,119800"
    53835369tm "InstanceNameMgr"
    53845370)
     
    54415427)
    54425428xt "-172000,11200,-140500,12000"
    5443 st "crate_id                     : std_logic_vector(1 DOWNTO 0)
    5444 "
     5429st "crate_id                     : std_logic_vector(1 DOWNTO 0)"
    54455430)
    54465431)
     
    57005685)
    57015686xt "-172000,96400,-129000,97200"
    5702 st "SIGNAL ram_start_addr               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
    5703 "
     5687st "SIGNAL ram_start_addr               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    57045688)
    57055689)
     
    57205704)
    57215705xt "-172000,122800,-125500,123600"
    5722 st "SIGNAL wiz_write_ea                 : std_logic                                    := '0'
    5723 "
     5706st "SIGNAL wiz_write_ea                 : std_logic                                    := '0'"
    57245707)
    57255708)
     
    57415724)
    57425725xt "-172000,125200,-119500,126000"
    5743 st "SIGNAL wiz_write_length             : std_logic_vector(16 downto 0)                := (others => '0')
    5744 "
     5726st "SIGNAL wiz_write_length             : std_logic_vector(16 downto 0)                := (others => '0')"
    57455727)
    57465728)
     
    57635745)
    57645746xt "-172000,122000,-119500,122800"
    5765 st "SIGNAL wiz_ram_start_addr           : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
    5766 "
     5747st "SIGNAL wiz_ram_start_addr           : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    57675748)
    57685749)
     
    57845765)
    57855766xt "-172000,121200,-119500,122000"
    5786 st "SIGNAL wiz_number_of_channels       : std_logic_vector(3 downto 0)                 := (others => '0')
    5787 "
     5767st "SIGNAL wiz_number_of_channels       : std_logic_vector(3 downto 0)                 := (others => '0')"
    57885768)
    57895769)
     
    58045784)
    58055785xt "-172000,123600,-125500,124400"
    5806 st "SIGNAL wiz_write_end                : std_logic                                    := '0'
    5807 "
     5786st "SIGNAL wiz_write_end                : std_logic                                    := '0'"
    58085787)
    58095788)
     
    58245803)
    58255804xt "-172000,124400,-125500,125200"
    5826 st "SIGNAL wiz_write_header             : std_logic                                    := '0'
    5827 "
     5805st "SIGNAL wiz_write_header             : std_logic                                    := '0'"
    58285806)
    58295807)
     
    58425820)
    58435821xt "-172000,97200,-146500,98000"
    5844 st "SIGNAL ram_write_ea                 : std_logic
    5845 "
     5822st "SIGNAL ram_write_ea                 : std_logic"
    58465823)
    58475824)
     
    58615838)
    58625839xt "-172000,98000,-125500,98800"
    5863 st "SIGNAL ram_write_ready              : std_logic                                    := '0'
    5864 "
     5840st "SIGNAL ram_write_ready              : std_logic                                    := '0'"
    58655841)
    58665842)
     
    58795855)
    58805856xt "-172000,102000,-145000,102800"
    5881 st "SIGNAL roi_max                      : roi_max_type
    5882 "
     5857st "SIGNAL roi_max                      : roi_max_type"
    58835858)
    58845859)
     
    58985873)
    58995874xt "-172000,91600,-136500,92400"
    5900 st "SIGNAL package_length               : std_logic_vector(15 downto 0)
    5901 "
     5875st "SIGNAL package_length               : std_logic_vector(15 downto 0)"
    59025876)
    59035877)
     
    59175891)
    59185892xt "-172000,24000,-129000,24800"
    5919 st "adc_oeb                      : std_logic                                    := '1'
    5920 "
     5893st "adc_oeb                      : std_logic                                    := '1'"
    59215894)
    59225895)
     
    61226095bg "0,0,32768"
    61236096)
    6124 xt "85200,178000,96000,179000"
     6097xt "85200,178000,94900,179000"
    61256098st "
    61266099by %user on %dd %month %year
     
    61536126bg "0,0,32768"
    61546127)
    6155 xt "102200,174000,105500,175000"
     6128xt "102200,174000,105200,175000"
    61566129st "
    61576130Project:
     
    61846157bg "0,0,32768"
    61856158)
    6186 xt "85200,176000,96100,177000"
     6159xt "85200,176000,95200,177000"
    61876160st "
    61886161<enter diagram title here>
     
    62156188bg "0,0,32768"
    62166189)
    6217 xt "81200,176000,83500,177000"
     6190xt "81200,176000,83300,177000"
    62186191st "
    62196192Title:
     
    62466219bg "0,0,32768"
    62476220)
    6248 xt "102200,175200,112000,176200"
     6221xt "102200,175200,111400,176200"
    62496222st "
    62506223<enter comments here>
     
    62766249bg "0,0,32768"
    62776250)
    6278 xt "106200,174000,110900,175000"
     6251xt "106200,174000,110700,175000"
    62796252st "
    62806253%project_name
     
    63066279fg "32768,0,0"
    63076280)
    6308 xt "88450,174000,94550,176000"
     6281xt "88700,174000,94300,176000"
    63096282st "
    63106283TU Dortmund
     
    63396312bg "0,0,32768"
    63406313)
    6341 xt "81200,177000,83500,178000"
     6314xt "81200,177000,83300,178000"
    63426315st "
    63436316Path:
     
    63706343bg "0,0,32768"
    63716344)
    6372 xt "81200,178000,84300,179000"
     6345xt "81200,178000,83900,179000"
    63736346st "
    63746347Edited:
     
    64016374bg "0,0,32768"
    64026375)
    6403 xt "85200,177000,99300,178000"
     6376xt "85200,177000,97600,178000"
    64046377st "
    64056378%library/%unit/%view
     
    64416414)
    64426415xt "-172000,16000,-150000,16800"
    6443 st "CLK_25_PS                    : std_logic
    6444 "
     6416st "CLK_25_PS                    : std_logic"
    64456417)
    64466418)
     
    65056477)
    65066478xt "-172000,16800,-150000,17600"
    6507 st "CLK_50                       : std_logic
    6508 "
     6479st "CLK_50                       : std_logic"
    65096480)
    65106481)
     
    65256496)
    65266497xt "-172000,48400,-146500,49200"
    6527 st "SIGNAL CLK_25                       : std_logic
    6528 "
     6498st "SIGNAL CLK_25                       : std_logic"
    65296499)
    65306500)
     
    65876557)
    65886558xt "-172000,3200,-150000,4000"
    6589 st "CLK                          : std_logic
    6590 "
     6559st "CLK                          : std_logic"
    65916560)
    65926561)
     
    66066575)
    66076576xt "-172000,9600,-140500,10400"
    6608 st "adc_otr_array                : std_logic_vector(3 DOWNTO 0)
    6609 "
     6577st "adc_otr_array                : std_logic_vector(3 DOWNTO 0)"
    66106578)
    66116579)
     
    66246592)
    66256593xt "-172000,8800,-145000,9600"
    6626 st "adc_data_array               : adc_data_array_type
    6627 "
     6594st "adc_data_array               : adc_data_array_type"
    66286595)
    66296596)
     
    66886655)
    66896656xt "-172000,77200,-125500,78000"
    6690 st "SIGNAL drs_clk_en                   : std_logic                                    := '0'
    6691 "
     6657st "SIGNAL drs_clk_en                   : std_logic                                    := '0'"
    66926658)
    66936659)
     
    67066672)
    67076673xt "-172000,83600,-140500,84400"
    6708 st "SIGNAL drs_s_cell_array             : drs_s_cell_array_type
    6709 "
     6674st "SIGNAL drs_s_cell_array             : drs_s_cell_array_type"
    67106675)
    67116676)
     
    67256690)
    67266691xt "-172000,78000,-125500,78800"
    6727 st "SIGNAL drs_read_s_cell              : std_logic                                    := '0'
    6728 "
     6692st "SIGNAL drs_read_s_cell              : std_logic                                    := '0'"
    67296693)
    67306694)
     
    67456709)
    67466710xt "-172000,31200,-123000,32000"
    6747 st "drs_channel_id               : std_logic_vector(3 downto 0)                 := (others => '0')
    6748 "
     6711st "drs_channel_id               : std_logic_vector(3 downto 0)                 := (others => '0')"
    67496712)
    67506713)
     
    67646727)
    67656728xt "-172000,32000,-129000,32800"
    6766 st "drs_dwrite                   : std_logic                                    := '1'
    6767 "
     6729st "drs_dwrite                   : std_logic                                    := '1'"
    67686730)
    67696731)
     
    68726834)
    68736835xt "-172000,5600,-150000,6400"
    6874 st "SROUT_in_0                   : std_logic
    6875 "
     6836st "SROUT_in_0                   : std_logic"
    68766837)
    68776838)
     
    68906851)
    68916852xt "-172000,6400,-150000,7200"
    6892 st "SROUT_in_1                   : std_logic
    6893 "
     6853st "SROUT_in_1                   : std_logic"
    68946854)
    68956855)
     
    69086868)
    69096869xt "-172000,7200,-150000,8000"
    6910 st "SROUT_in_2                   : std_logic
    6911 "
     6870st "SROUT_in_2                   : std_logic"
    69126871)
    69136872)
     
    69266885)
    69276886xt "-172000,8000,-150000,8800"
    6928 st "SROUT_in_3                   : std_logic
    6929 "
     6887st "SROUT_in_3                   : std_logic"
    69306888)
    69316889)
     
    71247082)
    71257083xt "-172000,78800,-146500,79600"
    7126 st "SIGNAL drs_read_s_cell_ready        : std_logic
    7127 "
     7084st "SIGNAL drs_read_s_cell_ready        : std_logic"
    71287085)
    71297086)
     
    77807737)
    77817738xt "-172000,21600,-129000,22400"
    7782 st "RSRLOAD                      : std_logic                                    := '0'
    7783 "
     7739st "RSRLOAD                      : std_logic                                    := '0'"
    77847740)
    77857741)
     
    78447800)
    78457801xt "-172000,22400,-129000,23200"
    7846 st "SRCLK                        : std_logic                                    := '0'
    7847 "
     7802st "SRCLK                        : std_logic                                    := '0'"
    78487803)
    78497804)
     
    79107865)
    79117866xt "-172000,33600,-123000,34400"
    7912 st "led                          : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    7913 "
     7867st "led                          : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    79147868)
    79157869)
     
    79287882)
    79297883xt "-172000,109200,-146500,110000"
    7930 st "SIGNAL sensor_ready                 : std_logic
    7931 "
     7884st "SIGNAL sensor_ready                 : std_logic"
    79327885)
    79337886)
     
    79467899)
    79477900xt "-172000,108400,-142500,109200"
    7948 st "SIGNAL sensor_array                 : sensor_array_type
    7949 "
     7901st "SIGNAL sensor_array                 : sensor_array_type"
    79507902)
    79517903)
     
    79667918)
    79677919xt "-172000,59600,-137000,60400"
    7968 st "SIGNAL adc_otr                      : std_logic_vector(3 DOWNTO 0)
    7969 "
     7920st "SIGNAL adc_otr                      : std_logic_vector(3 DOWNTO 0)"
    79707921)
    79717922)
     
    79847935)
    79857936xt "-172000,58800,-141500,59600"
    7986 st "SIGNAL adc_data_array_int           : adc_data_array_type
    7987 "
     7937st "SIGNAL adc_data_array_int           : adc_data_array_type"
    79887938)
    79897939)
     
    88258775)
    88268776xt "-172000,36800,-150000,37600"
    8827 st "sclk                         : std_logic
    8828 "
     8777st "sclk                         : std_logic"
    88298778)
    88308779)
     
    88458794)
    88468795xt "-172000,44800,-150000,45600"
    8847 st "sio                          : std_logic
    8848 "
     8796st "sio                          : std_logic"
    88498797)
    88508798)
     
    88638811)
    88648812xt "-172000,28000,-150000,28800"
    8865 st "dac_cs                       : std_logic
    8866 "
     8813st "dac_cs                       : std_logic"
    88678814)
    88688815)
     
    88828829)
    88838830xt "-172000,37600,-140500,38400"
    8884 st "sensor_cs                    : std_logic_vector(3 DOWNTO 0)
    8885 "
     8831st "sensor_cs                    : std_logic_vector(3 DOWNTO 0)"
    88868832)
    88878833)
     
    90819027)
    90829028xt "-172000,35200,-129000,36000"
    9083 st "mosi                         : std_logic                                    := '0'
    9084 "
     9029st "mosi                         : std_logic                                    := '0'"
    90859030)
    90869031)
     
    91479092)
    91489093xt "-172000,30400,-115500,31200"
    9149 st "denable                      : std_logic                                    := '0' -- default domino wave off
    9150 "
     9094st "denable                      : std_logic                                    := '0' -- default domino wave off"
    91519095)
    91529096)
     
    99349878)
    99359879xt "-172000,107600,-146500,108400"
    9936 st "SIGNAL sclk_enable                  : std_logic
    9937 "
     9880st "SIGNAL sclk_enable                  : std_logic"
    99389881)
    99399882)
     
    99539896)
    99549897xt "-172000,58000,-146500,58800"
    9955 st "SIGNAL adc_clk_en                   : std_logic
    9956 "
     9898st "SIGNAL adc_clk_en                   : std_logic"
    99579899)
    99589900)
     
    1042610368)
    1042710369xt "-172000,92400,-110000,93200"
    10428 st "SIGNAL ps_direction                 : std_logic                                    := '1' -- default phase shift upwards
    10429 "
     10370st "SIGNAL ps_direction                 : std_logic                                    := '1' -- default phase shift upwards"
    1043010371)
    1043110372)
     
    1044810389)
    1044910390xt "-172000,93200,-109000,94000"
    10450 st "SIGNAL ps_do_phase_shift            : std_logic                                    := '0' --pulse this to phase shift once
    10451 "
     10391st "SIGNAL ps_do_phase_shift            : std_logic                                    := '0' --pulse this to phase shift once"
    1045210392)
    1045310393)
     
    1046910409)
    1047010410xt "-172000,94000,-101500,94800"
    10471 st "SIGNAL ps_reset                     : std_logic                                    := '0' -- pulse this to reset the variable phase shift
    10472 "
     10411st "SIGNAL ps_reset                     : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    1047310412)
    1047410413)
     
    1048810427)
    1048910428xt "-172000,114000,-125500,114800"
    10490 st "SIGNAL srclk_enable                 : std_logic                                    := '0'
    10491 "
     10429st "SIGNAL srclk_enable                 : std_logic                                    := '0'"
    1049210430)
    1049310431)
     
    1087310811)
    1087410812xt "-172000,57200,-125500,58000"
    10875 st "SIGNAL SRCLK1                       : std_logic                                    := '0'
    10876 "
     10813st "SIGNAL SRCLK1                       : std_logic                                    := '0'"
    1087710814)
    1087810815)
     
    1089110828)
    1089210829xt "-172000,106000,-146500,106800"
    10893 st "SIGNAL s_trigger                    : std_logic
    10894 "
     10830st "SIGNAL s_trigger                    : std_logic"
    1089510831)
    1089610832)
     
    1090910845)
    1091010846xt "-172000,116400,-146500,117200"
    10911 st "SIGNAL start_srin_write_8b          : std_logic
    10912 "
     10847st "SIGNAL start_srin_write_8b          : std_logic"
    1091310848)
    1091410849)
     
    1092810863)
    1092910864xt "-172000,114800,-125500,115600"
    10930 st "SIGNAL srin_write_ack               : std_logic                                    := '0'
    10931 "
     10865st "SIGNAL srin_write_ack               : std_logic                                    := '0'"
    1093210866)
    1093310867)
     
    1094710881)
    1094810882xt "-172000,115600,-125500,116400"
    10949 st "SIGNAL srin_write_ready             : std_logic                                    := '0'
    10950 "
     10883st "SIGNAL srin_write_ready             : std_logic                                    := '0'"
    1095110884)
    1095210885)
     
    1096710900)
    1096810901xt "-172000,84400,-119500,85200"
    10969 st "SIGNAL drs_srin_data                : std_logic_vector(7 downto 0)                 := (others => '0')
    10970 "
     10902st "SIGNAL drs_srin_data                : std_logic_vector(7 downto 0)                 := (others => '0')"
    1097110903)
    1097210904)
     
    1098610918)
    1098710919xt "-172000,23200,-129000,24000"
    10988 st "SRIN_out                     : std_logic                                    := '0'
    10989 "
     10920st "SRIN_out                     : std_logic                                    := '0'"
    1099010921)
    1099110922)
     
    1150311434)
    1150411435xt "-172000,110000,-146500,110800"
    11505 st "SIGNAL socks_connected              : std_logic
    11506 "
     11436st "SIGNAL socks_connected              : std_logic"
    1150711437)
    1150811438)
     
    1152111451)
    1152211452xt "-172000,110800,-146500,111600"
    11523 st "SIGNAL socks_waiting                : std_logic
    11524 "
     11453st "SIGNAL socks_waiting                : std_logic"
    1152511454)
    1152611455)
     
    1153911468)
    1154011469xt "-172000,32800,-150000,33600"
    11541 st "green                        : std_logic
    11542 "
     11470st "green                        : std_logic"
    1154311471)
    1154411472)
     
    1160111529)
    1160211530xt "-172000,26400,-150000,27200"
    11603 st "amber                        : std_logic
    11604 "
     11531st "amber                        : std_logic"
    1160511532)
    1160611533)
     
    1166311590)
    1166411591xt "-172000,36000,-150000,36800"
    11665 st "red                          : std_logic
    11666 "
     11592st "red                          : std_logic"
    1166711593)
    1166811594)
     
    1216212088)
    1216312089xt "-172000,82800,-146500,83600"
    12164 st "SIGNAL drs_readout_started          : std_logic
    12165 "
     12090st "SIGNAL drs_readout_started          : std_logic"
    1216612091)
    1216712092)
     
    1218012105)
    1218112106xt "-172000,118000,-146500,118800"
    12182 st "SIGNAL trigger_enable               : std_logic
    12183 "
     12107st "SIGNAL trigger_enable               : std_logic"
    1218412108)
    1218512109)
     
    1286812792st "-- --
    1286912793--      drs_dwrite : out std_logic := '1';
    12870 SIGNAL drs_readout_ready            : std_logic                                    := '0'
    12871 "
     12794SIGNAL drs_readout_ready            : std_logic                                    := '0'"
    1287212795)
    1287312796)
     
    1288612809)
    1288712810xt "-172000,82000,-146500,82800"
    12888 st "SIGNAL drs_readout_ready_ack        : std_logic
    12889 "
     12811st "SIGNAL drs_readout_ready_ack        : std_logic"
    1289012812)
    1289112813)
     
    1314113063)
    1314213064xt "-172000,61200,-125500,62000"
    13143 st "SIGNAL c_trigger_enable             : std_logic                                    := '0'
    13144 "
     13065st "SIGNAL c_trigger_enable             : std_logic                                    := '0'"
    1314513066)
    1314613067)
     
    1365613577)
    1365713578xt "-172000,4000,-140500,4800"
    13658 st "D_T_in                       : std_logic_vector(1 DOWNTO 0)
    13659 "
     13579st "D_T_in                       : std_logic_vector(1 DOWNTO 0)"
    1366013580)
    1366113581)
     
    1372013640)
    1372113641xt "-172000,12000,-118500,12800"
    13722 st "drs_refclk_in                : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit
    13723 "
     13642st "drs_refclk_in                : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
    1372413643)
    1372513644)
     
    1378513704)
    1378613705xt "-172000,12800,-111000,13600"
    13787 st "plllock_in                   : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked
    13788 "
     13706st "plllock_in                   : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
    1378913707)
    1379013708)
     
    1406613984)
    1406713985xt "-172000,24800,-150000,25600"
    14068 st "alarm_refclk_too_high        : std_logic
    14069 "
     13986st "alarm_refclk_too_high        : std_logic"
    1407013987)
    1407113988)
     
    1412914046)
    1413014047xt "-172000,25600,-150000,26400"
    14131 st "alarm_refclk_too_low         : std_logic
    14132 "
     14048st "alarm_refclk_too_low         : std_logic"
    1413314049)
    1413414050)
     
    1419214108)
    1419314109xt "-172000,27200,-140000,28000"
    14194 st "counter_result               : std_logic_vector(11 DOWNTO 0)
    14195 "
     14110st "counter_result               : std_logic_vector(11 DOWNTO 0)"
    1419614111)
    1419714112)
     
    1488114796)
    1488214797xt "-172000,67600,-112000,68400"
    14883 st "SIGNAL denable_prim                 : std_logic                                    := '0' -- default domino wave off
    14884 "
     14798st "SIGNAL denable_prim                 : std_logic                                    := '0' -- default domino wave off"
    1488514799)
    1488614800)
     
    1490214816)
    1490314817xt "-172000,70800,-112000,71600"
    14904 st "SIGNAL din1                         : std_logic                                    := '0' -- default domino wave off
    14905 "
     14818st "SIGNAL din1                         : std_logic                                    := '0' -- default domino wave off"
    1490614819)
    1490714820)
     
    1492014833)
    1492114834xt "-172000,120400,-146500,121200"
    14922 st "SIGNAL trigger_out                  : std_logic
    14923 "
     14835st "SIGNAL trigger_out                  : std_logic"
    1492414836)
    1492514837)
     
    1494214854)
    1494314855xt "-172000,118800,-136500,119600"
    14944 st "SIGNAL trigger_id                   : std_logic_vector(31 downto 0)
    14945 "
     14856st "SIGNAL trigger_id                   : std_logic_vector(31 downto 0)"
    1494614857)
    1494714858)
     
    1496414875)
    1496514876xt "-172000,49200,-119500,50000"
    14966 st "SIGNAL DCM_PS_status                : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
    14967 "
     14877st "SIGNAL DCM_PS_status                : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    1496814878)
    1496914879)
     
    1518815098)
    1518915099xt "-172000,71600,-119500,72400"
    15190 st "SIGNAL dna                          : STD_LOGIC_VECTOR(63 DOWNTO 0)                := (others => '0')
    15191 "
     15100st "SIGNAL dna                          : STD_LOGIC_VECTOR(63 DOWNTO 0)                := (others => '0')"
    1519215101)
    1519315102)
     
    1520915118)
    1521015119xt "-172000,98800,-125500,99600"
    15211 st "SIGNAL ready                        : STD_LOGIC                                    := '0'
    15212 "
     15120st "SIGNAL ready                        : STD_LOGIC                                    := '0'"
    1521315121)
    1521415122)
     
    1574315651)
    1574415652xt "-172000,87600,-146500,88400"
    15745 st "SIGNAL enable_i                     : std_logic
    15746 "
     15653st "SIGNAL enable_i                     : std_logic"
    1574715654)
    1574815655)
     
    1595415861)
    1595515862xt "-172000,100400,-146500,101200"
    15956 st "SIGNAL reset_synch_i                : std_logic
    15957 "
     15863st "SIGNAL reset_synch_i                : std_logic"
    1595815864)
    1595915865)
     
    1597315879)
    1597415880xt "-172000,117200,-136500,118000"
    15975 st "SIGNAL time                         : std_logic_vector(31 DOWNTO 0)
    15976 "
     15881st "SIGNAL time                         : std_logic_vector(31 DOWNTO 0)"
    1597715882)
    1597815883)
     
    1599415899)
    1599515900xt "-172000,103600,-132000,104400"
    15996 st "SIGNAL rs465_data                   : std_logic_vector(55 DOWNTO 0) --7 byte
    15997 "
     15901st "SIGNAL rs465_data                   : std_logic_vector(55 DOWNTO 0) --7 byte"
    1599815902)
    1599915903)
     
    1602315927-- during EVT header wrinting, this field is left out ... and only written into event header,
    1602415928-- when the DRS chip were read out already.
    16025 SIGNAL FTM_RS485_ready              : std_logic
    16026 "
     15929SIGNAL FTM_RS485_ready              : std_logic"
    1602715930)
    1602815931)
     
    1604315946)
    1604415947xt "-172000,62000,-136500,62800"
    16045 st "SIGNAL c_trigger_mult               : std_logic_vector(15 DOWNTO 0)
    16046 "
     15948st "SIGNAL c_trigger_mult               : std_logic_vector(15 DOWNTO 0)"
    1604715949)
    1604815950)
     
    1606215964)
    1606315965xt "-172000,66000,-146500,66800"
    16064 st "SIGNAL data_ram_empty               : std_logic
    16065 "
     15966st "SIGNAL data_ram_empty               : std_logic"
    1606615967)
    1606715968)
     
    1612616027)
    1612716028xt "-172000,15200,-150000,16000"
    16128 st "ADC_CLK                      : std_logic
    16129 "
     16029st "ADC_CLK                      : std_logic"
    1613016030)
    1613116031)
     
    1652016420)
    1652116421xt "-172000,63600,-120000,64400"
    16522 st "SIGNAL current_dac_array            : dac_array_type                               := ( others => 0)
    16523 "
     16422st "SIGNAL current_dac_array            : dac_array_type                               := ( others => 0)"
    1652416423)
    1652516424)
     
    1654016439)
    1654116440xt "-172000,119600,-146500,120400"
    16542 st "SIGNAL trigger_or_s_trigger         : std_logic
    16543 "
     16441st "SIGNAL trigger_or_s_trigger         : std_logic"
    1654416442)
    1654516443)
     
    1656016458)
    1656116459xt "-172000,88400,-146500,89200"
    16562 st "SIGNAL enabled_trigger_or_s_trigger : std_logic
    16563 "
     16460st "SIGNAL enabled_trigger_or_s_trigger : std_logic"
    1656416461)
    1656516462)
     
    1657816475)
    1657916476xt "-172000,62800,-146500,63600"
    16580 st "SIGNAL cont_trigger                 : std_logic
    16581 "
     16477st "SIGNAL cont_trigger                 : std_logic"
    1658216478)
    1658316479)
     
    1659616492)
    1659716493xt "-172000,106800,-146500,107600"
    16598 st "SIGNAL s_trigger_or_cont_trigger    : std_logic
    16599 "
     16494st "SIGNAL s_trigger_or_cont_trigger    : std_logic"
    1660016495)
    1660116496)
     
    1661716512)
    1661816513xt "-172000,64400,-98500,65200"
    16619 st "SIGNAL dac_setting                  : dac_array_type                               := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd
    16620 "
     16514st "SIGNAL dac_setting                  : dac_array_type                               := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd"
    1662116515)
    1662216516)
     
    1663516529)
    1663616530xt "-172000,102800,-144000,103600"
    16637 st "SIGNAL roi_setting                  : roi_array_type
    16638 "
     16531st "SIGNAL roi_setting                  : roi_array_type"
    1663916532)
    1664016533)
     
    1665416547)
    1665516548xt "-172000,90000,-125500,90800"
    16656 st "SIGNAL memory_manager_config_start  : std_logic                                    := '0'
    16657 "
     16549st "SIGNAL memory_manager_config_start  : std_logic                                    := '0'"
    1665816550)
    1665916551)
     
    1667216564)
    1667316565xt "-172000,90800,-146500,91600"
    16674 st "SIGNAL memory_manager_config_valid  : std_logic
    16675 "
     16566st "SIGNAL memory_manager_config_valid  : std_logic"
    1667616567)
    1667716568)
     
    1669116582)
    1669216583xt "-172000,112400,-125500,113200"
    16693 st "SIGNAL spi_interface_config_start   : std_logic                                    := '0'
    16694 "
     16584st "SIGNAL spi_interface_config_start   : std_logic                                    := '0'"
    1669516585)
    1669616586)
     
    1670916599)
    1671016600xt "-172000,113200,-146500,114000"
    16711 st "SIGNAL spi_interface_config_valid   : std_logic
    16712 "
     16601st "SIGNAL spi_interface_config_valid   : std_logic"
    1671316602)
    1671416603)
     
    1766017549)
    1766117550xt "-172000,73200,-146500,74000"
    17662 st "SIGNAL dout0                        : STD_LOGIC
    17663 "
     17551st "SIGNAL dout0                        : STD_LOGIC"
    1766417552)
    1766517553)
     
    1767817566)
    1767917567xt "-172000,74000,-146500,74800"
    17680 st "SIGNAL dout1                        : STD_LOGIC
    17681 "
     17568st "SIGNAL dout1                        : STD_LOGIC"
    1768217569)
    1768317570)
     
    1769617583)
    1769717584xt "-172000,74800,-146500,75600"
    17698 st "SIGNAL dout2                        : STD_LOGIC
    17699 "
     17585st "SIGNAL dout2                        : STD_LOGIC"
    1770017586)
    1770117587)
     
    1771417600)
    1771517601xt "-172000,75600,-146500,76400"
    17716 st "SIGNAL dout3                        : STD_LOGIC
    17717 "
     17602st "SIGNAL dout3                        : STD_LOGIC"
    1771817603)
    1771917604)
     
    1818018065)
    1818118066xt "-172000,72400,-146500,73200"
    18182 st "SIGNAL dout                         : STD_LOGIC
    18183 "
     18067st "SIGNAL dout                         : STD_LOGIC"
    1818418068)
    1818518069)
     
    1819818082)
    1819918083xt "-172000,56400,-146500,57200"
    18200 st "SIGNAL I_really_want_dwrite         : STD_LOGIC
    18201 "
     18084st "SIGNAL I_really_want_dwrite         : STD_LOGIC"
    1820218085)
    1820318086)
     
    1821718100)
    1821818101xt "-172000,85200,-125500,86000"
    18219 st "SIGNAL dwrite_enable_w5300          : std_logic                                    := '1'
    18220 "
     18102st "SIGNAL dwrite_enable_w5300          : std_logic                                    := '1'"
    1822118103)
    1822218104)
     
    1823618118)
    1823718119xt "-172000,86000,-125500,86800"
    18238 st "SIGNAL dwrite_global_enable         : std_logic                                    := '1'
    18239 "
     18120st "SIGNAL dwrite_global_enable         : std_logic                                    := '1'"
    1824018121)
    1824118122)
     
    1862118502)
    1862218503xt "-172000,76400,-146500,77200"
    18623 st "SIGNAL dout4                        : STD_LOGIC
    18624 "
     18504st "SIGNAL dout4                        : STD_LOGIC"
    1862518505)
    1862618506)
     
    1864018520)
    1864118521xt "-172000,86800,-125500,87600"
    18642 st "SIGNAL dwrite_trigger_manager       : std_logic                                    := '1'
    18643 "
     18522st "SIGNAL dwrite_trigger_manager       : std_logic                                    := '1'"
    1864418523)
    1864518524)
     
    1920119080)
    1920219081xt "-172000,68400,-112000,69200"
    19203 st "SIGNAL denable_sig                  : std_logic                                    := '0' -- default domino wave off
    19204 "
     19082st "SIGNAL denable_sig                  : std_logic                                    := '0' -- default domino wave off"
    1920519083)
    1920619084)
     
    1921919097)
    1922019098xt "-172000,50000,-146500,50800"
    19221 st "SIGNAL DCM_locked_status            : std_logic
    19222 "
     19099st "SIGNAL DCM_locked_status            : std_logic"
    1922319100)
    1922419101)
     
    1923719114)
    1923819115xt "-172000,50800,-146500,51600"
    19239 st "SIGNAL DCM_ready_status             : std_logic
    19240 "
     19116st "SIGNAL DCM_ready_status             : std_logic"
    1924119117)
    1924219118)
     
    1925619132)
    1925719133xt "-172000,39200,-129000,40000"
    19258 st "trigger_veto                 : std_logic                                    := '1'
    19259 "
     19134st "trigger_veto                 : std_logic                                    := '1'"
    1926019135)
    1926119136)
     
    1991019785)
    1991119786xt "-172000,4800,-150000,5600"
    19912 st "FTM_RS485_rx_d               : std_logic
    19913 "
     19787st "FTM_RS485_rx_d               : std_logic"
    1991419788)
    1991519789)
     
    1992819802)
    1992919803xt "-172000,20000,-150000,20800"
    19930 st "FTM_RS485_tx_d               : std_logic
    19931 "
     19804st "FTM_RS485_tx_d               : std_logic"
    1993219805)
    1993319806)
     
    1994619819)
    1994719820xt "-172000,19200,-150000,20000"
    19948 st "FTM_RS485_rx_en              : std_logic
    19949 "
     19821st "FTM_RS485_rx_en              : std_logic"
    1995019822)
    1995119823)
     
    1996419836)
    1996519837xt "-172000,20800,-150000,21600"
    19966 st "FTM_RS485_tx_en              : std_logic
    19967 "
     19838st "FTM_RS485_tx_en              : std_logic"
    1996819839)
    1996919840)
     
    1998319854)
    1998419855xt "-172000,99600,-125500,100400"
    19985 st "SIGNAL rec_timeout_occured          : std_logic                                    := '0'
    19986 "
     19856st "SIGNAL rec_timeout_occured          : std_logic                                    := '0'"
    1998719857)
    1998819858)
     
    2000219872)
    2000319873xt "-172000,101200,-125500,102000"
    20004 st "SIGNAL reset_trigger_id             : std_logic                                    := '0'
    20005 "
     19874st "SIGNAL reset_trigger_id             : std_logic                                    := '0'"
    2000619875)
    2000719876)
     
    2006719936)
    2006819937xt "-172000,40000,-115000,40800"
    20069 st "w5300_state                  : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
    20070 "
     19938st "w5300_state                  : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging."
    2007119939)
    2007219940)
     
    2008519953)
    2008619954xt "-172000,28800,-150000,29600"
    20087 st "debug_data_ram_empty         : std_logic
    20088 "
     19955st "debug_data_ram_empty         : std_logic"
    2008919956)
    2009019957)
     
    2014720014)
    2014820015xt "-172000,29600,-150000,30400"
    20149 st "debug_data_valid             : std_logic
    20150 "
     20016st "debug_data_valid             : std_logic"
    2015120017)
    2015220018)
     
    2040220268)
    2040320269xt "-172000,111600,-146500,112400"
    20404 st "SIGNAL software_trigger_in          : std_logic
    20405 "
     20270st "SIGNAL software_trigger_in          : std_logic"
    2040620271)
    2040720272)
     
    2046820333)
    2046920334xt "-172000,34400,-115000,35200"
    20470 st "mem_manager_state            : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
    20471 "
     20335st "mem_manager_state            : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging."
    2047220336)
    2047320337)
     
    2048620350)
    2048720351xt "-172000,89200,-146500,90000"
    20488 st "SIGNAL is_idle                      : std_logic
    20489 "
     20352st "SIGNAL is_idle                      : std_logic"
    2049020353)
    2049120354)
     
    2055220415xt "-172000,17600,-140500,19200"
    2055320416st "-- for debugging
    20554 DG_state                     : std_logic_vector(7 downto 0)
    20555 "
     20417DG_state                     : std_logic_vector(7 downto 0)"
    2055620418)
    2055720419)
     
    2137321235)
    2137421236xt "-172000,66800,-125500,67600"
    21375 st "SIGNAL data_valid_ack               : std_logic                                    := '0'
    21376 "
     21237st "SIGNAL data_valid_ack               : std_logic                                    := '0'"
    2137721238)
    2137821239)
     
    2139221253)
    2139321254xt "-172000,70000,-125500,70800"
    21394 st "SIGNAL dg_start_config              : std_logic                                    := '0'
    21395 "
     21255st "SIGNAL dg_start_config              : std_logic                                    := '0'"
    2139621256)
    2139721257)
     
    2141021270)
    2141121271xt "-172000,69200,-146500,70000"
    21412 st "SIGNAL dg_config_done               : std_logic
    21413 "
     21272st "SIGNAL dg_config_done               : std_logic"
    2141421273)
    2141521274)
     
    2143321292xt "-172000,104400,-136500,106000"
    2143421293st "-- EVT HEADER - part 6
    21435 SIGNAL runnumber                    : std_logic_vector(31 downto 0)
    21436 "
     21294SIGNAL runnumber                    : std_logic_vector(31 downto 0)"
    2143721295)
    2143821296)
     
    2145421312)
    2145521313xt "-172000,38400,-125000,39200"
    21456 st "socket_tx_free_out           : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
    21457 "
     21314st "socket_tx_free_out           : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true"
    2145821315)
    2145921316)
     
    2924629103va (VaSet
    2924729104)
    29248 xt "-163000,-15000,-144800,-2000"
     29105xt "-163000,-15000,-147500,-2000"
    2924929106st "library ieee;
    2925029107use ieee.std_logic_1164.all;
     
    2929329150isHidden 1
    2929429151)
    29295 xt "20000,2000,28200,4000"
     29152xt "20000,2000,27500,4000"
    2929629153st "`resetall
    2929729154`timescale 1ns/10ps"
     
    2933729194associable 1
    2933829195)
    29339 windowSize "0,0,1681,1028"
    29340 viewArea "66700,94575,176350,161025"
     29196windowSize "0,0,1281,1024"
     29197viewArea "55772,49755,165154,139961"
    2934129198cachedDiagramExtent "-174000,-16000,261100,353300"
    2934229199pageSetupInfo (PageSetupInfo
     
    2936429221hasePageBreakOrigin 1
    2936529222pageBreakOrigin "-73000,0"
    29366 lastUid 28291,0
     29223lastUid 28504,0
    2936729224defaultCommentText (CommentText
    2936829225shape (Rectangle
     
    2937929236fg "0,0,32768"
    2938029237)
    29381 xt "200,200,2400,1200"
     29238xt "200,200,2000,1200"
    2938229239st "
    2938329240Text
     
    2979729654va (VaSet
    2979829655)
    29799 xt "200,200,2400,1200"
     29656xt "200,200,2000,1200"
    2980029657st "
    2980129658Text
     
    3013529992va (VaSet
    3013629993)
    30137 xt "0,-1100,12900,-100"
     29994xt "0,-1100,12600,-100"
    3013829995st "g0: FOR i IN 0 TO n GENERATE"
    3013929996tm "FrameTitleTextMgr"
     
    3019530052va (VaSet
    3019630053)
    30197 xt "0,-1100,7700,-100"
     30054xt "0,-1100,7400,-100"
    3019830055st "b0: BLOCK (guard)"
    3019930056tm "FrameTitleTextMgr"
  • TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/struct.bd.bak

    r10883 r10901  
    599599(vvPair
    600600variable "time"
    601 value "13:56:52"
     601value "14:53:43"
    602602)
    603603(vvPair
     
    690690font "Courier New,8,0"
    691691)
    692 xt "-172000,125200,-125500,126000"
    693 st "SIGNAL write_ea                     : std_logic_vector(0 downto 0)                 := \"0\""
     692xt "-172000,126000,-125500,126800"
     693st "SIGNAL write_ea                     : std_logic_vector(0 downto 0)                 := \"0\"
     694"
    694695)
    695696)
     
    708709font "Courier New,8,0"
    709710)
    710 xt "-172000,59600,-129000,60400"
    711 st "SIGNAL addr_out                     : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
     711xt "-172000,60400,-129000,61200"
     712st "SIGNAL addr_out                     : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     713"
    712714)
    713715)
     
    726728font "Courier New,8,0"
    727729)
    728 xt "-172000,64400,-136500,65200"
    729 st "SIGNAL data_out                     : std_logic_vector(63 DOWNTO 0)"
     730xt "-172000,65200,-136500,66000"
     731st "SIGNAL data_out                     : std_logic_vector(63 DOWNTO 0)
     732"
    730733)
    731734)
     
    744747font "Courier New,8,0"
    745748)
    746 xt "-172000,94000,-129000,94800"
    747 st "SIGNAL ram_addr                     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
     749xt "-172000,94800,-129000,95600"
     750st "SIGNAL ram_addr                     : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)
     751"
    748752)
    749753)
     
    762766font "Courier New,8,0"
    763767)
    764 xt "-172000,94800,-136500,95600"
    765 st "SIGNAL ram_data                     : std_logic_vector(15 downto 0)"
     768xt "-172000,95600,-136500,96400"
     769st "SIGNAL ram_data                     : std_logic_vector(15 downto 0)
     770"
    766771)
    767772)
     
    780785font "Courier New,8,0"
    781786)
    782 xt "-172000,42400,-129000,43200"
    783 st "wiz_reset                    : std_logic                                    := '1'"
     787xt "-172000,43200,-129000,44000"
     788st "wiz_reset                    : std_logic                                    := '1'
     789"
    784790)
    785791)
     
    798804font "Courier New,8,0"
    799805)
    800 xt "-172000,40000,-140500,40800"
    801 st "wiz_addr                     : std_logic_vector(9 DOWNTO 0)"
     806xt "-172000,40800,-140500,41600"
     807st "wiz_addr                     : std_logic_vector(9 DOWNTO 0)
     808"
    802809)
    803810)
     
    816823font "Courier New,8,0"
    817824)
    818 xt "-172000,44800,-140000,45600"
    819 st "wiz_data                     : std_logic_vector(15 DOWNTO 0)"
     825xt "-172000,45600,-140000,46400"
     826st "wiz_data                     : std_logic_vector(15 DOWNTO 0)
     827"
    820828)
    821829)
     
    834842font "Courier New,8,0"
    835843)
    836 xt "-172000,40800,-129000,41600"
    837 st "wiz_cs                       : std_logic                                    := '1'"
     844xt "-172000,41600,-129000,42400"
     845st "wiz_cs                       : std_logic                                    := '1'
     846"
    838847)
    839848)
     
    852861font "Courier New,8,0"
    853862)
    854 xt "-172000,43200,-129000,44000"
    855 st "wiz_wr                       : std_logic                                    := '1'"
     863xt "-172000,44000,-129000,44800"
     864st "wiz_wr                       : std_logic                                    := '1'
     865"
    856866)
    857867)
     
    870880font "Courier New,8,0"
    871881)
    872 xt "-172000,41600,-129000,42400"
    873 st "wiz_rd                       : std_logic                                    := '1'"
     882xt "-172000,42400,-129000,43200"
     883st "wiz_rd                       : std_logic                                    := '1'
     884"
    874885)
    875886)
     
    888899)
    889900xt "-172000,14400,-150000,15200"
    890 st "wiz_int                      : std_logic"
     901st "wiz_int                      : std_logic
     902"
    891903)
    892904)
     
    33633375)
    33643376xt "-172000,10400,-140500,11200"
    3365 st "board_id                     : std_logic_vector(3 DOWNTO 0)"
     3377st "board_id                     : std_logic_vector(3 DOWNTO 0)
     3378"
    33663379)
    33673380)
     
    33823395)
    33833396xt "-172000,13600,-150000,14400"
    3384 st "trigger                      : std_logic"
     3397st "trigger                      : std_logic
     3398"
    33853399)
    33863400)
     
    34643478preAdd 0
    34653479posAdd 0
    3466 o 5
     3480o 6
    34673481suid 1,0
    34683482)
     
    35023516preAdd 0
    35033517posAdd 0
    3504 o 6
     3518o 7
    35053519suid 2,0
    35063520i "'1'"
     
    35423556preAdd 0
    35433557posAdd 0
    3544 o 7
     3558o 8
    35453559suid 3,0
    35463560)
     
    35813595preAdd 0
    35823596posAdd 0
    3583 o 8
     3597o 9
    35843598suid 4,0
    35853599)
     
    36193633preAdd 0
    36203634posAdd 0
    3621 o 9
     3635o 10
    36223636suid 5,0
    36233637i "'1'"
     
    36583672preAdd 0
    36593673posAdd 0
    3660 o 10
     3674o 11
    36613675suid 6,0
    36623676i "'1'"
     
    36973711preAdd 0
    36983712posAdd 0
    3699 o 12
     3713o 13
    37003714suid 8,0
    37013715i "'1'"
     
    37353749preAdd 0
    37363750posAdd 0
    3737 o 13
     3751o 14
    37383752suid 9,0
    37393753)
     
    37723786preAdd 0
    37733787posAdd 0
    3774 o 14
     3788o 15
    37753789suid 10,0
    37763790)
     
    38093823preAdd 0
    38103824posAdd 0
    3811 o 15
     3825o 16
    38123826suid 11,0
    38133827)
     
    38463860preAdd 0
    38473861posAdd 0
    3848 o 16
     3862o 17
    38493863suid 12,0
    38503864)
     
    38843898preAdd 0
    38853899posAdd 0
    3886 o 17
     3900o 18
    38873901suid 13,0
    38883902)
     
    39203934preAdd 0
    39213935posAdd 0
    3922 o 18
     3936o 19
    39233937suid 14,0
    39243938)
     
    39573971preAdd 0
    39583972posAdd 0
    3959 o 20
     3973o 21
    39603974suid 15,0
    39613975i "'1'"
     
    39944008b "(3 downto 0)"
    39954009posAdd 0
    3996 o 23
     4010o 24
    39974011suid 20,0
    39984012)
     
    40284042n "write_end_flag"
    40294043t "std_logic"
    4030 o 22
     4044o 23
    40314045suid 18,0
    40324046)
     
    40624076n "write_header_flag"
    40634077t "std_logic"
    4064 o 21
     4078o 22
    40654079suid 19,0
    40664080)
     
    41004114b "(7 DOWNTO 0)"
    41014115posAdd 0
    4102 o 11
     4116o 12
    41034117suid 22,0
    41044118i "(OTHERS => '0')"
     
    41384152prec "-- softtrigger:"
    41394153preAdd 0
    4140 o 24
     4154o 25
    41414155suid 23,0
    41424156i "'0'"
     
    41784192preAdd 0
    41794193posAdd 0
    4180 o 40
     4194o 41
    41814195suid 31,0
    41824196i "'0'"
     
    42184232preAdd 0
    42194233posAdd 0
    4220 o 41
     4234o 42
    42214235suid 32,0
    42224236i "'1'"
     
    42544268n "data_valid_ack"
    42554269t "std_logic"
    4256 o 19
     4270o 20
    42574271suid 34,0
    42584272i "'0'"
     
    42934307eolc "-- default DWRITE HIGH."
    42944308posAdd 0
    4295 o 42
     4309o 43
    42964310suid 35,0
    42974311i "'1'"
     
    43384352preAdd 0
    43394353posAdd 0
    4340 o 44
     4354o 45
    43414355suid 36,0
    43424356i "'1'"
     
    43784392preAdd 0
    43794393posAdd 0
    4380 o 45
     4394o 46
    43814395suid 37,0
    43824396i "'0'"
     
    44174431eolc "-- pulse this to reset the variable phase shift"
    44184432posAdd 0
    4419 o 46
     4433o 47
    44204434suid 38,0
    44214435i "'0'"
     
    44564470eolc "-- default SRCLK on."
    44574471posAdd 0
    4458 o 43
     4472o 44
    44594473suid 39,0
    44604474i "'1'"
     
    44954509posc "------------------------------------------------------------------------------"
    44964510posAdd 0
    4497 o 49
     4511o 50
    44984512suid 42,0
    44994513)
     
    45374551------------------------------------------------------------------------------"
    45384552preAdd 0
    4539 o 48
     4553o 49
    45404554suid 43,0
    45414555)
     
    45794593preAdd 0
    45804594posAdd 0
    4581 o 39
     4595o 40
    45824596suid 44,0
    45834597)
     
    46144628n "c_trigger_enable"
    46154629t "std_logic"
    4616 o 25
     4630o 26
    46174631suid 45,0
    46184632i "'0'"
     
    46534667eolc "--subject to changes"
    46544668posAdd 0
    4655 o 26
     4669o 27
    46564670suid 46,0
    46574671i "conv_std_logic_vector(0 ,16)"
     
    46944708------------------------------------------------------------------------------"
    46954709preAdd 0
    4696 o 36
     4710o 37
    46974711suid 48,0
    46984712)
     
    47294743t "std_logic_vector"
    47304744b "(3 downto 0)"
    4731 o 37
     4745o 38
    47324746suid 49,0
    47334747)
     
    47654779b "(1 downto 0)"
    47664780posAdd 0
    4767 o 38
     4781o 39
    47684782suid 50,0
    47694783)
     
    48054819preAdd 0
    48064820posAdd 0
    4807 o 31
     4821o 32
    48084822suid 54,0
    48094823i "DEFAULT_DAC"
     
    48444858------------------------------------------------------------------------------"
    48454859preAdd 0
    4846 o 27
     4860o 28
    48474861suid 59,0
    48484862i "'0'"
     
    48794893n "memory_manager_config_valid_i"
    48804894t "std_logic"
    4881 o 28
     4895o 29
    48824896suid 60,0
    48834897)
     
    49174931preAdd 0
    49184932posAdd 0
    4919 o 32
     4933o 33
    49204934suid 61,0
    49214935i "DEFAULT_ROI"
     
    49534967n "spi_interface_config_start_o"
    49544968t "std_logic"
    4955 o 29
     4969o 30
    49564970suid 63,0
    49574971i "'0'"
     
    49895003t "std_logic"
    49905004posAdd 0
    4991 o 30
     5005o 31
    49925006suid 64,0
    49935007)
     
    50245038t "std_logic"
    50255039preAdd 0
    5026 o 35
     5040o 36
    50275041suid 65,0
    50285042)
     
    50595073n "ps_ready"
    50605074t "std_logic"
    5061 o 47
     5075o 48
    50625076suid 66,0
    50635077)
     
    50955109t "std_logic_vector"
    50965110b "(31 DOWNTO 0)"
    5097 o 33
     5111o 34
    50985112suid 67,0
    5099 i "conv_std_logic_vector(0 ,31)"
     5113i "conv_std_logic_vector(0 ,32)"
    51005114)
    51015115)
     
    51325146n "reset_trigger_id"
    51335147t "std_logic"
    5134 o 34
     5148o 35
    51355149suid 68,0
    51365150i "'0'"
     
    52835297)
    52845298)
     5299*135 (CptPort
     5300uid 28264,0
     5301ps "OnEdgeStrategy"
     5302shape (Triangle
     5303uid 28265,0
     5304ro 90
     5305va (VaSet
     5306vasetType 1
     5307fg "0,65535,0"
     5308)
     5309xt "124000,113625,124750,114375"
     5310)
     5311tg (CPTG
     5312uid 28266,0
     5313ps "CptPortTextPlaceStrategy"
     5314stg "RightVerticalLayoutStrategy"
     5315f (Text
     5316uid 28267,0
     5317va (VaSet
     5318)
     5319xt "111100,113500,123000,114500"
     5320st "socket_tx_free_out : (16:0)"
     5321ju 2
     5322blo "123000,114300"
     5323)
     5324)
     5325thePort (LogicalPort
     5326m 1
     5327decl (Decl
     5328n "socket_tx_free_out"
     5329t "std_logic_vector"
     5330b "(16 DOWNTO 0)"
     5331eolc "-- 17bit value .. that's true"
     5332posAdd 0
     5333o 5
     5334suid 73,0
     5335)
     5336)
     5337)
    52855338]
    52865339shape (Rectangle
     
    53005353stg "VerticalLayoutStrategy"
    53015354textVec [
    5302 *135 (Text
     5355*136 (Text
    53035356uid 1609,0
    53045357va (VaSet
     
    53105363tm "BdLibraryNameMgr"
    53115364)
    5312 *136 (Text
     5365*137 (Text
    53135366uid 1610,0
    53145367va (VaSet
     
    53205373tm "CptNameMgr"
    53215374)
    5322 *137 (Text
     5375*138 (Text
    53235376uid 1611,0
    53245377va (VaSet
     
    53735426archFileType "UNKNOWN"
    53745427)
    5375 *138 (Net
     5428*139 (Net
    53765429uid 1680,0
    53775430decl (Decl
     
    53885441)
    53895442xt "-172000,11200,-140500,12000"
    5390 st "crate_id                     : std_logic_vector(1 DOWNTO 0)"
    5391 )
    5392 )
    5393 *139 (SaComponent
     5443st "crate_id                     : std_logic_vector(1 DOWNTO 0)
     5444"
     5445)
     5446)
     5447*140 (SaComponent
    53945448uid 1768,0
    53955449optionalChildren [
    5396 *140 (CptPort
     5450*141 (CptPort
    53975451uid 1760,0
    53985452ps "OnEdgeStrategy"
     
    54345488)
    54355489)
    5436 *141 (CptPort
     5490*142 (CptPort
    54375491uid 1764,0
    54385492ps "OnEdgeStrategy"
     
    54715525)
    54725526)
    5473 *142 (CptPort
     5527*143 (CptPort
    54745528uid 6207,0
    54755529ps "OnEdgeStrategy"
     
    55065560)
    55075561)
    5508 *143 (CptPort
     5562*144 (CptPort
    55095563uid 23079,0
    55105564ps "OnEdgeStrategy"
     
    55585612stg "VerticalLayoutStrategy"
    55595613textVec [
    5560 *144 (Text
     5614*145 (Text
    55615615uid 1771,0
    55625616va (VaSet
     
    55695623tm "BdLibraryNameMgr"
    55705624)
    5571 *145 (Text
     5625*146 (Text
    55725626uid 1772,0
    55735627va (VaSet
     
    55805634tm "CptNameMgr"
    55815635)
    5582 *146 (Text
     5636*147 (Text
    55835637uid 1773,0
    55845638va (VaSet
     
    56295683archFileType "UNKNOWN"
    56305684)
    5631 *147 (Net
     5685*148 (Net
    56325686uid 2297,0
    56335687decl (Decl
     
    56455699font "Courier New,8,0"
    56465700)
    5647 xt "-172000,95600,-129000,96400"
    5648 st "SIGNAL ram_start_addr               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
    5649 )
    5650 )
    5651 *148 (Net
     5701xt "-172000,96400,-129000,97200"
     5702st "SIGNAL ram_start_addr               : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)
     5703"
     5704)
     5705)
     5706*149 (Net
    56525707uid 2474,0
    56535708lang 2
     
    56645719font "Courier New,8,0"
    56655720)
    5666 xt "-172000,122000,-125500,122800"
    5667 st "SIGNAL wiz_write_ea                 : std_logic                                    := '0'"
    5668 )
    5669 )
    5670 *149 (Net
     5721xt "-172000,122800,-125500,123600"
     5722st "SIGNAL wiz_write_ea                 : std_logic                                    := '0'
     5723"
     5724)
     5725)
     5726*150 (Net
    56715727uid 2480,0
    56725728lang 2
     
    56845740font "Courier New,8,0"
    56855741)
    5686 xt "-172000,124400,-119500,125200"
    5687 st "SIGNAL wiz_write_length             : std_logic_vector(16 downto 0)                := (others => '0')"
    5688 )
    5689 )
    5690 *150 (Net
     5742xt "-172000,125200,-119500,126000"
     5743st "SIGNAL wiz_write_length             : std_logic_vector(16 downto 0)                := (others => '0')
     5744"
     5745)
     5746)
     5747*151 (Net
    56915748uid 2486,0
    56925749lang 2
     
    57055762font "Courier New,8,0"
    57065763)
    5707 xt "-172000,121200,-119500,122000"
    5708 st "SIGNAL wiz_ram_start_addr           : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
    5709 )
    5710 )
    5711 *151 (Net
     5764xt "-172000,122000,-119500,122800"
     5765st "SIGNAL wiz_ram_start_addr           : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')
     5766"
     5767)
     5768)
     5769*152 (Net
    57125770uid 2492,0
    57135771lang 2
     
    57255783font "Courier New,8,0"
    57265784)
    5727 xt "-172000,120400,-119500,121200"
    5728 st "SIGNAL wiz_number_of_channels       : std_logic_vector(3 downto 0)                 := (others => '0')"
    5729 )
    5730 )
    5731 *152 (Net
     5785xt "-172000,121200,-119500,122000"
     5786st "SIGNAL wiz_number_of_channels       : std_logic_vector(3 downto 0)                 := (others => '0')
     5787"
     5788)
     5789)
     5790*153 (Net
    57325791uid 2498,0
    57335792lang 2
     
    57445803font "Courier New,8,0"
    57455804)
    5746 xt "-172000,122800,-125500,123600"
    5747 st "SIGNAL wiz_write_end                : std_logic                                    := '0'"
    5748 )
    5749 )
    5750 *153 (Net
     5805xt "-172000,123600,-125500,124400"
     5806st "SIGNAL wiz_write_end                : std_logic                                    := '0'
     5807"
     5808)
     5809)
     5810*154 (Net
    57515811uid 2504,0
    57525812lang 2
     
    57635823font "Courier New,8,0"
    57645824)
    5765 xt "-172000,123600,-125500,124400"
    5766 st "SIGNAL wiz_write_header             : std_logic                                    := '0'"
    5767 )
    5768 )
    5769 *154 (Net
     5825xt "-172000,124400,-125500,125200"
     5826st "SIGNAL wiz_write_header             : std_logic                                    := '0'
     5827"
     5828)
     5829)
     5830*155 (Net
    57705831uid 2574,0
    57715832decl (Decl
     
    57805841font "Courier New,8,0"
    57815842)
    5782 xt "-172000,96400,-146500,97200"
    5783 st "SIGNAL ram_write_ea                 : std_logic"
    5784 )
    5785 )
    5786 *155 (Net
     5843xt "-172000,97200,-146500,98000"
     5844st "SIGNAL ram_write_ea                 : std_logic
     5845"
     5846)
     5847)
     5848*156 (Net
    57875849uid 2580,0
    57885850decl (Decl
     
    57985860font "Courier New,8,0"
    57995861)
    5800 xt "-172000,97200,-125500,98000"
    5801 st "SIGNAL ram_write_ready              : std_logic                                    := '0'"
    5802 )
    5803 )
    5804 *156 (Net
     5862xt "-172000,98000,-125500,98800"
     5863st "SIGNAL ram_write_ready              : std_logic                                    := '0'
     5864"
     5865)
     5866)
     5867*157 (Net
    58055868uid 2598,0
    58065869decl (Decl
     
    58155878font "Courier New,8,0"
    58165879)
    5817 xt "-172000,101200,-145000,102000"
    5818 st "SIGNAL roi_max                      : roi_max_type"
    5819 )
    5820 )
    5821 *157 (Net
     5880xt "-172000,102000,-145000,102800"
     5881st "SIGNAL roi_max                      : roi_max_type
     5882"
     5883)
     5884)
     5885*158 (Net
    58225886uid 2640,0
    58235887decl (Decl
     
    58335897font "Courier New,8,0"
    58345898)
    5835 xt "-172000,90800,-136500,91600"
    5836 st "SIGNAL package_length               : std_logic_vector(15 downto 0)"
    5837 )
    5838 )
    5839 *158 (Net
     5899xt "-172000,91600,-136500,92400"
     5900st "SIGNAL package_length               : std_logic_vector(15 downto 0)
     5901"
     5902)
     5903)
     5904*159 (Net
    58405905uid 2776,0
    58415906decl (Decl
     
    58525917)
    58535918xt "-172000,24000,-129000,24800"
    5854 st "adc_oeb                      : std_logic                                    := '1'"
    5855 )
    5856 )
    5857 *159 (PortIoOut
     5919st "adc_oeb                      : std_logic                                    := '1'
     5920"
     5921)
     5922)
     5923*160 (PortIoOut
    58585924uid 2798,0
    58595925shape (CompositeShape
     
    59005966)
    59015967)
    5902 *160 (PortIoIn
     5968*161 (PortIoIn
    59035969uid 2804,0
    59045970shape (CompositeShape
     
    59456011)
    59466012)
    5947 *161 (PortIoIn
     6013*162 (PortIoIn
    59486014uid 2950,0
    59496015shape (CompositeShape
     
    59906056)
    59916057)
    5992 *162 (PortIoIn
     6058*163 (PortIoIn
    59936059uid 2956,0
    59946060shape (CompositeShape
     
    60356101)
    60366102)
    6037 *163 (Grouping
     6103*164 (Grouping
    60386104uid 3137,0
    60396105optionalChildren [
    6040 *164 (CommentText
     6106*165 (CommentText
    60416107uid 3139,0
    60426108shape (Rectangle
     
    60696135titleBlock 1
    60706136)
    6071 *165 (CommentText
     6137*166 (CommentText
    60726138uid 3142,0
    60736139shape (Rectangle
     
    61006166titleBlock 1
    61016167)
    6102 *166 (CommentText
     6168*167 (CommentText
    61036169uid 3145,0
    61046170shape (Rectangle
     
    61316197titleBlock 1
    61326198)
    6133 *167 (CommentText
     6199*168 (CommentText
    61346200uid 3148,0
    61356201shape (Rectangle
     
    61626228titleBlock 1
    61636229)
    6164 *168 (CommentText
     6230*169 (CommentText
    61656231uid 3151,0
    61666232shape (Rectangle
     
    61926258titleBlock 1
    61936259)
    6194 *169 (CommentText
     6260*170 (CommentText
    61956261uid 3154,0
    61966262shape (Rectangle
     
    62236289titleBlock 1
    62246290)
    6225 *170 (CommentText
     6291*171 (CommentText
    62266292uid 3157,0
    62276293shape (Rectangle
     
    62556321titleBlock 1
    62566322)
    6257 *171 (CommentText
     6323*172 (CommentText
    62586324uid 3160,0
    62596325shape (Rectangle
     
    62866352titleBlock 1
    62876353)
    6288 *172 (CommentText
     6354*173 (CommentText
    62896355uid 3163,0
    62906356shape (Rectangle
     
    63176383titleBlock 1
    63186384)
    6319 *173 (CommentText
     6385*174 (CommentText
    63206386uid 3166,0
    63216387shape (Rectangle
     
    63616427oxt "14000,66000,55000,71000"
    63626428)
    6363 *174 (Net
     6429*175 (Net
    63646430uid 3894,0
    63656431decl (Decl
     
    63756441)
    63766442xt "-172000,16000,-150000,16800"
    6377 st "CLK_25_PS                    : std_logic"
    6378 )
    6379 )
    6380 *175 (PortIoOut
     6443st "CLK_25_PS                    : std_logic
     6444"
     6445)
     6446)
     6447*176 (PortIoOut
    63816448uid 3978,0
    63826449shape (CompositeShape
     
    64226489)
    64236490)
    6424 *176 (Net
     6491*177 (Net
    64256492uid 4068,0
    64266493decl (Decl
     
    64386505)
    64396506xt "-172000,16800,-150000,17600"
    6440 st "CLK_50                       : std_logic"
    6441 )
    6442 )
    6443 *177 (Net
     6507st "CLK_50                       : std_logic
     6508"
     6509)
     6510)
     6511*178 (Net
    64446512uid 4204,0
    64456513decl (Decl
     
    64566524font "Courier New,8,0"
    64576525)
    6458 xt "-172000,47600,-146500,48400"
    6459 st "SIGNAL CLK_25                       : std_logic"
    6460 )
    6461 )
    6462 *178 (PortIoOut
     6526xt "-172000,48400,-146500,49200"
     6527st "SIGNAL CLK_25                       : std_logic
     6528"
     6529)
     6530)
     6531*179 (PortIoOut
    64636532uid 4220,0
    64646533shape (CompositeShape
     
    65046573)
    65056574)
    6506 *179 (Net
     6575*180 (Net
    65076576uid 4232,0
    65086577decl (Decl
     
    65186587)
    65196588xt "-172000,3200,-150000,4000"
    6520 st "CLK                          : std_logic"
    6521 )
    6522 )
    6523 *180 (Net
     6589st "CLK                          : std_logic
     6590"
     6591)
     6592)
     6593*181 (Net
    65246594uid 4260,0
    65256595decl (Decl
     
    65366606)
    65376607xt "-172000,9600,-140500,10400"
    6538 st "adc_otr_array                : std_logic_vector(3 DOWNTO 0)"
    6539 )
    6540 )
    6541 *181 (Net
     6608st "adc_otr_array                : std_logic_vector(3 DOWNTO 0)
     6609"
     6610)
     6611)
     6612*182 (Net
    65426613uid 4270,0
    65436614decl (Decl
     
    65536624)
    65546625xt "-172000,8800,-145000,9600"
    6555 st "adc_data_array               : adc_data_array_type"
    6556 )
    6557 )
    6558 *182 (PortIoIn
     6626st "adc_data_array               : adc_data_array_type
     6627"
     6628)
     6629)
     6630*183 (PortIoIn
    65596631uid 4307,0
    65606632shape (CompositeShape
     
    66016673)
    66026674)
    6603 *183 (Net
     6675*184 (Net
    66046676uid 4399,0
    66056677decl (Decl
     
    66156687font "Courier New,8,0"
    66166688)
    6617 xt "-172000,76400,-125500,77200"
    6618 st "SIGNAL drs_clk_en                   : std_logic                                    := '0'"
    6619 )
    6620 )
    6621 *184 (Net
     6689xt "-172000,77200,-125500,78000"
     6690st "SIGNAL drs_clk_en                   : std_logic                                    := '0'
     6691"
     6692)
     6693)
     6694*185 (Net
    66226695uid 4405,0
    66236696decl (Decl
     
    66326705font "Courier New,8,0"
    66336706)
    6634 xt "-172000,82800,-140500,83600"
    6635 st "SIGNAL drs_s_cell_array             : drs_s_cell_array_type"
    6636 )
    6637 )
    6638 *185 (Net
     6707xt "-172000,83600,-140500,84400"
     6708st "SIGNAL drs_s_cell_array             : drs_s_cell_array_type
     6709"
     6710)
     6711)
     6712*186 (Net
    66396713uid 4417,0
    66406714decl (Decl
     
    66506724font "Courier New,8,0"
    66516725)
    6652 xt "-172000,77200,-125500,78000"
    6653 st "SIGNAL drs_read_s_cell              : std_logic                                    := '0'"
    6654 )
    6655 )
    6656 *186 (Net
     6726xt "-172000,78000,-125500,78800"
     6727st "SIGNAL drs_read_s_cell              : std_logic                                    := '0'
     6728"
     6729)
     6730)
     6731*187 (Net
    66576732uid 4535,0
    66586733decl (Decl
     
    66706745)
    66716746xt "-172000,31200,-123000,32000"
    6672 st "drs_channel_id               : std_logic_vector(3 downto 0)                 := (others => '0')"
    6673 )
    6674 )
    6675 *187 (Net
     6747st "drs_channel_id               : std_logic_vector(3 downto 0)                 := (others => '0')
     6748"
     6749)
     6750)
     6751*188 (Net
    66766752uid 4543,0
    66776753decl (Decl
     
    66886764)
    66896765xt "-172000,32000,-129000,32800"
    6690 st "drs_dwrite                   : std_logic                                    := '1'"
    6691 )
    6692 )
    6693 *188 (PortIoOut
     6766st "drs_dwrite                   : std_logic                                    := '1'
     6767"
     6768)
     6769)
     6770*189 (PortIoOut
    66946771uid 4551,0
    66956772shape (CompositeShape
     
    67366813)
    67376814)
    6738 *189 (PortIoOut
     6815*190 (PortIoOut
    67396816uid 4557,0
    67406817shape (CompositeShape
     
    67816858)
    67826859)
    6783 *190 (Net
     6860*191 (Net
    67846861uid 4669,0
    67856862decl (Decl
     
    67956872)
    67966873xt "-172000,5600,-150000,6400"
    6797 st "SROUT_in_0                   : std_logic"
    6798 )
    6799 )
    6800 *191 (Net
     6874st "SROUT_in_0                   : std_logic
     6875"
     6876)
     6877)
     6878*192 (Net
    68016879uid 4677,0
    68026880decl (Decl
     
    68126890)
    68136891xt "-172000,6400,-150000,7200"
    6814 st "SROUT_in_1                   : std_logic"
    6815 )
    6816 )
    6817 *192 (Net
     6892st "SROUT_in_1                   : std_logic
     6893"
     6894)
     6895)
     6896*193 (Net
    68186897uid 4685,0
    68196898decl (Decl
     
    68296908)
    68306909xt "-172000,7200,-150000,8000"
    6831 st "SROUT_in_2                   : std_logic"
    6832 )
    6833 )
    6834 *193 (Net
     6910st "SROUT_in_2                   : std_logic
     6911"
     6912)
     6913)
     6914*194 (Net
    68356915uid 4693,0
    68366916decl (Decl
     
    68466926)
    68476927xt "-172000,8000,-150000,8800"
    6848 st "SROUT_in_3                   : std_logic"
    6849 )
    6850 )
    6851 *194 (PortIoIn
     6928st "SROUT_in_3                   : std_logic
     6929"
     6930)
     6931)
     6932*195 (PortIoIn
    68526933uid 4701,0
    68536934shape (CompositeShape
     
    68946975)
    68956976)
    6896 *195 (PortIoIn
     6977*196 (PortIoIn
    68976978uid 4707,0
    68986979shape (CompositeShape
     
    69397020)
    69407021)
    6941 *196 (PortIoIn
     7022*197 (PortIoIn
    69427023uid 4713,0
    69437024shape (CompositeShape
     
    69847065)
    69857066)
    6986 *197 (PortIoIn
     7067*198 (PortIoIn
    69877068uid 4719,0
    69887069shape (CompositeShape
     
    70297110)
    70307111)
    7031 *198 (Net
     7112*199 (Net
    70327113uid 4741,0
    70337114decl (Decl
     
    70427123font "Courier New,8,0"
    70437124)
    7044 xt "-172000,78000,-146500,78800"
    7045 st "SIGNAL drs_read_s_cell_ready        : std_logic"
    7046 )
    7047 )
    7048 *199 (SaComponent
     7125xt "-172000,78800,-146500,79600"
     7126st "SIGNAL drs_read_s_cell_ready        : std_logic
     7127"
     7128)
     7129)
     7130*200 (SaComponent
    70497131uid 4903,0
    70507132optionalChildren [
    7051 *200 (CptPort
     7133*201 (CptPort
    70527134uid 4867,0
    70537135ps "OnEdgeStrategy"
     
    70827164)
    70837165)
    7084 *201 (CptPort
     7166*202 (CptPort
    70857167uid 4871,0
    70867168ps "OnEdgeStrategy"
     
    71167198)
    71177199)
    7118 *202 (CptPort
     7200*203 (CptPort
    71197201uid 4875,0
    71207202ps "OnEdgeStrategy"
     
    71507232)
    71517233)
    7152 *203 (CptPort
     7234*204 (CptPort
    71537235uid 4879,0
    71547236ps "OnEdgeStrategy"
     
    71837265)
    71847266)
    7185 *204 (CptPort
     7267*205 (CptPort
    71867268uid 4883,0
    71877269ps "OnEdgeStrategy"
     
    72167298)
    72177299)
    7218 *205 (CptPort
     7300*206 (CptPort
    72197301uid 4887,0
    72207302ps "OnEdgeStrategy"
     
    72497331)
    72507332)
    7251 *206 (CptPort
     7333*207 (CptPort
    72527334uid 4891,0
    72537335ps "OnEdgeStrategy"
     
    72827364)
    72837365)
    7284 *207 (CptPort
     7366*208 (CptPort
    72857367uid 4895,0
    72867368ps "OnEdgeStrategy"
     
    73177399)
    73187400)
    7319 *208 (CptPort
     7401*209 (CptPort
    73207402uid 4899,0
    73217403ps "OnEdgeStrategy"
     
    73537435)
    73547436)
    7355 *209 (CptPort
     7437*210 (CptPort
    73567438uid 4938,0
    73577439ps "OnEdgeStrategy"
     
    73887470)
    73897471)
    7390 *210 (CptPort
     7472*211 (CptPort
    73917473uid 4942,0
    73927474ps "OnEdgeStrategy"
     
    74237505)
    74247506)
    7425 *211 (CptPort
     7507*212 (CptPort
    74267508uid 10272,0
    74277509ps "OnEdgeStrategy"
     
    74587540)
    74597541)
    7460 *212 (CptPort
     7542*213 (CptPort
    74617543uid 10276,0
    74627544ps "OnEdgeStrategy"
     
    74937575)
    74947576)
    7495 *213 (CptPort
     7577*214 (CptPort
    74967578uid 10280,0
    74977579ps "OnEdgeStrategy"
     
    75297611)
    75307612)
    7531 *214 (CptPort
     7613*215 (CptPort
    75327614uid 10284,0
    75337615ps "OnEdgeStrategy"
     
    75657647)
    75667648)
    7567 *215 (CptPort
     7649*216 (CptPort
    75687650uid 10288,0
    75697651ps "OnEdgeStrategy"
     
    76167698stg "VerticalLayoutStrategy"
    76177699textVec [
    7618 *216 (Text
     7700*217 (Text
    76197701uid 4906,0
    76207702va (VaSet
     
    76267708tm "BdLibraryNameMgr"
    76277709)
    7628 *217 (Text
     7710*218 (Text
    76297711uid 4907,0
    76307712va (VaSet
     
    76367718tm "CptNameMgr"
    76377719)
    7638 *218 (Text
     7720*219 (Text
    76397721uid 4908,0
    76407722va (VaSet
     
    76837765archFileType "UNKNOWN"
    76847766)
    7685 *219 (Net
     7767*220 (Net
    76867768uid 4946,0
    76877769decl (Decl
     
    76987780)
    76997781xt "-172000,21600,-129000,22400"
    7700 st "RSRLOAD                      : std_logic                                    := '0'"
    7701 )
    7702 )
    7703 *220 (PortIoOut
     7782st "RSRLOAD                      : std_logic                                    := '0'
     7783"
     7784)
     7785)
     7786*221 (PortIoOut
    77047787uid 4954,0
    77057788shape (CompositeShape
     
    77467829)
    77477830)
    7748 *221 (Net
     7831*222 (Net
    77497832uid 4960,0
    77507833decl (Decl
     
    77617844)
    77627845xt "-172000,22400,-129000,23200"
    7763 st "SRCLK                        : std_logic                                    := '0'"
    7764 )
    7765 )
    7766 *222 (PortIoOut
     7846st "SRCLK                        : std_logic                                    := '0'
     7847"
     7848)
     7849)
     7850*223 (PortIoOut
    77677851uid 4968,0
    77687852shape (CompositeShape
     
    78097893)
    78107894)
    7811 *223 (Net
     7895*224 (Net
    78127896uid 5220,0
    78137897decl (Decl
     
    78267910)
    78277911xt "-172000,33600,-123000,34400"
    7828 st "led                          : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    7829 )
    7830 )
    7831 *224 (Net
     7912st "led                          : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
     7913"
     7914)
     7915)
     7916*225 (Net
    78327917uid 5472,0
    78337918decl (Decl
     
    78427927font "Courier New,8,0"
    78437928)
    7844 xt "-172000,108400,-146500,109200"
    7845 st "SIGNAL sensor_ready                 : std_logic"
    7846 )
    7847 )
    7848 *225 (Net
     7929xt "-172000,109200,-146500,110000"
     7930st "SIGNAL sensor_ready                 : std_logic
     7931"
     7932)
     7933)
     7934*226 (Net
    78497935uid 5478,0
    78507936decl (Decl
     
    78597945font "Courier New,8,0"
    78607946)
    7861 xt "-172000,107600,-142500,108400"
    7862 st "SIGNAL sensor_array                 : sensor_array_type"
    7863 )
    7864 )
    7865 *226 (Net
     7947xt "-172000,108400,-142500,109200"
     7948st "SIGNAL sensor_array                 : sensor_array_type
     7949"
     7950)
     7951)
     7952*227 (Net
    78667953uid 5632,0
    78677954lang 10
     
    78787965font "Courier New,8,0"
    78797966)
    7880 xt "-172000,58800,-137000,59600"
    7881 st "SIGNAL adc_otr                      : std_logic_vector(3 DOWNTO 0)"
    7882 )
    7883 )
    7884 *227 (Net
     7967xt "-172000,59600,-137000,60400"
     7968st "SIGNAL adc_otr                      : std_logic_vector(3 DOWNTO 0)
     7969"
     7970)
     7971)
     7972*228 (Net
    78857973uid 5640,0
    78867974decl (Decl
     
    78957983font "Courier New,8,0"
    78967984)
    7897 xt "-172000,58000,-141500,58800"
    7898 st "SIGNAL adc_data_array_int           : adc_data_array_type"
    7899 )
    7900 )
    7901 *228 (SaComponent
     7985xt "-172000,58800,-141500,59600"
     7986st "SIGNAL adc_data_array_int           : adc_data_array_type
     7987"
     7988)
     7989)
     7990*229 (SaComponent
    79027991uid 5678,0
    79037992optionalChildren [
    7904 *229 (CptPort
     7993*230 (CptPort
    79057994uid 5658,0
    79067995ps "OnEdgeStrategy"
     
    79378026)
    79388027)
    7939 *230 (CptPort
     8028*231 (CptPort
    79408029uid 5662,0
    79418030ps "OnEdgeStrategy"
     
    79748063)
    79758064)
    7976 *231 (CptPort
     8065*232 (CptPort
    79778066uid 5666,0
    79788067ps "OnEdgeStrategy"
     
    80138102)
    80148103)
    8015 *232 (CptPort
     8104*233 (CptPort
    80168105uid 5670,0
    80178106ps "OnEdgeStrategy"
     
    80498138)
    80508139)
    8051 *233 (CptPort
     8140*234 (CptPort
    80528141uid 5674,0
    80538142ps "OnEdgeStrategy"
     
    81028191stg "VerticalLayoutStrategy"
    81038192textVec [
    8104 *234 (Text
     8193*235 (Text
    81058194uid 5681,0
    81068195va (VaSet
     
    81128201tm "BdLibraryNameMgr"
    81138202)
    8114 *235 (Text
     8203*236 (Text
    81158204uid 5682,0
    81168205va (VaSet
     
    81228211tm "CptNameMgr"
    81238212)
    8124 *236 (Text
     8213*237 (Text
    81258214uid 5683,0
    81268215va (VaSet
     
    81718260archFileType "UNKNOWN"
    81728261)
    8173 *237 (SaComponent
     8262*238 (SaComponent
    81748263uid 5793,0
    81758264optionalChildren [
    8176 *238 (CptPort
     8265*239 (CptPort
    81778266uid 5753,0
    81788267ps "OnEdgeStrategy"
     
    82098298)
    82108299)
    8211 *239 (CptPort
     8300*240 (CptPort
    82128301uid 5761,0
    82138302ps "OnEdgeStrategy"
     
    82448333)
    82458334)
    8246 *240 (CptPort
     8335*241 (CptPort
    82478336uid 5765,0
    82488337ps "OnEdgeStrategy"
     
    82808369)
    82818370)
    8282 *241 (CptPort
     8371*242 (CptPort
    82838372uid 5769,0
    82848373ps "OnEdgeStrategy"
     
    83158404)
    83168405)
    8317 *242 (CptPort
     8406*243 (CptPort
    83188407uid 5773,0
    83198408ps "OnEdgeStrategy"
     
    83518440)
    83528441)
    8353 *243 (CptPort
     8442*244 (CptPort
    83548443uid 5777,0
    83558444ps "OnEdgeStrategy"
     
    83878476)
    83888477)
    8389 *244 (CptPort
     8478*245 (CptPort
    83908479uid 5781,0
    83918480ps "OnEdgeStrategy"
     
    84228511)
    84238512)
    8424 *245 (CptPort
     8513*246 (CptPort
    84258514uid 5785,0
    84268515ps "OnEdgeStrategy"
     
    84588547)
    84598548)
    8460 *246 (CptPort
     8549*247 (CptPort
    84618550uid 5789,0
    84628551ps "OnEdgeStrategy"
     
    84948583)
    84958584)
    8496 *247 (CptPort
     8585*248 (CptPort
    84978586uid 6154,0
    84988587ps "OnEdgeStrategy"
     
    85308619)
    85318620)
    8532 *248 (CptPort
     8621*249 (CptPort
    85338622uid 6317,0
    85348623ps "OnEdgeStrategy"
     
    85678656)
    85688657)
    8569 *249 (CptPort
     8658*250 (CptPort
    85708659uid 20147,0
    85718660ps "OnEdgeStrategy"
     
    86058694)
    86068695)
    8607 *250 (CptPort
     8696*251 (CptPort
    86088697uid 21545,0
    86098698ps "OnEdgeStrategy"
     
    86568745stg "VerticalLayoutStrategy"
    86578746textVec [
    8658 *251 (Text
     8747*252 (Text
    86598748uid 5796,0
    86608749va (VaSet
     
    86668755tm "BdLibraryNameMgr"
    86678756)
    8668 *252 (Text
     8757*253 (Text
    86698758uid 5797,0
    86708759va (VaSet
     
    86768765tm "CptNameMgr"
    86778766)
    8678 *253 (Text
     8767*254 (Text
    86798768uid 5798,0
    86808769va (VaSet
     
    87228811archFileType "UNKNOWN"
    87238812)
    8724 *254 (Net
     8813*255 (Net
    87258814uid 5811,0
    87268815decl (Decl
     
    87368825)
    87378826xt "-172000,36800,-150000,37600"
    8738 st "sclk                         : std_logic"
    8739 )
    8740 )
    8741 *255 (Net
     8827st "sclk                         : std_logic
     8828"
     8829)
     8830)
     8831*256 (Net
    87428832uid 5819,0
    87438833decl (Decl
     
    87548844font "Courier New,8,0"
    87558845)
    8756 xt "-172000,44000,-150000,44800"
    8757 st "sio                          : std_logic"
    8758 )
    8759 )
    8760 *256 (Net
     8846xt "-172000,44800,-150000,45600"
     8847st "sio                          : std_logic
     8848"
     8849)
     8850)
     8851*257 (Net
    87618852uid 5827,0
    87628853decl (Decl
     
    87728863)
    87738864xt "-172000,28000,-150000,28800"
    8774 st "dac_cs                       : std_logic"
    8775 )
    8776 )
    8777 *257 (Net
     8865st "dac_cs                       : std_logic
     8866"
     8867)
     8868)
     8869*258 (Net
    87788870uid 5835,0
    87798871decl (Decl
     
    87908882)
    87918883xt "-172000,37600,-140500,38400"
    8792 st "sensor_cs                    : std_logic_vector(3 DOWNTO 0)"
    8793 )
    8794 )
    8795 *258 (PortIoOut
     8884st "sensor_cs                    : std_logic_vector(3 DOWNTO 0)
     8885"
     8886)
     8887)
     8888*259 (PortIoOut
    87968889uid 5843,0
    87978890shape (CompositeShape
     
    88388931)
    88398932)
    8840 *259 (PortIoInOut
     8933*260 (PortIoInOut
    88418934uid 5849,0
    88428935shape (CompositeShape
     
    88838976)
    88848977)
    8885 *260 (PortIoOut
     8978*261 (PortIoOut
    88868979uid 5855,0
    88878980shape (CompositeShape
     
    89289021)
    89299022)
    8930 *261 (PortIoOut
     9023*262 (PortIoOut
    89319024uid 5861,0
    89329025shape (CompositeShape
     
    89739066)
    89749067)
    8975 *262 (Net
     9068*263 (Net
    89769069uid 6158,0
    89779070decl (Decl
     
    89889081)
    89899082xt "-172000,35200,-129000,36000"
    8990 st "mosi                         : std_logic                                    := '0'"
    8991 )
    8992 )
    8993 *263 (PortIoOut
     9083st "mosi                         : std_logic                                    := '0'
     9084"
     9085)
     9086)
     9087*264 (PortIoOut
    89949088uid 6166,0
    89959089shape (CompositeShape
     
    90369130)
    90379131)
    9038 *264 (Net
     9132*265 (Net
    90399133uid 6360,0
    90409134decl (Decl
     
    90539147)
    90549148xt "-172000,30400,-115500,31200"
    9055 st "denable                      : std_logic                                    := '0' -- default domino wave off"
    9056 )
    9057 )
    9058 *265 (PortIoOut
     9149st "denable                      : std_logic                                    := '0' -- default domino wave off
     9150"
     9151)
     9152)
     9153*266 (PortIoOut
    90599154uid 6368,0
    90609155shape (CompositeShape
     
    91009195)
    91019196)
    9102 *266 (MWC
     9197*267 (MWC
    91039198uid 6529,0
    91049199optionalChildren [
    9105 *267 (CptPort
     9200*268 (CptPort
    91069201uid 6501,0
    91079202optionalChildren [
    9108 *268 (Line
     9203*269 (Line
    91099204uid 6505,0
    91109205layer 5
     
    91199214]
    91209215)
    9121 *269 (Property
     9216*270 (Property
    91229217uid 6506,0
    91239218pclass "_MW_GEOM_"
     
    91649259)
    91659260)
    9166 *270 (CptPort
     9261*271 (CptPort
    91679262uid 6507,0
    91689263optionalChildren [
    9169 *271 (Line
     9264*272 (Line
    91709265uid 6511,0
    91719266layer 5
     
    92199314)
    92209315)
    9221 *272 (CptPort
     9316*273 (CptPort
    92229317uid 6512,0
    92239318optionalChildren [
    9224 *273 (Line
     9319*274 (Line
    92259320uid 6516,0
    92269321layer 5
     
    92749369)
    92759370)
    9276 *274 (CommentGraphic
     9371*275 (CommentGraphic
    92779372uid 6517,0
    92789373optionalChildren [
    9279 *275 (Property
     9374*276 (Property
    92809375uid 6519,0
    92819376pclass "_MW_GEOM_"
     
    93019396oxt "11000,10000,11000,10000"
    93029397)
    9303 *276 (CommentGraphic
     9398*277 (CommentGraphic
    93049399uid 6520,0
    93059400optionalChildren [
    9306 *277 (Property
     9401*278 (Property
    93079402uid 6522,0
    93089403pclass "_MW_GEOM_"
     
    93289423oxt "11000,6000,11000,6000"
    93299424)
    9330 *278 (Grouping
     9425*279 (Grouping
    93319426uid 6523,0
    93329427optionalChildren [
    9333 *279 (CommentGraphic
     9428*280 (CommentGraphic
    93349429uid 6525,0
    93359430shape (PolyLine2D
     
    93529447oxt "9000,6000,11000,10000"
    93539448)
    9354 *280 (CommentGraphic
     9449*281 (CommentGraphic
    93559450uid 6527,0
    93569451shape (Arc2D
     
    94059500stg "VerticalLayoutStrategy"
    94069501textVec [
    9407 *281 (Text
     9502*282 (Text
    94089503uid 6532,0
    94099504va (VaSet
     
    94159510blo "-91500,76300"
    94169511)
    9417 *282 (Text
     9512*283 (Text
    94189513uid 6533,0
    94199514va (VaSet
     
    94249519blo "-91500,77300"
    94259520)
    9426 *283 (Text
     9521*284 (Text
    94279522uid 6534,0
    94289523va (VaSet
     
    94699564)
    94709565)
    9471 *284 (SaComponent
     9566*285 (SaComponent
    94729567uid 8277,0
    94739568optionalChildren [
    9474 *285 (CptPort
     9569*286 (CptPort
    94759570uid 8246,0
    94769571ps "OnEdgeStrategy"
     
    95099604)
    95109605)
    9511 *286 (CptPort
     9606*287 (CptPort
    95129607uid 8250,0
    95139608ps "OnEdgeStrategy"
     
    95479642)
    95489643)
    9549 *287 (CptPort
     9644*288 (CptPort
    95509645uid 8254,0
    95519646ps "OnEdgeStrategy"
     
    95859680)
    95869681)
    9587 *288 (CptPort
     9682*289 (CptPort
    95889683uid 8258,0
    95899684ps "OnEdgeStrategy"
     
    96239718)
    96249719)
    9625 *289 (CptPort
     9720*290 (CptPort
    96269721uid 8262,0
    96279722ps "OnEdgeStrategy"
     
    96619756)
    96629757)
    9663 *290 (CptPort
     9758*291 (CptPort
    96649759uid 8266,0
    96659760ps "OnEdgeStrategy"
     
    97009795)
    97019796)
    9702 *291 (CptPort
     9797*292 (CptPort
    97039798uid 8270,0
    97049799ps "OnEdgeStrategy"
     
    97579852stg "VerticalLayoutStrategy"
    97589853textVec [
    9759 *292 (Text
     9854*293 (Text
    97609855uid 8280,0
    97619856va (VaSet
     
    97679862tm "BdLibraryNameMgr"
    97689863)
    9769 *293 (Text
     9864*294 (Text
    97709865uid 8281,0
    97719866va (VaSet
     
    97779872tm "CptNameMgr"
    97789873)
    9779 *294 (Text
     9874*295 (Text
    97809875uid 8282,0
    97819876va (VaSet
     
    98259920archFileType "UNKNOWN"
    98269921)
    9827 *295 (Net
     9922*296 (Net
    98289923uid 8746,0
    98299924decl (Decl
     
    98389933font "Courier New,8,0"
    98399934)
    9840 xt "-172000,106800,-146500,107600"
    9841 st "SIGNAL sclk_enable                  : std_logic"
    9842 )
    9843 )
    9844 *296 (Net
     9935xt "-172000,107600,-146500,108400"
     9936st "SIGNAL sclk_enable                  : std_logic
     9937"
     9938)
     9939)
     9940*297 (Net
    98459941uid 9004,0
    98469942lang 2
     
    98569952font "Courier New,8,0"
    98579953)
    9858 xt "-172000,57200,-146500,58000"
    9859 st "SIGNAL adc_clk_en                   : std_logic"
    9860 )
    9861 )
    9862 *297 (SaComponent
     9954xt "-172000,58000,-146500,58800"
     9955st "SIGNAL adc_clk_en                   : std_logic
     9956"
     9957)
     9958)
     9959*298 (SaComponent
    98639960uid 9175,0
    98649961optionalChildren [
    9865 *298 (CptPort
     9962*299 (CptPort
    98669963uid 9120,0
    98679964ps "OnEdgeStrategy"
     
    99009997)
    99019998)
    9902 *299 (CptPort
     9999*300 (CptPort
    990310000uid 9124,0
    990410001ps "OnEdgeStrategy"
     
    993710034)
    993810035)
    9939 *300 (CptPort
     10036*301 (CptPort
    994010037uid 9128,0
    994110038ps "OnEdgeStrategy"
     
    997210069)
    997310070)
    9974 *301 (CptPort
     10071*302 (CptPort
    997510072uid 9211,0
    997610073ps "OnEdgeStrategy"
     
    1000910106)
    1001010107)
    10011 *302 (CptPort
     10108*303 (CptPort
    1001210109uid 9215,0
    1001310110ps "OnEdgeStrategy"
     
    1004410141)
    1004510142)
    10046 *303 (CptPort
     10143*304 (CptPort
    1004710144uid 9219,0
    1004810145ps "OnEdgeStrategy"
     
    1007910176)
    1008010177)
    10081 *304 (CptPort
     10178*305 (CptPort
    1008210179uid 10030,0
    1008310180ps "OnEdgeStrategy"
     
    1011410211)
    1011510212)
    10116 *305 (CptPort
     10213*306 (CptPort
    1011710214uid 15170,0
    1011810215ps "OnEdgeStrategy"
     
    1015510252)
    1015610253)
    10157 *306 (CptPort
     10254*307 (CptPort
    1015810255uid 23071,0
    1015910256ps "OnEdgeStrategy"
     
    1019210289)
    1019310290)
    10194 *307 (CptPort
     10291*308 (CptPort
    1019510292uid 23075,0
    1019610293ps "OnEdgeStrategy"
     
    1024610343stg "VerticalLayoutStrategy"
    1024710344textVec [
    10248 *308 (Text
     10345*309 (Text
    1024910346uid 9178,0
    1025010347va (VaSet
     
    1025610353tm "BdLibraryNameMgr"
    1025710354)
    10258 *309 (Text
     10355*310 (Text
    1025910356uid 9179,0
    1026010357va (VaSet
     
    1026610363tm "CptNameMgr"
    1026710364)
    10268 *310 (Text
     10365*311 (Text
    1026910366uid 9180,0
    1027010367va (VaSet
     
    1031210409archFileType "UNKNOWN"
    1031310410)
    10314 *311 (Net
     10411*312 (Net
    1031510412uid 9231,0
    1031610413decl (Decl
     
    1032810425font "Courier New,8,0"
    1032910426)
    10330 xt "-172000,91600,-110000,92400"
    10331 st "SIGNAL ps_direction                 : std_logic                                    := '1' -- default phase shift upwards"
    10332 )
    10333 )
    10334 *312 (Net
     10427xt "-172000,92400,-110000,93200"
     10428st "SIGNAL ps_direction                 : std_logic                                    := '1' -- default phase shift upwards
     10429"
     10430)
     10431)
     10432*313 (Net
    1033510433uid 9239,0
    1033610434decl (Decl
     
    1034910447font "Courier New,8,0"
    1035010448)
    10351 xt "-172000,92400,-109000,93200"
    10352 st "SIGNAL ps_do_phase_shift            : std_logic                                    := '0' --pulse this to phase shift once"
    10353 )
    10354 )
    10355 *313 (Net
     10449xt "-172000,93200,-109000,94000"
     10450st "SIGNAL ps_do_phase_shift            : std_logic                                    := '0' --pulse this to phase shift once
     10451"
     10452)
     10453)
     10454*314 (Net
    1035610455uid 9941,0
    1035710456decl (Decl
     
    1036910468font "Courier New,8,0"
    1037010469)
    10371 xt "-172000,93200,-101500,94000"
    10372 st "SIGNAL ps_reset                     : std_logic                                    := '0' -- pulse this to reset the variable phase shift"
    10373 )
    10374 )
    10375 *314 (Net
     10470xt "-172000,94000,-101500,94800"
     10471st "SIGNAL ps_reset                     : std_logic                                    := '0' -- pulse this to reset the variable phase shift
     10472"
     10473)
     10474)
     10475*315 (Net
    1037610476uid 9949,0
    1037710477decl (Decl
     
    1038710487font "Courier New,8,0"
    1038810488)
    10389 xt "-172000,113200,-125500,114000"
    10390 st "SIGNAL srclk_enable                 : std_logic                                    := '0'"
    10391 )
    10392 )
    10393 *315 (MWC
     10489xt "-172000,114000,-125500,114800"
     10490st "SIGNAL srclk_enable                 : std_logic                                    := '0'
     10491"
     10492)
     10493)
     10494*316 (MWC
    1039410495uid 9957,0
    1039510496optionalChildren [
    10396 *316 (CptPort
     10497*317 (CptPort
    1039710498uid 9966,0
    1039810499optionalChildren [
    10399 *317 (Line
     10500*318 (Line
    1040010501uid 9970,0
    1040110502layer 5
     
    1041010511]
    1041110512)
    10412 *318 (Property
     10513*319 (Property
    1041310514uid 9971,0
    1041410515pclass "_MW_GEOM_"
     
    1045410555)
    1045510556)
    10456 *319 (CptPort
     10557*320 (CptPort
    1045710558uid 9972,0
    1045810559optionalChildren [
    10459 *320 (Line
     10560*321 (Line
    1046010561uid 9976,0
    1046110562layer 5
     
    1050810609)
    1050910610)
    10510 *321 (CptPort
     10611*322 (CptPort
    1051110612uid 9977,0
    1051210613optionalChildren [
    10513 *322 (Line
     10614*323 (Line
    1051410615uid 9981,0
    1051510616layer 5
     
    1056210663)
    1056310664)
    10564 *323 (CommentGraphic
     10665*324 (CommentGraphic
    1056510666uid 9982,0
    1056610667optionalChildren [
    10567 *324 (Property
     10668*325 (Property
    1056810669uid 9984,0
    1056910670pclass "_MW_GEOM_"
     
    1058910690oxt "11000,10000,11000,10000"
    1059010691)
    10591 *325 (CommentGraphic
     10692*326 (CommentGraphic
    1059210693uid 9985,0
    1059310694optionalChildren [
    10594 *326 (Property
     10695*327 (Property
    1059510696uid 9987,0
    1059610697pclass "_MW_GEOM_"
     
    1061610717oxt "11000,6000,11000,6000"
    1061710718)
    10618 *327 (Grouping
     10719*328 (Grouping
    1061910720uid 9988,0
    1062010721optionalChildren [
    10621 *328 (CommentGraphic
     10722*329 (CommentGraphic
    1062210723uid 9990,0
    1062310724shape (PolyLine2D
     
    1064010741oxt "9000,6000,11000,10000"
    1064110742)
    10642 *329 (CommentGraphic
     10743*330 (CommentGraphic
    1064310744uid 9992,0
    1064410745shape (Arc2D
     
    1069310794stg "VerticalLayoutStrategy"
    1069410795textVec [
    10695 *330 (Text
     10796*331 (Text
    1069610797uid 9960,0
    1069710798va (VaSet
     
    1070310804blo "-69500,56300"
    1070410805)
    10705 *331 (Text
     10806*332 (Text
    1070610807uid 9961,0
    1070710808va (VaSet
     
    1071210813blo "-69500,57300"
    1071310814)
    10714 *332 (Text
     10815*333 (Text
    1071510816uid 9962,0
    1071610817va (VaSet
     
    1075710858)
    1075810859)
    10759 *333 (Net
     10860*334 (Net
    1076010861uid 10008,0
    1076110862decl (Decl
     
    1077110872font "Courier New,8,0"
    1077210873)
    10773 xt "-172000,56400,-125500,57200"
    10774 st "SIGNAL SRCLK1                       : std_logic                                    := '0'"
    10775 )
    10776 )
    10777 *334 (Net
     10874xt "-172000,57200,-125500,58000"
     10875st "SIGNAL SRCLK1                       : std_logic                                    := '0'
     10876"
     10877)
     10878)
     10879*335 (Net
    1077810880uid 10264,0
    1077910881decl (Decl
     
    1078810890font "Courier New,8,0"
    1078910891)
    10790 xt "-172000,105200,-146500,106000"
    10791 st "SIGNAL s_trigger                    : std_logic"
    10792 )
    10793 )
    10794 *335 (Net
     10892xt "-172000,106000,-146500,106800"
     10893st "SIGNAL s_trigger                    : std_logic
     10894"
     10895)
     10896)
     10897*336 (Net
    1079510898uid 10296,0
    1079610899decl (Decl
     
    1080510908font "Courier New,8,0"
    1080610909)
    10807 xt "-172000,115600,-146500,116400"
    10808 st "SIGNAL start_srin_write_8b          : std_logic"
    10809 )
    10810 )
    10811 *336 (Net
     10910xt "-172000,116400,-146500,117200"
     10911st "SIGNAL start_srin_write_8b          : std_logic
     10912"
     10913)
     10914)
     10915*337 (Net
    1081210916uid 10302,0
    1081310917decl (Decl
     
    1082310927font "Courier New,8,0"
    1082410928)
    10825 xt "-172000,114000,-125500,114800"
    10826 st "SIGNAL srin_write_ack               : std_logic                                    := '0'"
    10827 )
    10828 )
    10829 *337 (Net
     10929xt "-172000,114800,-125500,115600"
     10930st "SIGNAL srin_write_ack               : std_logic                                    := '0'
     10931"
     10932)
     10933)
     10934*338 (Net
    1083010935uid 10308,0
    1083110936decl (Decl
     
    1084110946font "Courier New,8,0"
    1084210947)
    10843 xt "-172000,114800,-125500,115600"
    10844 st "SIGNAL srin_write_ready             : std_logic                                    := '0'"
    10845 )
    10846 )
    10847 *338 (Net
     10948xt "-172000,115600,-125500,116400"
     10949st "SIGNAL srin_write_ready             : std_logic                                    := '0'
     10950"
     10951)
     10952)
     10953*339 (Net
    1084810954uid 10314,0
    1084910955decl (Decl
     
    1086010966font "Courier New,8,0"
    1086110967)
    10862 xt "-172000,83600,-119500,84400"
    10863 st "SIGNAL drs_srin_data                : std_logic_vector(7 downto 0)                 := (others => '0')"
    10864 )
    10865 )
    10866 *339 (Net
     10968xt "-172000,84400,-119500,85200"
     10969st "SIGNAL drs_srin_data                : std_logic_vector(7 downto 0)                 := (others => '0')
     10970"
     10971)
     10972)
     10973*340 (Net
    1086710974uid 10320,0
    1086810975decl (Decl
     
    1087910986)
    1088010987xt "-172000,23200,-129000,24000"
    10881 st "SRIN_out                     : std_logic                                    := '0'"
    10882 )
    10883 )
    10884 *340 (PortIoOut
     10988st "SRIN_out                     : std_logic                                    := '0'
     10989"
     10990)
     10991)
     10992*341 (PortIoOut
    1088510993uid 10328,0
    1088610994shape (CompositeShape
     
    1092711035)
    1092811036)
    10929 *341 (MWC
     11037*342 (MWC
    1093011038uid 10380,0
    1093111039optionalChildren [
    10932 *342 (CptPort
     11040*343 (CptPort
    1093311041uid 10344,0
    1093411042optionalChildren [
    10935 *343 (Line
     11043*344 (Line
    1093611044uid 10348,0
    1093711045layer 5
     
    1098511093)
    1098611094)
    10987 *344 (CptPort
     11095*345 (CptPort
    1098811096uid 10349,0
    1098911097optionalChildren [
    10990 *345 (Property
     11098*346 (Property
    1099111099uid 10353,0
    1099211100pclass "_MW_GEOM_"
     
    1099411102ptn "String"
    1099511103)
    10996 *346 (Line
     11104*347 (Line
    1099711105uid 10354,0
    1099811106layer 5
     
    1104811156)
    1104911157)
    11050 *347 (CptPort
     11158*348 (CptPort
    1105111159uid 10355,0
    1105211160optionalChildren [
    11053 *348 (Line
     11161*349 (Line
    1105411162uid 10359,0
    1105511163layer 5
     
    1110111209)
    1110211210)
    11103 *349 (CommentGraphic
     11211*350 (CommentGraphic
    1110411212uid 10360,0
    1110511213shape (Arc2D
     
    1112211230oxt "7000,6003,11000,8000"
    1112311231)
    11124 *350 (CommentGraphic
     11232*351 (CommentGraphic
    1112511233uid 10362,0
    1112611234shape (Arc2D
     
    1114311251oxt "6996,8005,11000,10000"
    1114411252)
    11145 *351 (Grouping
     11253*352 (Grouping
    1114611254uid 10364,0
    1114711255optionalChildren [
    11148 *352 (CommentGraphic
     11256*353 (CommentGraphic
    1114911257uid 10366,0
    1115011258optionalChildren [
    11151 *353 (Property
     11259*354 (Property
    1115211260uid 10368,0
    1115311261pclass "_MW_GEOM_"
     
    1118011288oxt "7000,6000,11000,9998"
    1118111289)
    11182 *354 (CommentGraphic
     11290*355 (CommentGraphic
    1118311291uid 10369,0
    1118411292optionalChildren [
    11185 *355 (Property
     11293*356 (Property
    1118611294uid 10371,0
    1118711295pclass "_MW_GEOM_"
     
    1122511333oxt "7000,6000,11000,10000"
    1122611334)
    11227 *356 (CommentGraphic
     11335*357 (CommentGraphic
    1122811336uid 10372,0
    1122911337shape (PolyLine2D
     
    1124411352oxt "11000,8000,11000,8000"
    1124511353)
    11246 *357 (CommentGraphic
     11354*358 (CommentGraphic
    1124711355uid 10374,0
    1124811356optionalChildren [
    11249 *358 (Property
     11357*359 (Property
    1125011358uid 10376,0
    1125111359pclass "_MW_GEOM_"
     
    1127111379oxt "7000,6000,7000,6000"
    1127211380)
    11273 *359 (CommentGraphic
     11381*360 (CommentGraphic
    1127411382uid 10377,0
    1127511383optionalChildren [
    11276 *360 (Property
     11384*361 (Property
    1127711385uid 10379,0
    1127811386pclass "_MW_GEOM_"
     
    1131711425stg "VerticalLayoutStrategy"
    1131811426textVec [
    11319 *361 (Text
     11427*362 (Text
    1132011428uid 10383,0
    1132111429va (VaSet
     
    1132711435blo "-98500,70300"
    1132811436)
    11329 *362 (Text
     11437*363 (Text
    1133011438uid 10384,0
    1133111439va (VaSet
     
    1133611444blo "-98500,71300"
    1133711445)
    11338 *363 (Text
     11446*364 (Text
    1133911447uid 10385,0
    1134011448va (VaSet
     
    1138111489)
    1138211490)
    11383 *364 (Net
     11491*365 (Net
    1138411492uid 10627,0
    1138511493decl (Decl
     
    1139411502font "Courier New,8,0"
    1139511503)
    11396 xt "-172000,109200,-146500,110000"
    11397 st "SIGNAL socks_connected              : std_logic"
    11398 )
    11399 )
    11400 *365 (Net
     11504xt "-172000,110000,-146500,110800"
     11505st "SIGNAL socks_connected              : std_logic
     11506"
     11507)
     11508)
     11509*366 (Net
    1140111510uid 10635,0
    1140211511decl (Decl
     
    1141111520font "Courier New,8,0"
    1141211521)
    11413 xt "-172000,110000,-146500,110800"
    11414 st "SIGNAL socks_waiting                : std_logic"
    11415 )
    11416 )
    11417 *366 (Net
     11522xt "-172000,110800,-146500,111600"
     11523st "SIGNAL socks_waiting                : std_logic
     11524"
     11525)
     11526)
     11527*367 (Net
    1141811528uid 10721,0
    1141911529decl (Decl
     
    1142911539)
    1143011540xt "-172000,32800,-150000,33600"
    11431 st "green                        : std_logic"
    11432 )
    11433 )
    11434 *367 (PortIoOut
     11541st "green                        : std_logic
     11542"
     11543)
     11544)
     11545*368 (PortIoOut
    1143511546uid 10729,0
    1143611547shape (CompositeShape
     
    1147611587)
    1147711588)
    11478 *368 (Net
     11589*369 (Net
    1147911590uid 10735,0
    1148011591decl (Decl
     
    1149011601)
    1149111602xt "-172000,26400,-150000,27200"
    11492 st "amber                        : std_logic"
    11493 )
    11494 )
    11495 *369 (PortIoOut
     11603st "amber                        : std_logic
     11604"
     11605)
     11606)
     11607*370 (PortIoOut
    1149611608uid 10743,0
    1149711609shape (CompositeShape
     
    1153711649)
    1153811650)
    11539 *370 (Net
     11651*371 (Net
    1154011652uid 10749,0
    1154111653decl (Decl
     
    1155111663)
    1155211664xt "-172000,36000,-150000,36800"
    11553 st "red                          : std_logic"
    11554 )
    11555 )
    11556 *371 (PortIoOut
     11665st "red                          : std_logic
     11666"
     11667)
     11668)
     11669*372 (PortIoOut
    1155711670uid 10757,0
    1155811671shape (CompositeShape
     
    1159811711)
    1159911712)
    11600 *372 (SaComponent
     11713*373 (SaComponent
    1160111714uid 11209,0
    1160211715optionalChildren [
    11603 *373 (CptPort
     11716*374 (CptPort
    1160411717uid 11181,0
    1160511718ps "OnEdgeStrategy"
     
    1163411747)
    1163511748)
    11636 *374 (CptPort
     11749*375 (CptPort
    1163711750uid 11185,0
    1163811751ps "OnEdgeStrategy"
     
    1166911782)
    1167011783)
    11671 *375 (CptPort
     11784*376 (CptPort
    1167211785uid 11189,0
    1167311786ps "OnEdgeStrategy"
     
    1170411817)
    1170511818)
    11706 *376 (CptPort
     11819*377 (CptPort
    1170711820uid 11193,0
    1170811821ps "OnEdgeStrategy"
     
    1173911852)
    1174011853)
    11741 *377 (CptPort
     11854*378 (CptPort
    1174211855uid 11197,0
    1174311856ps "OnEdgeStrategy"
     
    1177211885)
    1177311886)
    11774 *378 (CptPort
     11887*379 (CptPort
    1177511888uid 11201,0
    1177611889ps "OnEdgeStrategy"
     
    1180511918)
    1180611919)
    11807 *379 (CptPort
     11920*380 (CptPort
    1180811921uid 11205,0
    1180911922ps "OnEdgeStrategy"
     
    1183811951)
    1183911952)
    11840 *380 (CptPort
     11953*381 (CptPort
    1184111954uid 12693,0
    1184211955ps "OnEdgeStrategy"
     
    1187311986)
    1187411987)
    11875 *381 (CptPort
     11988*382 (CptPort
    1187611989uid 22344,0
    1187711990ps "OnEdgeStrategy"
     
    1190612019)
    1190712020)
    11908 *382 (CptPort
     12021*383 (CptPort
    1190912022uid 22348,0
    1191012023ps "OnEdgeStrategy"
     
    1195612069stg "VerticalLayoutStrategy"
    1195712070textVec [
    11958 *383 (Text
     12071*384 (Text
    1195912072uid 11212,0
    1196012073va (VaSet
     
    1196612079tm "BdLibraryNameMgr"
    1196712080)
    11968 *384 (Text
     12081*385 (Text
    1196912082uid 11213,0
    1197012083va (VaSet
     
    1197612089tm "CptNameMgr"
    1197712090)
    11978 *385 (Text
     12091*386 (Text
    1197912092uid 11214,0
    1198012093va (VaSet
     
    1203512148archFileType "UNKNOWN"
    1203612149)
    12037 *386 (Net
     12150*387 (Net
    1203812151uid 11403,0
    1203912152decl (Decl
     
    1204812161font "Courier New,8,0"
    1204912162)
    12050 xt "-172000,82000,-146500,82800"
    12051 st "SIGNAL drs_readout_started          : std_logic"
    12052 )
    12053 )
    12054 *387 (Net
     12163xt "-172000,82800,-146500,83600"
     12164st "SIGNAL drs_readout_started          : std_logic
     12165"
     12166)
     12167)
     12168*388 (Net
    1205512169uid 11856,0
    1205612170decl (Decl
     
    1206512179font "Courier New,8,0"
    1206612180)
    12067 xt "-172000,117200,-146500,118000"
    12068 st "SIGNAL trigger_enable               : std_logic"
    12069 )
    12070 )
    12071 *388 (MWC
     12181xt "-172000,118000,-146500,118800"
     12182st "SIGNAL trigger_enable               : std_logic
     12183"
     12184)
     12185)
     12186*389 (MWC
    1207212187uid 12295,0
    1207312188optionalChildren [
    12074 *389 (CptPort
     12189*390 (CptPort
    1207512190uid 12267,0
    1207612191optionalChildren [
    12077 *390 (Line
     12192*391 (Line
    1207812193uid 12271,0
    1207912194layer 5
     
    1208812203]
    1208912204)
    12090 *391 (Property
     12205*392 (Property
    1209112206uid 12272,0
    1209212207pclass "_MW_GEOM_"
     
    1213512250)
    1213612251)
    12137 *392 (CptPort
     12252*393 (CptPort
    1213812253uid 12273,0
    1213912254optionalChildren [
    12140 *393 (Line
     12255*394 (Line
    1214112256uid 12277,0
    1214212257layer 5
     
    1219012305)
    1219112306)
    12192 *394 (CptPort
     12307*395 (CptPort
    1219312308uid 12278,0
    1219412309optionalChildren [
    12195 *395 (Line
     12310*396 (Line
    1219612311uid 12282,0
    1219712312layer 5
     
    1224312358)
    1224412359)
    12245 *396 (CommentGraphic
     12360*397 (CommentGraphic
    1224612361uid 12283,0
    1224712362optionalChildren [
    12248 *397 (Property
     12363*398 (Property
    1224912364uid 12285,0
    1225012365pclass "_MW_GEOM_"
     
    1227012385oxt "7000,10000,7000,10000"
    1227112386)
    12272 *398 (CommentGraphic
     12387*399 (CommentGraphic
    1227312388uid 12286,0
    1227412389optionalChildren [
    12275 *399 (Property
     12390*400 (Property
    1227612391uid 12288,0
    1227712392pclass "_MW_GEOM_"
     
    1229712412oxt "7000,6000,7000,6000"
    1229812413)
    12299 *400 (Grouping
     12414*401 (Grouping
    1230012415uid 12289,0
    1230112416optionalChildren [
    12302 *401 (CommentGraphic
     12417*402 (CommentGraphic
    1230312418uid 12291,0
    1230412419shape (PolyLine2D
     
    1232112436oxt "7000,6000,9000,10000"
    1232212437)
    12323 *402 (CommentGraphic
     12438*403 (CommentGraphic
    1232412439uid 12293,0
    1232512440shape (Arc2D
     
    1237412489stg "VerticalLayoutStrategy"
    1237512490textVec [
    12376 *403 (Text
     12491*404 (Text
    1237712492uid 12298,0
    1237812493va (VaSet
     
    1238412499blo "-92500,71300"
    1238512500)
    12386 *404 (Text
     12501*405 (Text
    1238712502uid 12299,0
    1238812503va (VaSet
     
    1239312508blo "-92500,72300"
    1239412509)
    12395 *405 (Text
     12510*406 (Text
    1239612511uid 12300,0
    1239712512va (VaSet
     
    1243812553)
    1243912554)
    12440 *406 (SaComponent
     12555*407 (SaComponent
    1244112556uid 12625,0
    1244212557optionalChildren [
    12443 *407 (CptPort
     12558*408 (CptPort
    1244412559uid 12605,0
    1244512560ps "OnEdgeStrategy"
     
    1247412589)
    1247512590)
    12476 *408 (CptPort
     12591*409 (CptPort
    1247712592uid 12609,0
    1247812593ps "OnEdgeStrategy"
     
    1251012625)
    1251112626)
    12512 *409 (CptPort
     12627*410 (CptPort
    1251312628uid 12613,0
    1251412629ps "OnEdgeStrategy"
     
    1254512660)
    1254612661)
    12547 *410 (CptPort
     12662*411 (CptPort
    1254812663uid 12617,0
    1254912664ps "OnEdgeStrategy"
     
    1257912694)
    1258012695)
    12581 *411 (CptPort
     12696*412 (CptPort
    1258212697uid 12621,0
    1258312698ps "OnEdgeStrategy"
     
    1261512730)
    1261612731)
    12617 *412 (CptPort
     12732*413 (CptPort
    1261812733uid 12673,0
    1261912734ps "OnEdgeStrategy"
     
    1266512780stg "VerticalLayoutStrategy"
    1266612781textVec [
    12667 *413 (Text
     12782*414 (Text
    1266812783uid 12628,0
    1266912784va (VaSet
     
    1267512790tm "BdLibraryNameMgr"
    1267612791)
    12677 *414 (Text
     12792*415 (Text
    1267812793uid 12629,0
    1267912794va (VaSet
     
    1268512800tm "CptNameMgr"
    1268612801)
    12687 *415 (Text
     12802*416 (Text
    1268812803uid 12630,0
    1268912804va (VaSet
     
    1273212847archFileType "UNKNOWN"
    1273312848)
    12734 *416 (Net
     12849*417 (Net
    1273512850uid 12647,0
    1273612851decl (Decl
     
    1275012865font "Courier New,8,0"
    1275112866)
    12752 xt "-172000,78800,-125500,81200"
     12867xt "-172000,79600,-125500,82000"
    1275312868st "-- --
    1275412869--      drs_dwrite : out std_logic := '1';
    12755 SIGNAL drs_readout_ready            : std_logic                                    := '0'"
    12756 )
    12757 )
    12758 *417 (Net
     12870SIGNAL drs_readout_ready            : std_logic                                    := '0'
     12871"
     12872)
     12873)
     12874*418 (Net
    1275912875uid 12653,0
    1276012876decl (Decl
     
    1276912885font "Courier New,8,0"
    1277012886)
    12771 xt "-172000,81200,-146500,82000"
    12772 st "SIGNAL drs_readout_ready_ack        : std_logic"
    12773 )
    12774 )
    12775 *418 (SaComponent
     12887xt "-172000,82000,-146500,82800"
     12888st "SIGNAL drs_readout_ready_ack        : std_logic
     12889"
     12890)
     12891)
     12892*419 (SaComponent
    1277612893uid 13117,0
    1277712894optionalChildren [
    12778 *419 (CptPort
     12895*420 (CptPort
    1277912896uid 13101,0
    1278012897ps "OnEdgeStrategy"
     
    1281012927)
    1281112928)
    12812 *420 (CptPort
     12929*421 (CptPort
    1281312930uid 13105,0
    1281412931ps "OnEdgeStrategy"
     
    1284412961)
    1284512962)
    12846 *421 (CptPort
     12963*422 (CptPort
    1284712964uid 13109,0
    1284812965ps "OnEdgeStrategy"
     
    1287912996)
    1288012997)
    12881 *422 (CptPort
     12998*423 (CptPort
    1288212999uid 13113,0
    1288313000ps "OnEdgeStrategy"
     
    1293013047stg "VerticalLayoutStrategy"
    1293113048textVec [
    12932 *423 (Text
     13049*424 (Text
    1293313050uid 13120,0
    1293413051va (VaSet
     
    1294013057tm "BdLibraryNameMgr"
    1294113058)
    12942 *424 (Text
     13059*425 (Text
    1294313060uid 13121,0
    1294413061va (VaSet
     
    1295013067tm "CptNameMgr"
    1295113068)
    12952 *425 (Text
     13069*426 (Text
    1295313070uid 13122,0
    1295413071va (VaSet
     
    1300913126archFileType "UNKNOWN"
    1301013127)
    13011 *426 (Net
     13128*427 (Net
    1301213129uid 13157,0
    1301313130decl (Decl
     
    1302313140font "Courier New,8,0"
    1302413141)
    13025 xt "-172000,60400,-125500,61200"
    13026 st "SIGNAL c_trigger_enable             : std_logic                                    := '0'"
    13027 )
    13028 )
    13029 *427 (MWC
     13142xt "-172000,61200,-125500,62000"
     13143st "SIGNAL c_trigger_enable             : std_logic                                    := '0'
     13144"
     13145)
     13146)
     13147*428 (MWC
    1303013148uid 13266,0
    1303113149optionalChildren [
    13032 *428 (CptPort
     13150*429 (CptPort
    1303313151uid 13230,0
    1303413152optionalChildren [
    13035 *429 (Line
     13153*430 (Line
    1303613154uid 13234,0
    1303713155layer 5
     
    1308413202)
    1308513203)
    13086 *430 (CptPort
     13204*431 (CptPort
    1308713205uid 13235,0
    1308813206optionalChildren [
    13089 *431 (Property
     13207*432 (Property
    1309013208uid 13239,0
    1309113209pclass "_MW_GEOM_"
     
    1309313211ptn "String"
    1309413212)
    13095 *432 (Line
     13213*433 (Line
    1309613214uid 13240,0
    1309713215layer 5
     
    1314413262)
    1314513263)
    13146 *433 (CptPort
     13264*434 (CptPort
    1314713265uid 13241,0
    1314813266optionalChildren [
    13149 *434 (Line
     13267*435 (Line
    1315013268uid 13245,0
    1315113269layer 5
     
    1319813316)
    1319913317)
    13200 *435 (CommentGraphic
     13318*436 (CommentGraphic
    1320113319uid 13246,0
    1320213320shape (Arc2D
     
    1321913337oxt "7000,6003,11000,8000"
    1322013338)
    13221 *436 (CommentGraphic
     13339*437 (CommentGraphic
    1322213340uid 13248,0
    1322313341shape (Arc2D
     
    1324013358oxt "7000,8005,11004,10000"
    1324113359)
    13242 *437 (Grouping
     13360*438 (Grouping
    1324313361uid 13250,0
    1324413362optionalChildren [
    13245 *438 (CommentGraphic
     13363*439 (CommentGraphic
    1324613364uid 13252,0
    1324713365optionalChildren [
    13248 *439 (Property
     13366*440 (Property
    1324913367uid 13254,0
    1325013368pclass "_MW_GEOM_"
     
    1327713395oxt "7000,6000,11000,9998"
    1327813396)
    13279 *440 (CommentGraphic
     13397*441 (CommentGraphic
    1328013398uid 13255,0
    1328113399optionalChildren [
    13282 *441 (Property
     13400*442 (Property
    1328313401uid 13257,0
    1328413402pclass "_MW_GEOM_"
     
    1332213440oxt "7000,6000,11000,10000"
    1332313441)
    13324 *442 (CommentGraphic
     13442*443 (CommentGraphic
    1332513443uid 13258,0
    1332613444shape (PolyLine2D
     
    1334113459oxt "7000,8000,7000,8000"
    1334213460)
    13343 *443 (CommentGraphic
     13461*444 (CommentGraphic
    1334413462uid 13260,0
    1334513463optionalChildren [
    13346 *444 (Property
     13464*445 (Property
    1334713465uid 13262,0
    1334813466pclass "_MW_GEOM_"
     
    1336813486oxt "11000,6000,11000,6000"
    1336913487)
    13370 *445 (CommentGraphic
     13488*446 (CommentGraphic
    1337113489uid 13263,0
    1337213490optionalChildren [
    13373 *446 (Property
     13491*447 (Property
    1337413492uid 13265,0
    1337513493pclass "_MW_GEOM_"
     
    1341413532stg "VerticalLayoutStrategy"
    1341513533textVec [
    13416 *447 (Text
     13534*448 (Text
    1341713535uid 13269,0
    1341813536va (VaSet
     
    1342413542blo "43500,62300"
    1342513543)
    13426 *448 (Text
     13544*449 (Text
    1342713545uid 13270,0
    1342813546va (VaSet
     
    1343313551blo "43500,63300"
    1343413552)
    13435 *449 (Text
     13553*450 (Text
    1343613554uid 13271,0
    1343713555va (VaSet
     
    1347813596)
    1347913597)
    13480 *450 (PortIoIn
     13598*451 (PortIoIn
    1348113599uid 13689,0
    1348213600shape (CompositeShape
     
    1352313641)
    1352413642)
    13525 *451 (Net
     13643*452 (Net
    1352613644uid 13701,0
    1352713645decl (Decl
     
    1353813656)
    1353913657xt "-172000,4000,-140500,4800"
    13540 st "D_T_in                       : std_logic_vector(1 DOWNTO 0)"
    13541 )
    13542 )
    13543 *452 (PortIoIn
     13658st "D_T_in                       : std_logic_vector(1 DOWNTO 0)
     13659"
     13660)
     13661)
     13662*453 (PortIoIn
    1354413663uid 14042,0
    1354513664shape (CompositeShape
     
    1358613705)
    1358713706)
    13588 *453 (Net
     13707*454 (Net
    1358913708uid 14054,0
    1359013709decl (Decl
     
    1360113720)
    1360213721xt "-172000,12000,-118500,12800"
    13603 st "drs_refclk_in                : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
    13604 )
    13605 )
    13606 *454 (PortIoIn
     13722st "drs_refclk_in                : std_logic -- used to check if DRS REFCLK exsists, if not DENABLE inhibit
     13723"
     13724)
     13725)
     13726*455 (PortIoIn
    1360713727uid 14165,0
    1360813728shape (CompositeShape
     
    1364913769)
    1365013770)
    13651 *455 (Net
     13771*456 (Net
    1365213772uid 14177,0
    1365313773decl (Decl
     
    1366513785)
    1366613786xt "-172000,12800,-111000,13600"
    13667 st "plllock_in                   : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked"
    13668 )
    13669 )
    13670 *456 (SaComponent
     13787st "plllock_in                   : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked
     13788"
     13789)
     13790)
     13791*457 (SaComponent
    1367113792uid 14417,0
    1367213793optionalChildren [
    13673 *457 (CptPort
     13794*458 (CptPort
    1367413795uid 14397,0
    1367513796ps "OnEdgeStrategy"
     
    1370413825)
    1370513826)
    13706 *458 (CptPort
     13827*459 (CptPort
    1370713828uid 14401,0
    1370813829ps "OnEdgeStrategy"
     
    1373713858)
    1373813859)
    13739 *459 (CptPort
     13860*460 (CptPort
    1374013861uid 14405,0
    1374113862ps "OnEdgeStrategy"
     
    1377413895)
    1377513896)
    13776 *460 (CptPort
     13897*461 (CptPort
    1377713898uid 14409,0
    1377813899ps "OnEdgeStrategy"
     
    1381013931)
    1381113932)
    13812 *461 (CptPort
     13933*462 (CptPort
    1381313934uid 14413,0
    1381413935ps "OnEdgeStrategy"
     
    1386313984stg "VerticalLayoutStrategy"
    1386413985textVec [
    13865 *462 (Text
     13986*463 (Text
    1386613987uid 14420,0
    1386713988va (VaSet
     
    1387313994tm "BdLibraryNameMgr"
    1387413995)
    13875 *463 (Text
     13996*464 (Text
    1387613997uid 14421,0
    1387713998va (VaSet
     
    1388314004tm "CptNameMgr"
    1388414005)
    13885 *464 (Text
     14006*465 (Text
    1388614007uid 14422,0
    1388714008va (VaSet
     
    1393114052archFileType "UNKNOWN"
    1393214053)
    13933 *465 (Net
     14054*466 (Net
    1393414055uid 14477,0
    1393514056decl (Decl
     
    1394514066)
    1394614067xt "-172000,24800,-150000,25600"
    13947 st "alarm_refclk_too_high        : std_logic"
    13948 )
    13949 )
    13950 *466 (PortIoOut
     14068st "alarm_refclk_too_high        : std_logic
     14069"
     14070)
     14071)
     14072*467 (PortIoOut
    1395114073uid 14485,0
    1395214074shape (CompositeShape
     
    1399214114)
    1399314115)
    13994 *467 (Net
     14116*468 (Net
    1399514117uid 14491,0
    1399614118decl (Decl
     
    1400714129)
    1400814130xt "-172000,25600,-150000,26400"
    14009 st "alarm_refclk_too_low         : std_logic"
    14010 )
    14011 )
    14012 *468 (PortIoOut
     14131st "alarm_refclk_too_low         : std_logic
     14132"
     14133)
     14134)
     14135*469 (PortIoOut
    1401314136uid 14499,0
    1401414137shape (CompositeShape
     
    1405414177)
    1405514178)
    14056 *469 (Net
     14179*470 (Net
    1405714180uid 14620,0
    1405814181decl (Decl
     
    1406914192)
    1407014193xt "-172000,27200,-140000,28000"
    14071 st "counter_result               : std_logic_vector(11 DOWNTO 0)"
    14072 )
    14073 )
    14074 *470 (PortIoOut
     14194st "counter_result               : std_logic_vector(11 DOWNTO 0)
     14195"
     14196)
     14197)
     14198*471 (PortIoOut
    1407514199uid 14628,0
    1407614200shape (CompositeShape
     
    1411614240)
    1411714241)
    14118 *471 (MWC
     14242*472 (MWC
    1411914243uid 14991,0
    1412014244optionalChildren [
    14121 *472 (CptPort
     14245*473 (CptPort
    1412214246uid 14963,0
    1412314247optionalChildren [
    14124 *473 (Line
     14248*474 (Line
    1412514249uid 14967,0
    1412614250layer 5
     
    1413514259]
    1413614260)
    14137 *474 (Property
     14261*475 (Property
    1413814262uid 14968,0
    1413914263pclass "_MW_GEOM_"
     
    1418314307)
    1418414308)
    14185 *475 (CptPort
     14309*476 (CptPort
    1418614310uid 14969,0
    1418714311optionalChildren [
    14188 *476 (Line
     14312*477 (Line
    1418914313uid 14973,0
    1419014314layer 5
     
    1423914363)
    1424014364)
    14241 *477 (CptPort
     14365*478 (CptPort
    1424214366uid 14974,0
    1424314367optionalChildren [
    14244 *478 (Line
     14368*479 (Line
    1424514369uid 14978,0
    1424614370layer 5
     
    1429514419)
    1429614420)
    14297 *479 (CommentGraphic
     14421*480 (CommentGraphic
    1429814422uid 14979,0
    1429914423optionalChildren [
    14300 *480 (Property
     14424*481 (Property
    1430114425uid 14981,0
    1430214426pclass "_MW_GEOM_"
     
    1432214446oxt "7000,10000,7000,10000"
    1432314447)
    14324 *481 (CommentGraphic
     14448*482 (CommentGraphic
    1432514449uid 14982,0
    1432614450optionalChildren [
    14327 *482 (Property
     14451*483 (Property
    1432814452uid 14984,0
    1432914453pclass "_MW_GEOM_"
     
    1434914473oxt "7000,6000,7000,6000"
    1435014474)
    14351 *483 (Grouping
     14475*484 (Grouping
    1435214476uid 14985,0
    1435314477optionalChildren [
    14354 *484 (CommentGraphic
     14478*485 (CommentGraphic
    1435514479uid 14987,0
    1435614480shape (PolyLine2D
     
    1437314497oxt "7000,6000,9000,10000"
    1437414498)
    14375 *485 (CommentGraphic
     14499*486 (CommentGraphic
    1437614500uid 14989,0
    1437714501shape (Arc2D
     
    1442614550stg "VerticalLayoutStrategy"
    1442714551textVec [
    14428 *486 (Text
     14552*487 (Text
    1442914553uid 14994,0
    1443014554va (VaSet
     
    1443614560blo "162500,76300"
    1443714561)
    14438 *487 (Text
     14562*488 (Text
    1443914563uid 14995,0
    1444014564va (VaSet
     
    1444514569blo "162500,77300"
    1444614570)
    14447 *488 (Text
     14571*489 (Text
    1444814572uid 14996,0
    1444914573va (VaSet
     
    1449014614)
    1449114615)
    14492 *489 (MWC
     14616*490 (MWC
    1449314617uid 15058,0
    1449414618optionalChildren [
    14495 *490 (CptPort
     14619*491 (CptPort
    1449614620uid 15045,0
    1449714621optionalChildren [
    14498 *491 (Line
     14622*492 (Line
    1449914623uid 15049,0
    1450014624layer 5
     
    1455614680)
    1455714681)
    14558 *492 (CptPort
     14682*493 (CptPort
    1455914683uid 15050,0
    1456014684optionalChildren [
    14561 *493 (Line
     14685*494 (Line
    1456214686uid 15054,0
    1456314687layer 5
     
    1457214696]
    1457314697)
    14574 *494 (Circle
     14698*495 (Circle
    1457514699uid 15055,0
    1457614700va (VaSet
     
    1463414758)
    1463514759)
    14636 *495 (CommentGraphic
     14760*496 (CommentGraphic
    1463714761uid 15056,0
    1463814762shape (CustomPolygon
     
    1467614800stg "VerticalLayoutStrategy"
    1467714801textVec [
    14678 *496 (Text
     14802*497 (Text
    1467914803uid 15061,0
    1468014804va (VaSet
     
    1468614810blo "155350,77900"
    1468714811)
    14688 *497 (Text
     14812*498 (Text
    1468914813uid 15062,0
    1469014814va (VaSet
     
    1469514819blo "155350,78900"
    1469614820)
    14697 *498 (Text
     14821*499 (Text
    1469814822uid 15063,0
    1469914823va (VaSet
     
    1474014864)
    1474114865)
    14742 *499 (Net
     14866*500 (Net
    1474314867uid 15077,0
    1474414868decl (Decl
     
    1475614880font "Courier New,8,0"
    1475714881)
    14758 xt "-172000,66800,-112000,67600"
    14759 st "SIGNAL denable_prim                 : std_logic                                    := '0' -- default domino wave off"
    14760 )
    14761 )
    14762 *500 (Net
     14882xt "-172000,67600,-112000,68400"
     14883st "SIGNAL denable_prim                 : std_logic                                    := '0' -- default domino wave off
     14884"
     14885)
     14886)
     14887*501 (Net
    1476314888uid 15079,0
    1476414889decl (Decl
     
    1477614901font "Courier New,8,0"
    1477714902)
    14778 xt "-172000,70000,-112000,70800"
    14779 st "SIGNAL din1                         : std_logic                                    := '0' -- default domino wave off"
    14780 )
    14781 )
    14782 *501 (Net
     14903xt "-172000,70800,-112000,71600"
     14904st "SIGNAL din1                         : std_logic                                    := '0' -- default domino wave off
     14905"
     14906)
     14907)
     14908*502 (Net
    1478314909uid 15492,0
    1478414910decl (Decl
     
    1479314919font "Courier New,8,0"
    1479414920)
    14795 xt "-172000,119600,-146500,120400"
    14796 st "SIGNAL trigger_out                  : std_logic"
    14797 )
    14798 )
    14799 *502 (Net
     14921xt "-172000,120400,-146500,121200"
     14922st "SIGNAL trigger_out                  : std_logic
     14923"
     14924)
     14925)
     14926*503 (Net
    1480014927uid 15748,0
    1480114928lang 2
     
    1481414941font "Courier New,8,0"
    1481514942)
    14816 xt "-172000,118000,-136500,118800"
    14817 st "SIGNAL trigger_id                   : std_logic_vector(31 downto 0)"
    14818 )
    14819 )
    14820 *503 (Net
     14943xt "-172000,118800,-136500,119600"
     14944st "SIGNAL trigger_id                   : std_logic_vector(31 downto 0)
     14945"
     14946)
     14947)
     14948*504 (Net
    1482114949uid 16369,0
    1482214950decl (Decl
     
    1483514963font "Courier New,8,0"
    1483614964)
    14837 xt "-172000,48400,-119500,49200"
    14838 st "SIGNAL DCM_PS_status                : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')"
    14839 )
    14840 )
    14841 *504 (SaComponent
     14965xt "-172000,49200,-119500,50000"
     14966st "SIGNAL DCM_PS_status                : std_logic_vector(7 DOWNTO 0)                 := (OTHERS => '0')
     14967"
     14968)
     14969)
     14970*505 (SaComponent
    1484214971uid 16404,0
    1484314972optionalChildren [
    14844 *505 (CptPort
     14973*506 (CptPort
    1484514974uid 16388,0
    1484614975ps "OnEdgeStrategy"
     
    1487815007)
    1487915008)
    14880 *506 (CptPort
     15009*507 (CptPort
    1488115010uid 16396,0
    1488215011ps "OnEdgeStrategy"
     
    1491815047)
    1491915048)
    14920 *507 (CptPort
     15049*508 (CptPort
    1492115050uid 16400,0
    1492215051ps "OnEdgeStrategy"
     
    1497415103stg "VerticalLayoutStrategy"
    1497515104textVec [
    14976 *508 (Text
     15105*509 (Text
    1497715106uid 16407,0
    1497815107va (VaSet
     
    1498415113tm "BdLibraryNameMgr"
    1498515114)
    14986 *509 (Text
     15115*510 (Text
    1498715116uid 16408,0
    1498815117va (VaSet
     
    1499415123tm "CptNameMgr"
    1499515124)
    14996 *510 (Text
     15125*511 (Text
    1499715126uid 16409,0
    1499815127va (VaSet
     
    1504115170archFileType "UNKNOWN"
    1504215171)
    15043 *511 (Net
     15172*512 (Net
    1504415173uid 16545,0
    1504515174decl (Decl
     
    1505815187font "Courier New,8,0"
    1505915188)
    15060 xt "-172000,70800,-119500,71600"
    15061 st "SIGNAL dna                          : STD_LOGIC_VECTOR(63 DOWNTO 0)                := (others => '0')"
    15062 )
    15063 )
    15064 *512 (Net
     15189xt "-172000,71600,-119500,72400"
     15190st "SIGNAL dna                          : STD_LOGIC_VECTOR(63 DOWNTO 0)                := (others => '0')
     15191"
     15192)
     15193)
     15194*513 (Net
    1506515195uid 16562,0
    1506615196decl (Decl
     
    1507815208font "Courier New,8,0"
    1507915209)
    15080 xt "-172000,98000,-125500,98800"
    15081 st "SIGNAL ready                        : STD_LOGIC                                    := '0'"
    15082 )
    15083 )
    15084 *513 (SaComponent
     15210xt "-172000,98800,-125500,99600"
     15211st "SIGNAL ready                        : STD_LOGIC                                    := '0'
     15212"
     15213)
     15214)
     15215*514 (SaComponent
    1508515216uid 16865,0
    1508615217optionalChildren [
    15087 *514 (CptPort
     15218*515 (CptPort
    1508815219uid 16841,0
    1508915220ps "OnEdgeStrategy"
     
    1511815249)
    1511915250)
    15120 *515 (CptPort
     15251*516 (CptPort
    1512115252uid 16845,0
    1512215253ps "OnEdgeStrategy"
     
    1515415285)
    1515515286)
    15156 *516 (CptPort
     15287*517 (CptPort
    1515715288uid 16849,0
    1515815289ps "OnEdgeStrategy"
     
    1518715318)
    1518815319)
    15189 *517 (CptPort
     15320*518 (CptPort
    1519015321uid 16853,0
    1519115322ps "OnEdgeStrategy"
     
    1522315354)
    1522415355)
    15225 *518 (CptPort
     15356*519 (CptPort
    1522615357uid 16857,0
    1522715358ps "OnEdgeStrategy"
     
    1525615387)
    1525715388)
    15258 *519 (CptPort
     15389*520 (CptPort
    1525915390uid 16861,0
    1526015391ps "OnEdgeStrategy"
     
    1530615437stg "VerticalLayoutStrategy"
    1530715438textVec [
    15308 *520 (Text
     15439*521 (Text
    1530915440uid 16868,0
    1531015441va (VaSet
     
    1531615447tm "BdLibraryNameMgr"
    1531715448)
    15318 *521 (Text
     15449*522 (Text
    1531915450uid 16869,0
    1532015451va (VaSet
     
    1532615457tm "CptNameMgr"
    1532715458)
    15328 *522 (Text
     15459*523 (Text
    1532915460uid 16870,0
    1533015461va (VaSet
     
    1538515516archFileType "UNKNOWN"
    1538615517)
    15387 *523 (MWC
     15518*524 (MWC
    1538815519uid 16902,0
    1538915520optionalChildren [
    15390 *524 (CptPort
     15521*525 (CptPort
    1539115522uid 16891,0
    1539215523optionalChildren [
    15393 *525 (Line
     15524*526 (Line
    1539415525uid 16895,0
    1539515526layer 5
     
    1545315584)
    1545415585)
    15455 *526 (Grouping
     15586*527 (Grouping
    1545615587uid 16896,0
    1545715588optionalChildren [
    15458 *527 (CommentGraphic
     15589*528 (CommentGraphic
    1545915590uid 16898,0
    1546015591shape (PolyLine2D
     
    1547815609oxt "7000,6000,7000,8000"
    1547915610)
    15480 *528 (CommentGraphic
     15611*529 (CommentGraphic
    1548115612uid 16900,0
    1548215613shape (PolyLine2D
     
    1553415665stg "VerticalLayoutStrategy"
    1553515666textVec [
    15536 *529 (Text
     15667*530 (Text
    1553715668uid 16905,0
    1553815669va (VaSet
     
    1554415675blo "-84650,142900"
    1554515676)
    15546 *530 (Text
     15677*531 (Text
    1554715678uid 16906,0
    1554815679va (VaSet
     
    1555315684blo "-84650,143900"
    1555415685)
    15555 *531 (Text
     15686*532 (Text
    1555615687uid 16907,0
    1555715688va (VaSet
     
    1559815729)
    1559915730)
    15600 *532 (Net
     15731*533 (Net
    1560115732uid 16912,0
    1560215733decl (Decl
     
    1561115742font "Courier New,8,0"
    1561215743)
    15613 xt "-172000,86800,-146500,87600"
    15614 st "SIGNAL enable_i                     : std_logic"
    15615 )
    15616 )
    15617 *533 (MWC
     15744xt "-172000,87600,-146500,88400"
     15745st "SIGNAL enable_i                     : std_logic
     15746"
     15747)
     15748)
     15749*534 (MWC
    1561815750uid 16927,0
    1561915751optionalChildren [
    15620 *534 (CptPort
     15752*535 (CptPort
    1562115753uid 16918,0
    1562215754optionalChildren [
    15623 *535 (Line
     15755*536 (Line
    1562415756uid 16922,0
    1562515757layer 5
     
    1568315815)
    1568415816)
    15685 *536 (CommentGraphic
     15817*537 (CommentGraphic
    1568615818uid 16923,0
    1568715819shape (PolyLine2D
     
    1570215834oxt "7000,7000,7000,8000"
    1570315835)
    15704 *537 (CommentGraphic
     15836*538 (CommentGraphic
    1570515837uid 16925,0
    1570615838shape (CustomPolygon
     
    1574415876stg "VerticalLayoutStrategy"
    1574515877textVec [
    15746 *538 (Text
     15878*539 (Text
    1574715879uid 16930,0
    1574815880va (VaSet
     
    1575415886blo "-81550,150495"
    1575515887)
    15756 *539 (Text
     15888*540 (Text
    1575715889uid 16931,0
    1575815890va (VaSet
     
    1576315895blo "-81550,151495"
    1576415896)
    15765 *540 (Text
     15897*541 (Text
    1576615898uid 16932,0
    1576715899va (VaSet
     
    1580815940)
    1580915941)
    15810 *541 (Net
     15942*542 (Net
    1581115943uid 16937,0
    1581215944decl (Decl
     
    1582115953font "Courier New,8,0"
    1582215954)
    15823 xt "-172000,99600,-146500,100400"
    15824 st "SIGNAL reset_synch_i                : std_logic"
    15825 )
    15826 )
    15827 *542 (Net
     15955xt "-172000,100400,-146500,101200"
     15956st "SIGNAL reset_synch_i                : std_logic
     15957"
     15958)
     15959)
     15960*543 (Net
    1582815961uid 16951,0
    1582915962decl (Decl
     
    1583915972font "Courier New,8,0"
    1584015973)
    15841 xt "-172000,116400,-136500,117200"
    15842 st "SIGNAL time                         : std_logic_vector(31 DOWNTO 0)"
    15843 )
    15844 )
    15845 *543 (Net
     15974xt "-172000,117200,-136500,118000"
     15975st "SIGNAL time                         : std_logic_vector(31 DOWNTO 0)
     15976"
     15977)
     15978)
     15979*544 (Net
    1584615980uid 17001,0
    1584715981decl (Decl
     
    1585915993font "Courier New,8,0"
    1586015994)
    15861 xt "-172000,102800,-132000,103600"
    15862 st "SIGNAL rs465_data                   : std_logic_vector(55 DOWNTO 0) --7 byte"
    15863 )
    15864 )
    15865 *544 (Net
     15995xt "-172000,103600,-132000,104400"
     15996st "SIGNAL rs465_data                   : std_logic_vector(55 DOWNTO 0) --7 byte
     15997"
     15998)
     15999)
     16000*545 (Net
    1586616001uid 17025,0
    1586716002decl (Decl
     
    1588216017font "Courier New,8,0"
    1588316018)
    15884 xt "-172000,50800,-123500,55600"
     16019xt "-172000,51600,-123500,56400"
    1588516020st "--
    1588616021
     
    1588816023-- during EVT header wrinting, this field is left out ... and only written into event header,
    1588916024-- when the DRS chip were read out already.
    15890 SIGNAL FTM_RS485_ready              : std_logic"
    15891 )
    15892 )
    15893 *545 (Net
     16025SIGNAL FTM_RS485_ready              : std_logic
     16026"
     16027)
     16028)
     16029*546 (Net
    1589416030uid 17391,0
    1589516031decl (Decl
     
    1590616042font "Courier New,8,0"
    1590716043)
    15908 xt "-172000,61200,-136500,62000"
    15909 st "SIGNAL c_trigger_mult               : std_logic_vector(15 DOWNTO 0)"
    15910 )
    15911 )
    15912 *546 (Net
     16044xt "-172000,62000,-136500,62800"
     16045st "SIGNAL c_trigger_mult               : std_logic_vector(15 DOWNTO 0)
     16046"
     16047)
     16048)
     16049*547 (Net
    1591316050uid 18457,0
    1591416051lang 2
     
    1592416061font "Courier New,8,0"
    1592516062)
    15926 xt "-172000,65200,-146500,66000"
    15927 st "SIGNAL data_ram_empty               : std_logic"
    15928 )
    15929 )
    15930 *547 (PortIoOut
     16063xt "-172000,66000,-146500,66800"
     16064st "SIGNAL data_ram_empty               : std_logic
     16065"
     16066)
     16067)
     16068*548 (PortIoOut
    1593116069uid 18968,0
    1593216070shape (CompositeShape
     
    1597316111)
    1597416112)
    15975 *548 (Net
     16113*549 (Net
    1597616114uid 18980,0
    1597716115lang 2
     
    1598816126)
    1598916127xt "-172000,15200,-150000,16000"
    15990 st "ADC_CLK                      : std_logic"
    15991 )
    15992 )
    15993 *549 (MWC
     16128st "ADC_CLK                      : std_logic
     16129"
     16130)
     16131)
     16132*550 (MWC
    1599416133uid 19265,0
    1599516134optionalChildren [
    15996 *550 (CptPort
     16135*551 (CptPort
    1599716136uid 19237,0
    1599816137optionalChildren [
    15999 *551 (Line
     16138*552 (Line
    1600016139uid 19241,0
    1600116140layer 5
     
    1601016149]
    1601116150)
    16012 *552 (Property
     16151*553 (Property
    1601316152uid 19242,0
    1601416153pclass "_MW_GEOM_"
     
    1605516194)
    1605616195)
    16057 *553 (CptPort
     16196*554 (CptPort
    1605816197uid 19243,0
    1605916198optionalChildren [
    16060 *554 (Line
     16199*555 (Line
    1606116200uid 19247,0
    1606216201layer 5
     
    1611016249)
    1611116250)
    16112 *555 (CptPort
     16251*556 (CptPort
    1611316252uid 19248,0
    1611416253optionalChildren [
    16115 *556 (Line
     16254*557 (Line
    1611616255uid 19252,0
    1611716256layer 5
     
    1616416303)
    1616516304)
    16166 *557 (CommentGraphic
     16305*558 (CommentGraphic
    1616716306uid 19253,0
    1616816307optionalChildren [
    16169 *558 (Property
     16308*559 (Property
    1617016309uid 19255,0
    1617116310pclass "_MW_GEOM_"
     
    1619216331oxt "-125000,62000,-125000,62000"
    1619316332)
    16194 *559 (CommentGraphic
     16333*560 (CommentGraphic
    1619516334uid 19256,0
    1619616335optionalChildren [
    16197 *560 (Property
     16336*561 (Property
    1619816337uid 19258,0
    1619916338pclass "_MW_GEOM_"
     
    1622016359oxt "-125000,66000,-125000,66000"
    1622116360)
    16222 *561 (Grouping
     16361*562 (Grouping
    1622316362uid 19259,0
    1622416363optionalChildren [
    16225 *562 (CommentGraphic
     16364*563 (CommentGraphic
    1622616365uid 19261,0
    1622716366shape (PolyLine2D
     
    1624516384oxt "-127000,62000,-125000,66000"
    1624616385)
    16247 *563 (CommentGraphic
     16386*564 (CommentGraphic
    1624816387uid 19263,0
    1624916388shape (Arc2D
     
    1630116440stg "VerticalLayoutStrategy"
    1630216441textVec [
    16303 *564 (Text
     16442*565 (Text
    1630416443uid 19268,0
    1630516444va (VaSet
     
    1631116450blo "-49500,37300"
    1631216451)
    16313 *565 (Text
     16452*566 (Text
    1631416453uid 19269,0
    1631516454va (VaSet
     
    1632016459blo "-49500,38300"
    1632116460)
    16322 *566 (Text
     16461*567 (Text
    1632316462uid 19270,0
    1632416463va (VaSet
     
    1636516504)
    1636616505)
    16367 *567 (Net
     16506*568 (Net
    1636816507uid 20151,0
    1636916508lang 10
     
    1638016519font "Courier New,8,0"
    1638116520)
    16382 xt "-172000,62800,-120000,63600"
    16383 st "SIGNAL current_dac_array            : dac_array_type                               := ( others => 0)"
    16384 )
    16385 )
    16386 *568 (Net
     16521xt "-172000,63600,-120000,64400"
     16522st "SIGNAL current_dac_array            : dac_array_type                               := ( others => 0)
     16523"
     16524)
     16525)
     16526*569 (Net
    1638716527uid 20511,0
    1638816528decl (Decl
     
    1639916539font "Courier New,8,0"
    1640016540)
    16401 xt "-172000,118800,-146500,119600"
    16402 st "SIGNAL trigger_or_s_trigger         : std_logic"
    16403 )
    16404 )
    16405 *569 (Net
     16541xt "-172000,119600,-146500,120400"
     16542st "SIGNAL trigger_or_s_trigger         : std_logic
     16543"
     16544)
     16545)
     16546*570 (Net
    1640616547uid 20513,0
    1640716548decl (Decl
     
    1641816559font "Courier New,8,0"
    1641916560)
    16420 xt "-172000,87600,-146500,88400"
    16421 st "SIGNAL enabled_trigger_or_s_trigger : std_logic"
    16422 )
    16423 )
    16424 *570 (Net
     16561xt "-172000,88400,-146500,89200"
     16562st "SIGNAL enabled_trigger_or_s_trigger : std_logic
     16563"
     16564)
     16565)
     16566*571 (Net
    1642516567uid 20519,0
    1642616568decl (Decl
     
    1643516577font "Courier New,8,0"
    1643616578)
    16437 xt "-172000,62000,-146500,62800"
    16438 st "SIGNAL cont_trigger                 : std_logic"
    16439 )
    16440 )
    16441 *571 (Net
     16579xt "-172000,62800,-146500,63600"
     16580st "SIGNAL cont_trigger                 : std_logic
     16581"
     16582)
     16583)
     16584*572 (Net
    1644216585uid 20521,0
    1644316586decl (Decl
     
    1645216595font "Courier New,8,0"
    1645316596)
    16454 xt "-172000,106000,-146500,106800"
    16455 st "SIGNAL s_trigger_or_cont_trigger    : std_logic"
    16456 )
    16457 )
    16458 *572 (Net
     16597xt "-172000,106800,-146500,107600"
     16598st "SIGNAL s_trigger_or_cont_trigger    : std_logic
     16599"
     16600)
     16601)
     16602*573 (Net
    1645916603uid 20921,0
    1646016604decl (Decl
     
    1647216616font "Courier New,8,0"
    1647316617)
    16474 xt "-172000,63600,-98500,64400"
    16475 st "SIGNAL dac_setting                  : dac_array_type                               := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd"
    16476 )
    16477 )
    16478 *573 (Net
     16618xt "-172000,64400,-98500,65200"
     16619st "SIGNAL dac_setting                  : dac_array_type                               := DEFAULT_DAC --<<-- default defined in fad_definitions.vhd
     16620"
     16621)
     16622)
     16623*574 (Net
    1647916624uid 20937,0
    1648016625decl (Decl
     
    1648916634font "Courier New,8,0"
    1649016635)
    16491 xt "-172000,102000,-144000,102800"
    16492 st "SIGNAL roi_setting                  : roi_array_type"
    16493 )
    16494 )
    16495 *574 (Net
     16636xt "-172000,102800,-144000,103600"
     16637st "SIGNAL roi_setting                  : roi_array_type
     16638"
     16639)
     16640)
     16641*575 (Net
    1649616642uid 21033,0
    1649716643decl (Decl
     
    1650716653font "Courier New,8,0"
    1650816654)
    16509 xt "-172000,89200,-125500,90000"
    16510 st "SIGNAL memory_manager_config_start  : std_logic                                    := '0'"
    16511 )
    16512 )
    16513 *575 (Net
     16655xt "-172000,90000,-125500,90800"
     16656st "SIGNAL memory_manager_config_start  : std_logic                                    := '0'
     16657"
     16658)
     16659)
     16660*576 (Net
    1651416661uid 21037,0
    1651516662decl (Decl
     
    1652416671font "Courier New,8,0"
    1652516672)
    16526 xt "-172000,90000,-146500,90800"
    16527 st "SIGNAL memory_manager_config_valid  : std_logic"
    16528 )
    16529 )
    16530 *576 (Net
     16673xt "-172000,90800,-146500,91600"
     16674st "SIGNAL memory_manager_config_valid  : std_logic
     16675"
     16676)
     16677)
     16678*577 (Net
    1653116679uid 21039,0
    1653216680decl (Decl
     
    1654216690font "Courier New,8,0"
    1654316691)
    16544 xt "-172000,111600,-125500,112400"
    16545 st "SIGNAL spi_interface_config_start   : std_logic                                    := '0'"
    16546 )
    16547 )
    16548 *577 (Net
     16692xt "-172000,112400,-125500,113200"
     16693st "SIGNAL spi_interface_config_start   : std_logic                                    := '0'
     16694"
     16695)
     16696)
     16697*578 (Net
    1654916698uid 21043,0
    1655016699decl (Decl
     
    1655916708font "Courier New,8,0"
    1656016709)
    16561 xt "-172000,112400,-146500,113200"
    16562 st "SIGNAL spi_interface_config_valid   : std_logic"
    16563 )
    16564 )
    16565 *578 (MWC
     16710xt "-172000,113200,-146500,114000"
     16711st "SIGNAL spi_interface_config_valid   : std_logic
     16712"
     16713)
     16714)
     16715*579 (MWC
    1656616716uid 21806,0
    1656716717optionalChildren [
    16568 *579 (CptPort
     16718*580 (CptPort
    1656916719uid 21784,0
    1657016720optionalChildren [
    16571 *580 (Property
     16721*581 (Property
    1657216722uid 21788,0
    1657316723pclass "_MW_GEOM_"
     
    1657516725ptn "String"
    1657616726)
    16577 *581 (Property
     16727*582 (Property
    1657816728uid 21789,0
    1657916729pclass "_MW_GEOM_"
     
    1662016770)
    1662116771)
    16622 *582 (CommentText
     16772*583 (CommentText
    1662316773uid 21790,0
    1662416774shape (Rectangle
     
    1665416804position 1
    1665516805)
    16656 *583 (CommentText
     16806*584 (CommentText
    1665716807uid 21793,0
    1665816808shape (Rectangle
     
    1668816838position 1
    1668916839)
    16690 *584 (CommentGraphic
     16840*585 (CommentGraphic
    1669116841uid 21796,0
    1669216842shape (PolyLine2D
     
    1670916859oxt "6000,8000,8000,8000"
    1671016860)
    16711 *585 (CommentGraphic
     16861*586 (CommentGraphic
    1671216862uid 21798,0
    1671316863optionalChildren [
    16714 *586 (Property
     16864*587 (Property
    1671516865uid 21800,0
    1671616866pclass "_MW_GEOM_"
     
    1673816888oxt "10000,9000,10000,9000"
    1673916889)
    16740 *587 (CommentGraphic
     16890*588 (CommentGraphic
    1674116891uid 21801,0
    1674216892optionalChildren [
    16743 *588 (Property
     16893*589 (Property
    1674416894uid 21803,0
    1674516895pclass "_MW_GEOM_"
     
    1676716917oxt "10000,7000,10000,7000"
    1676816918)
    16769 *589 (CommentGraphic
     16919*590 (CommentGraphic
    1677016920uid 21804,0
    1677116921shape (CustomPolygon
     
    1678916939oxt "8000,7000,10000,9000"
    1679016940)
    16791 *590 (CptPort
     16941*591 (CptPort
    1679216942uid 21827,0
    1679316943ps "OnEdgeStrategy"
     
    1682916979)
    1683016980)
    16831 *591 (CptPort
     16981*592 (CptPort
    1683216982uid 21831,0
    1683316983ps "OnEdgeStrategy"
     
    1686917019)
    1687017020)
    16871 *592 (CptPort
     17021*593 (CptPort
    1687217022uid 21835,0
    1687317023ps "OnEdgeStrategy"
     
    1690817058)
    1690917059)
    16910 *593 (CptPort
     17060*594 (CptPort
    1691117061uid 21839,0
    1691217062ps "OnEdgeStrategy"
     
    1696617116stg "VerticalLayoutStrategy"
    1696717117textVec [
    16968 *594 (Text
     17118*595 (Text
    1696917119uid 21809,0
    1697017120va (VaSet
     
    1697617126blo "-86100,123800"
    1697717127)
    16978 *595 (Text
     17128*596 (Text
    1697917129uid 21810,0
    1698017130va (VaSet
     
    1698517135blo "-86100,124800"
    1698617136)
    16987 *596 (Text
     17137*597 (Text
    1698817138uid 21811,0
    1698917139va (VaSet
     
    1702917179)
    1703017180)
    17031 *597 (MWC
     17181*598 (MWC
    1703217182uid 21871,0
    1703317183optionalChildren [
    17034 *598 (CptPort
     17184*599 (CptPort
    1703517185uid 21843,0
    1703617186optionalChildren [
    17037 *599 (Line
     17187*600 (Line
    1703817188uid 21847,0
    1703917189layer 5
     
    1704817198]
    1704917199)
    17050 *600 (Property
     17200*601 (Property
    1705117201uid 21848,0
    1705217202pclass "_MW_GEOM_"
     
    1709317243)
    1709417244)
    17095 *601 (CommentGraphic
     17245*602 (CommentGraphic
    1709617246uid 21859,0
    1709717247optionalChildren [
    17098 *602 (Property
     17248*603 (Property
    1709917249uid 21861,0
    1710017250pclass "_MW_GEOM_"
     
    1712017270oxt "7000,10000,7000,10000"
    1712117271)
    17122 *603 (CommentGraphic
     17272*604 (CommentGraphic
    1712317273uid 21862,0
    1712417274optionalChildren [
    17125 *604 (Property
     17275*605 (Property
    1712617276uid 21864,0
    1712717277pclass "_MW_GEOM_"
     
    1714717297oxt "7000,6000,7000,6000"
    1714817298)
    17149 *605 (Grouping
     17299*606 (Grouping
    1715017300uid 21865,0
    1715117301optionalChildren [
    17152 *606 (CommentGraphic
     17302*607 (CommentGraphic
    1715317303uid 21867,0
    1715417304shape (PolyLine2D
     
    1717117321oxt "7000,6000,9000,10000"
    1717217322)
    17173 *607 (CommentGraphic
     17323*608 (CommentGraphic
    1717417324uid 21869,0
    1717517325shape (Arc2D
     
    1720517355oxt "7000,6000,11000,10000"
    1720617356)
    17207 *608 (CptPort
     17357*609 (CptPort
    1720817358uid 21895,0
    1720917359optionalChildren [
    17210 *609 (Line
     17360*610 (Line
    1721117361uid 21899,0
    1721217362sl 0
     
    1725717407)
    1725817408)
    17259 *610 (CptPort
     17409*611 (CptPort
    1726017410uid 21900,0
    1726117411optionalChildren [
    17262 *611 (Line
     17412*612 (Line
    1726317413uid 21904,0
    1726417414sl 0
     
    1730917459)
    1731017460)
    17311 *612 (CptPort
     17461*613 (CptPort
    1731217462uid 21905,0
    1731317463optionalChildren [
    17314 *613 (Line
     17464*614 (Line
    1731517465uid 21913,0
    1731617466layer 5
     
    1736117511)
    1736217512)
    17363 *614 (CptPort
     17513*615 (CptPort
    1736417514uid 21909,0
    1736517515optionalChildren [
    17366 *615 (Line
     17516*616 (Line
    1736717517uid 21914,0
    1736817518layer 5
     
    1743217582stg "VerticalLayoutStrategy"
    1743317583textVec [
    17434 *616 (Text
     17584*617 (Text
    1743517585uid 21874,0
    1743617586va (VaSet
     
    1744217592blo "-79500,122300"
    1744317593)
    17444 *617 (Text
     17594*618 (Text
    1744517595uid 21875,0
    1744617596va (VaSet
     
    1745117601blo "-79500,123300"
    1745217602)
    17453 *618 (Text
     17603*619 (Text
    1745417604uid 21876,0
    1745517605va (VaSet
     
    1749617646)
    1749717647)
    17498 *619 (Net
     17648*620 (Net
    1749917649uid 21915,0
    1750017650decl (Decl
     
    1750917659font "Courier New,8,0"
    1751017660)
    17511 xt "-172000,72400,-146500,73200"
    17512 st "SIGNAL dout0                        : STD_LOGIC"
    17513 )
    17514 )
    17515 *620 (Net
     17661xt "-172000,73200,-146500,74000"
     17662st "SIGNAL dout0                        : STD_LOGIC
     17663"
     17664)
     17665)
     17666*621 (Net
    1751617667uid 21921,0
    1751717668decl (Decl
     
    1752617677font "Courier New,8,0"
    1752717678)
    17528 xt "-172000,73200,-146500,74000"
    17529 st "SIGNAL dout1                        : STD_LOGIC"
    17530 )
    17531 )
    17532 *621 (Net
     17679xt "-172000,74000,-146500,74800"
     17680st "SIGNAL dout1                        : STD_LOGIC
     17681"
     17682)
     17683)
     17684*622 (Net
    1753317685uid 21927,0
    1753417686decl (Decl
     
    1754317695font "Courier New,8,0"
    1754417696)
    17545 xt "-172000,74000,-146500,74800"
    17546 st "SIGNAL dout2                        : STD_LOGIC"
    17547 )
    17548 )
    17549 *622 (Net
     17697xt "-172000,74800,-146500,75600"
     17698st "SIGNAL dout2                        : STD_LOGIC
     17699"
     17700)
     17701)
     17702*623 (Net
    1755017703uid 21933,0
    1755117704decl (Decl
     
    1756017713font "Courier New,8,0"
    1756117714)
    17562 xt "-172000,74800,-146500,75600"
    17563 st "SIGNAL dout3                        : STD_LOGIC"
    17564 )
    17565 )
    17566 *623 (MWC
     17715xt "-172000,75600,-146500,76400"
     17716st "SIGNAL dout3                        : STD_LOGIC
     17717"
     17718)
     17719)
     17720*624 (MWC
    1756717721uid 21975,0
    1756817722optionalChildren [
    17569 *624 (CptPort
     17723*625 (CptPort
    1757017724uid 21944,0
    1757117725optionalChildren [
    17572 *625 (Property
     17726*626 (Property
    1757317727uid 21948,0
    1757417728pclass "_MW_GEOM_"
     
    1757617730ptn "String"
    1757717731)
    17578 *626 (Line
     17732*627 (Line
    1757917733uid 21949,0
    1758017734layer 5
     
    1762817782)
    1762917783)
    17630 *627 (CommentGraphic
     17784*628 (CommentGraphic
    1763117785uid 21955,0
    1763217786shape (Arc2D
     
    1764917803oxt "7000,6003,11000,8000"
    1765017804)
    17651 *628 (CommentGraphic
     17805*629 (CommentGraphic
    1765217806uid 21957,0
    1765317807shape (Arc2D
     
    1767017824oxt "6996,8005,11000,10000"
    1767117825)
    17672 *629 (Grouping
     17826*630 (Grouping
    1767317827uid 21959,0
    1767417828optionalChildren [
    17675 *630 (CommentGraphic
     17829*631 (CommentGraphic
    1767617830uid 21961,0
    1767717831optionalChildren [
    17678 *631 (Property
     17832*632 (Property
    1767917833uid 21963,0
    1768017834pclass "_MW_GEOM_"
     
    1770717861oxt "7000,6000,11000,9998"
    1770817862)
    17709 *632 (CommentGraphic
     17863*633 (CommentGraphic
    1771017864uid 21964,0
    1771117865optionalChildren [
    17712 *633 (Property
     17866*634 (Property
    1771317867uid 21966,0
    1771417868pclass "_MW_GEOM_"
     
    1775217906oxt "7000,6000,11000,10000"
    1775317907)
    17754 *634 (CommentGraphic
     17908*635 (CommentGraphic
    1775517909uid 21967,0
    1775617910shape (PolyLine2D
     
    1777117925oxt "11000,8000,11000,8000"
    1777217926)
    17773 *635 (CommentGraphic
     17927*636 (CommentGraphic
    1777417928uid 21969,0
    1777517929optionalChildren [
    17776 *636 (Property
     17930*637 (Property
    1777717931uid 21971,0
    1777817932pclass "_MW_GEOM_"
     
    1779817952oxt "7000,6000,7000,6000"
    1779917953)
    17800 *637 (CommentGraphic
     17954*638 (CommentGraphic
    1780117955uid 21972,0
    1780217956optionalChildren [
    17803 *638 (Property
     17957*639 (Property
    1780417958uid 21974,0
    1780517959pclass "_MW_GEOM_"
     
    1782517979oxt "7000,10000,7000,10000"
    1782617980)
    17827 *639 (CptPort
     17981*640 (CptPort
    1782817982uid 22078,0
    1782917983optionalChildren [
    17830 *640 (Line
     17984*641 (Line
    1783117985uid 22082,0
    1783217986sl 0
     
    1787718031)
    1787818032)
    17879 *641 (CptPort
     18033*642 (CptPort
    1788018034uid 22083,0
    1788118035optionalChildren [
    17882 *642 (Line
     18036*643 (Line
    1788318037uid 22087,0
    1788418038sl 0
     
    1794818102stg "VerticalLayoutStrategy"
    1794918103textVec [
    17950 *643 (Text
     18104*644 (Text
    1795118105uid 21978,0
    1795218106va (VaSet
     
    1795818112blo "-64500,125300"
    1795918113)
    17960 *644 (Text
     18114*645 (Text
    1796118115uid 21979,0
    1796218116va (VaSet
     
    1796718121blo "-64500,126300"
    1796818122)
    17969 *645 (Text
     18123*646 (Text
    1797018124uid 21980,0
    1797118125va (VaSet
     
    1801218166)
    1801318167)
    18014 *646 (Net
     18168*647 (Net
    1801518169uid 21984,0
    1801618170decl (Decl
     
    1802518179font "Courier New,8,0"
    1802618180)
    18027 xt "-172000,71600,-146500,72400"
    18028 st "SIGNAL dout                         : STD_LOGIC"
    18029 )
    18030 )
    18031 *647 (Net
     18181xt "-172000,72400,-146500,73200"
     18182st "SIGNAL dout                         : STD_LOGIC
     18183"
     18184)
     18185)
     18186*648 (Net
    1803218187uid 21998,0
    1803318188decl (Decl
     
    1804218197font "Courier New,8,0"
    1804318198)
    18044 xt "-172000,55600,-146500,56400"
    18045 st "SIGNAL I_really_want_dwrite         : STD_LOGIC"
    18046 )
    18047 )
    18048 *648 (Net
     18199xt "-172000,56400,-146500,57200"
     18200st "SIGNAL I_really_want_dwrite         : STD_LOGIC
     18201"
     18202)
     18203)
     18204*649 (Net
    1804918205uid 22043,0
    1805018206decl (Decl
     
    1806018216font "Courier New,8,0"
    1806118217)
    18062 xt "-172000,84400,-125500,85200"
    18063 st "SIGNAL dwrite_enable_w5300          : std_logic                                    := '1'"
    18064 )
    18065 )
    18066 *649 (Net
     18218xt "-172000,85200,-125500,86000"
     18219st "SIGNAL dwrite_enable_w5300          : std_logic                                    := '1'
     18220"
     18221)
     18222)
     18223*650 (Net
    1806718224uid 22076,0
    1806818225decl (Decl
     
    1807818235font "Courier New,8,0"
    1807918236)
    18080 xt "-172000,85200,-125500,86000"
    18081 st "SIGNAL dwrite_global_enable         : std_logic                                    := '1'"
    18082 )
    18083 )
    18084 *650 (MWC
     18237xt "-172000,86000,-125500,86800"
     18238st "SIGNAL dwrite_global_enable         : std_logic                                    := '1'
     18239"
     18240)
     18241)
     18242*651 (MWC
    1808518243uid 22116,0
    1808618244optionalChildren [
    18087 *651 (CptPort
     18245*652 (CptPort
    1808818246uid 22088,0
    1808918247optionalChildren [
    18090 *652 (Line
     18248*653 (Line
    1809118249uid 22092,0
    1809218250layer 5
     
    1810118259]
    1810218260)
    18103 *653 (Property
     18261*654 (Property
    1810418262uid 22093,0
    1810518263pclass "_MW_GEOM_"
     
    1814718305)
    1814818306)
    18149 *654 (CptPort
     18307*655 (CptPort
    1815018308uid 22094,0
    1815118309optionalChildren [
    18152 *655 (Line
     18310*656 (Line
    1815318311uid 22098,0
    1815418312layer 5
     
    1820118359)
    1820218360)
    18203 *656 (CptPort
     18361*657 (CptPort
    1820418362uid 22099,0
    1820518363optionalChildren [
    18206 *657 (Line
     18364*658 (Line
    1820718365uid 22103,0
    1820818366layer 5
     
    1825418412)
    1825518413)
    18256 *658 (CommentGraphic
     18414*659 (CommentGraphic
    1825718415uid 22104,0
    1825818416optionalChildren [
    18259 *659 (Property
     18417*660 (Property
    1826018418uid 22106,0
    1826118419pclass "_MW_GEOM_"
     
    1828118439oxt "7000,10000,7000,10000"
    1828218440)
    18283 *660 (CommentGraphic
     18441*661 (CommentGraphic
    1828418442uid 22107,0
    1828518443optionalChildren [
    18286 *661 (Property
     18444*662 (Property
    1828718445uid 22109,0
    1828818446pclass "_MW_GEOM_"
     
    1830818466oxt "7000,6000,7000,6000"
    1830918467)
    18310 *662 (Grouping
     18468*663 (Grouping
    1831118469uid 22110,0
    1831218470optionalChildren [
    18313 *663 (CommentGraphic
     18471*664 (CommentGraphic
    1831418472uid 22112,0
    1831518473shape (PolyLine2D
     
    1833218490oxt "7000,6000,9000,10000"
    1833318491)
    18334 *664 (CommentGraphic
     18492*665 (CommentGraphic
    1833518493uid 22114,0
    1833618494shape (Arc2D
     
    1838518543stg "VerticalLayoutStrategy"
    1838618544textVec [
    18387 *665 (Text
     18545*666 (Text
    1838818546uid 22119,0
    1838918547va (VaSet
     
    1839518553blo "-55500,124300"
    1839618554)
    18397 *666 (Text
     18555*667 (Text
    1839818556uid 22120,0
    1839918557va (VaSet
     
    1840418562blo "-55500,125300"
    1840518563)
    18406 *667 (Text
     18564*668 (Text
    1840718565uid 22121,0
    1840818566va (VaSet
     
    1844918607)
    1845018608)
    18451 *668 (Net
     18609*669 (Net
    1845218610uid 22125,0
    1845318611decl (Decl
     
    1846218620font "Courier New,8,0"
    1846318621)
    18464 xt "-172000,75600,-146500,76400"
    18465 st "SIGNAL dout4                        : STD_LOGIC"
    18466 )
    18467 )
    18468 *669 (Net
     18622xt "-172000,76400,-146500,77200"
     18623st "SIGNAL dout4                        : STD_LOGIC
     18624"
     18625)
     18626)
     18627*670 (Net
    1846918628uid 22131,0
    1847018629decl (Decl
     
    1848018639font "Courier New,8,0"
    1848118640)
    18482 xt "-172000,86000,-125500,86800"
    18483 st "SIGNAL dwrite_trigger_manager       : std_logic                                    := '1'"
    18484 )
    18485 )
    18486 *670 (MWC
     18641xt "-172000,86800,-125500,87600"
     18642st "SIGNAL dwrite_trigger_manager       : std_logic                                    := '1'
     18643"
     18644)
     18645)
     18646*671 (MWC
    1848718647uid 23004,0
    1848818648optionalChildren [
    18489 *671 (CptPort
     18649*672 (CptPort
    1849018650uid 22993,0
    1849118651optionalChildren [
    18492 *672 (Line
     18652*673 (Line
    1849318653uid 22997,0
    1849418654layer 5
     
    1855218712)
    1855318713)
    18554 *673 (Grouping
     18714*674 (Grouping
    1855518715uid 22998,0
    1855618716optionalChildren [
    18557 *674 (CommentGraphic
     18717*675 (CommentGraphic
    1855818718uid 23000,0
    1855918719shape (PolyLine2D
     
    1857718737oxt "7000,6000,7000,8000"
    1857818738)
    18579 *675 (CommentGraphic
     18739*676 (CommentGraphic
    1858018740uid 23002,0
    1858118741shape (PolyLine2D
     
    1863318793stg "VerticalLayoutStrategy"
    1863418794textVec [
    18635 *676 (Text
     18795*677 (Text
    1863618796uid 23007,0
    1863718797va (VaSet
     
    1864318803blo "-93650,125900"
    1864418804)
    18645 *677 (Text
     18805*678 (Text
    1864618806uid 23008,0
    1864718807va (VaSet
     
    1865218812blo "-93650,126900"
    1865318813)
    18654 *678 (Text
     18814*679 (Text
    1865518815uid 23009,0
    1865618816va (VaSet
     
    1869718857)
    1869818858)
    18699 *679 (MWC
     18859*680 (MWC
    1870018860uid 23034,0
    1870118861optionalChildren [
    18702 *680 (CptPort
     18862*681 (CptPort
    1870318863uid 23014,0
    1870418864optionalChildren [
    18705 *681 (Line
     18865*682 (Line
    1870618866uid 23018,0
    1870718867layer 5
     
    1876918929)
    1877018930)
    18771 *682 (CptPort
     18931*683 (CptPort
    1877218932uid 23019,0
    1877318933optionalChildren [
    18774 *683 (Line
     18934*684 (Line
    1877518935uid 23023,0
    1877618936layer 5
     
    1883718997)
    1883818998)
    18839 *684 (CommentGraphic
     18999*685 (CommentGraphic
    1884019000uid 23024,0
    1884119001shape (PolyLine2D
     
    1885819018oxt "6000,6000,7000,7000"
    1885919019)
    18860 *685 (CommentGraphic
     19020*686 (CommentGraphic
    1886119021uid 23026,0
    1886219022shape (PolyLine2D
     
    1887919039oxt "6000,7000,7000,8000"
    1888019040)
    18881 *686 (CommentGraphic
     19041*687 (CommentGraphic
    1888219042uid 23028,0
    1888319043shape (PolyLine2D
     
    1890019060oxt "6988,7329,7988,7329"
    1890119061)
    18902 *687 (CommentGraphic
     19062*688 (CommentGraphic
    1890319063uid 23030,0
    1890419064shape (PolyLine2D
     
    1891919079oxt "8000,7000,9000,7000"
    1892019080)
    18921 *688 (CommentGraphic
     19081*689 (CommentGraphic
    1892219082uid 23032,0
    1892319083shape (PolyLine2D
     
    1896019120stg "VerticalLayoutStrategy"
    1896119121textVec [
    18962 *689 (Text
     19122*690 (Text
    1896319123uid 23037,0
    1896419124va (VaSet
     
    1897019130blo "173350,80900"
    1897119131)
    18972 *690 (Text
     19132*691 (Text
    1897319133uid 23038,0
    1897419134va (VaSet
     
    1897919139blo "173350,81900"
    1898019140)
    18981 *691 (Text
     19141*692 (Text
    1898219142uid 23039,0
    1898319143va (VaSet
     
    1902419184)
    1902519185)
    19026 *692 (Net
     19186*693 (Net
    1902719187uid 23051,0
    1902819188decl (Decl
     
    1904019200font "Courier New,8,0"
    1904119201)
    19042 xt "-172000,67600,-112000,68400"
    19043 st "SIGNAL denable_sig                  : std_logic                                    := '0' -- default domino wave off"
    19044 )
    19045 )
    19046 *693 (Net
     19202xt "-172000,68400,-112000,69200"
     19203st "SIGNAL denable_sig                  : std_logic                                    := '0' -- default domino wave off
     19204"
     19205)
     19206)
     19207*694 (Net
    1904719208uid 23341,0
    1904819209decl (Decl
     
    1905719218font "Courier New,8,0"
    1905819219)
    19059 xt "-172000,49200,-146500,50000"
    19060 st "SIGNAL DCM_locked_status            : std_logic"
    19061 )
    19062 )
    19063 *694 (Net
     19220xt "-172000,50000,-146500,50800"
     19221st "SIGNAL DCM_locked_status            : std_logic
     19222"
     19223)
     19224)
     19225*695 (Net
    1906419226uid 23349,0
    1906519227decl (Decl
     
    1907419236font "Courier New,8,0"
    1907519237)
    19076 xt "-172000,50000,-146500,50800"
    19077 st "SIGNAL DCM_ready_status             : std_logic"
    19078 )
    19079 )
    19080 *695 (Net
     19238xt "-172000,50800,-146500,51600"
     19239st "SIGNAL DCM_ready_status             : std_logic
     19240"
     19241)
     19242)
     19243*696 (Net
    1908119244uid 24076,0
    1908219245decl (Decl
     
    1909219255font "Courier New,8,0"
    1909319256)
    19094 xt "-172000,38400,-129000,39200"
    19095 st "trigger_veto                 : std_logic                                    := '1'"
    19096 )
    19097 )
    19098 *696 (PortIoOut
     19257xt "-172000,39200,-129000,40000"
     19258st "trigger_veto                 : std_logic                                    := '1'
     19259"
     19260)
     19261)
     19262*697 (PortIoOut
    1909919263uid 24084,0
    1910019264shape (CompositeShape
     
    1914019304)
    1914119305)
    19142 *697 (SaComponent
     19306*698 (SaComponent
    1914319307uid 24570,0
    1914419308optionalChildren [
    19145 *698 (CptPort
     19309*699 (CptPort
    1914619310uid 24538,0
    1914719311ps "OnEdgeStrategy"
     
    1917619340)
    1917719341)
    19178 *699 (CptPort
     19342*700 (CptPort
    1917919343uid 24542,0
    1918019344ps "OnEdgeStrategy"
     
    1921019374)
    1921119375)
    19212 *700 (CptPort
     19376*701 (CptPort
    1921319377uid 24546,0
    1921419378ps "OnEdgeStrategy"
     
    1924519409)
    1924619410)
    19247 *701 (CptPort
     19411*702 (CptPort
    1924819412uid 24550,0
    1924919413ps "OnEdgeStrategy"
     
    1928019444)
    1928119445)
    19282 *702 (CptPort
     19446*703 (CptPort
    1928319447uid 24554,0
    1928419448ps "OnEdgeStrategy"
     
    1931519479)
    1931619480)
    19317 *703 (CptPort
     19481*704 (CptPort
    1931819482uid 24558,0
    1931919483ps "OnEdgeStrategy"
     
    1934919513)
    1935019514)
    19351 *704 (CptPort
     19515*705 (CptPort
    1935219516uid 24562,0
    1935319517ps "OnEdgeStrategy"
     
    1938619550)
    1938719551)
    19388 *705 (CptPort
     19552*706 (CptPort
    1938919553uid 24566,0
    1939019554ps "OnEdgeStrategy"
     
    1942219586)
    1942319587)
    19424 *706 (CptPort
     19588*707 (CptPort
    1942519589uid 24732,0
    1942619590ps "OnEdgeStrategy"
     
    1947519639stg "VerticalLayoutStrategy"
    1947619640textVec [
    19477 *707 (Text
     19641*708 (Text
    1947819642uid 24573,0
    1947919643va (VaSet
     
    1948519649tm "BdLibraryNameMgr"
    1948619650)
    19487 *708 (Text
     19651*709 (Text
    1948819652uid 24574,0
    1948919653va (VaSet
     
    1949519659tm "CptNameMgr"
    1949619660)
    19497 *709 (Text
     19661*710 (Text
    1949819662uid 24575,0
    1949919663va (VaSet
     
    1955619720archFileType "UNKNOWN"
    1955719721)
    19558 *710 (PortIoOut
     19722*711 (PortIoOut
    1955919723uid 24652,0
    1956019724shape (CompositeShape
     
    1960019764)
    1960119765)
    19602 *711 (PortIoIn
     19766*712 (PortIoIn
    1960319767uid 24666,0
    1960419768shape (CompositeShape
     
    1964419808)
    1964519809)
    19646 *712 (PortIoOut
     19810*713 (PortIoOut
    1964719811uid 24680,0
    1964819812shape (CompositeShape
     
    1968819852)
    1968919853)
    19690 *713 (PortIoOut
     19854*714 (PortIoOut
    1969119855uid 24694,0
    1969219856shape (CompositeShape
     
    1973219896)
    1973319897)
    19734 *714 (Net
     19898*715 (Net
    1973519899uid 24700,0
    1973619900decl (Decl
     
    1974619910)
    1974719911xt "-172000,4800,-150000,5600"
    19748 st "FTM_RS485_rx_d               : std_logic"
    19749 )
    19750 )
    19751 *715 (Net
     19912st "FTM_RS485_rx_d               : std_logic
     19913"
     19914)
     19915)
     19916*716 (Net
    1975219917uid 24702,0
    1975319918decl (Decl
     
    1976319928)
    1976419929xt "-172000,20000,-150000,20800"
    19765 st "FTM_RS485_tx_d               : std_logic"
    19766 )
    19767 )
    19768 *716 (Net
     19930st "FTM_RS485_tx_d               : std_logic
     19931"
     19932)
     19933)
     19934*717 (Net
    1976919935uid 24704,0
    1977019936decl (Decl
     
    1978019946)
    1978119947xt "-172000,19200,-150000,20000"
    19782 st "FTM_RS485_rx_en              : std_logic"
    19783 )
    19784 )
    19785 *717 (Net
     19948st "FTM_RS485_rx_en              : std_logic
     19949"
     19950)
     19951)
     19952*718 (Net
    1978619953uid 24706,0
    1978719954decl (Decl
     
    1979719964)
    1979819965xt "-172000,20800,-150000,21600"
    19799 st "FTM_RS485_tx_en              : std_logic"
    19800 )
    19801 )
    19802 *718 (Net
     19966st "FTM_RS485_tx_en              : std_logic
     19967"
     19968)
     19969)
     19970*719 (Net
    1980319971uid 24736,0
    1980419972decl (Decl
     
    1981419982font "Courier New,8,0"
    1981519983)
    19816 xt "-172000,98800,-125500,99600"
    19817 st "SIGNAL rec_timeout_occured          : std_logic                                    := '0'"
    19818 )
    19819 )
    19820 *719 (Net
     19984xt "-172000,99600,-125500,100400"
     19985st "SIGNAL rec_timeout_occured          : std_logic                                    := '0'
     19986"
     19987)
     19988)
     19989*720 (Net
    1982119990uid 25027,0
    1982219991decl (Decl
     
    1983220001font "Courier New,8,0"
    1983320002)
    19834 xt "-172000,100400,-125500,101200"
    19835 st "SIGNAL reset_trigger_id             : std_logic                                    := '0'"
    19836 )
    19837 )
    19838 *720 (PortIoOut
     20003xt "-172000,101200,-125500,102000"
     20004st "SIGNAL reset_trigger_id             : std_logic                                    := '0'
     20005"
     20006)
     20007)
     20008*721 (PortIoOut
    1983920009uid 25304,0
    1984020010shape (CompositeShape
     
    1988020050)
    1988120051)
    19882 *721 (Net
     20052*722 (Net
    1988320053uid 25310,0
    1988420054decl (Decl
     
    1989620066font "Courier New,8,0"
    1989720067)
    19898 xt "-172000,39200,-115000,40000"
    19899 st "w5300_state                  : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging."
    19900 )
    19901 )
    19902 *722 (Net
     20068xt "-172000,40000,-115000,40800"
     20069st "w5300_state                  : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
     20070"
     20071)
     20072)
     20073*723 (Net
    1990320074uid 25541,0
    1990420075decl (Decl
     
    1991420085)
    1991520086xt "-172000,28800,-150000,29600"
    19916 st "debug_data_ram_empty         : std_logic"
    19917 )
    19918 )
    19919 *723 (PortIoOut
     20087st "debug_data_ram_empty         : std_logic
     20088"
     20089)
     20090)
     20091*724 (PortIoOut
    1992020092uid 25549,0
    1992120093shape (CompositeShape
     
    1996120133)
    1996220134)
    19963 *724 (Net
     20135*725 (Net
    1996420136uid 25555,0
    1996520137decl (Decl
     
    1997520147)
    1997620148xt "-172000,29600,-150000,30400"
    19977 st "debug_data_valid             : std_logic"
    19978 )
    19979 )
    19980 *725 (PortIoOut
     20149st "debug_data_valid             : std_logic
     20150"
     20151)
     20152)
     20153*726 (PortIoOut
    1998120154uid 25563,0
    1998220155shape (CompositeShape
     
    2002220195)
    2002320196)
    20024 *726 (MWC
     20197*727 (MWC
    2002520198uid 25830,0
    2002620199optionalChildren [
    20027 *727 (CptPort
     20200*728 (CptPort
    2002820201uid 25821,0
    2002920202optionalChildren [
    20030 *728 (Line
     20203*729 (Line
    2003120204uid 25825,0
    2003220205layer 5
     
    2009020263)
    2009120264)
    20092 *729 (CommentGraphic
     20265*730 (CommentGraphic
    2009320266uid 25826,0
    2009420267shape (PolyLine2D
     
    2010920282oxt "7000,7000,7000,8000"
    2011020283)
    20111 *730 (CommentGraphic
     20284*731 (CommentGraphic
    2011220285uid 25828,0
    2011320286shape (CustomPolygon
     
    2015120324stg "VerticalLayoutStrategy"
    2015220325textVec [
    20153 *731 (Text
     20326*732 (Text
    2015420327uid 25833,0
    2015520328va (VaSet
     
    2016120334blo "-40550,78495"
    2016220335)
    20163 *732 (Text
     20336*733 (Text
    2016420337uid 25834,0
    2016520338va (VaSet
     
    2017020343blo "-40550,79495"
    2017120344)
    20172 *733 (Text
     20345*734 (Text
    2017320346uid 25835,0
    2017420347va (VaSet
     
    2021520388)
    2021620389)
    20217 *734 (Net
     20390*735 (Net
    2021820391uid 25840,0
    2021920392decl (Decl
     
    2022820401font "Courier New,8,0"
    2022920402)
    20230 xt "-172000,110800,-146500,111600"
    20231 st "SIGNAL software_trigger_in          : std_logic"
    20232 )
    20233 )
    20234 *735 (PortIoOut
     20403xt "-172000,111600,-146500,112400"
     20404st "SIGNAL software_trigger_in          : std_logic
     20405"
     20406)
     20407)
     20408*736 (PortIoOut
    2023520409uid 26079,0
    2023620410shape (CompositeShape
     
    2027620450)
    2027720451)
    20278 *736 (Net
     20452*737 (Net
    2027920453uid 26085,0
    2028020454lang 2
     
    2029420468)
    2029520469xt "-172000,34400,-115000,35200"
    20296 st "mem_manager_state            : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging."
    20297 )
    20298 )
    20299 *737 (Net
     20470st "mem_manager_state            : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
     20471"
     20472)
     20473)
     20474*738 (Net
    2030020475uid 26334,0
    2030120476decl (Decl
     
    2031020485font "Courier New,8,0"
    2031120486)
    20312 xt "-172000,88400,-146500,89200"
    20313 st "SIGNAL is_idle                      : std_logic"
    20314 )
    20315 )
    20316 *738 (PortIoOut
     20487xt "-172000,89200,-146500,90000"
     20488st "SIGNAL is_idle                      : std_logic
     20489"
     20490)
     20491)
     20492*739 (PortIoOut
    2031720493uid 26350,0
    2031820494shape (CompositeShape
     
    2035820534)
    2035920535)
    20360 *739 (Net
     20536*740 (Net
    2036120537uid 26591,0
    2036220538decl (Decl
     
    2037620552xt "-172000,17600,-140500,19200"
    2037720553st "-- for debugging
    20378 DG_state                     : std_logic_vector(7 downto 0)"
    20379 )
    20380 )
    20381 *740 (SaComponent
     20554DG_state                     : std_logic_vector(7 downto 0)
     20555"
     20556)
     20557)
     20558*741 (SaComponent
    2038220559uid 27117,0
    2038320560optionalChildren [
    20384 *741 (CptPort
     20561*742 (CptPort
    2038520562uid 27041,0
    2038620563ps "OnEdgeStrategy"
     
    2041820595)
    2041920596)
    20420 *742 (CptPort
     20597*743 (CptPort
    2042120598uid 27045,0
    2042220599ps "OnEdgeStrategy"
     
    2045320630)
    2045420631)
    20455 *743 (CptPort
     20632*744 (CptPort
    2045620633uid 27049,0
    2045720634ps "OnEdgeStrategy"
     
    2048620663)
    2048720664)
    20488 *744 (CptPort
     20665*745 (CptPort
    2048920666uid 27053,0
    2049020667ps "OnEdgeStrategy"
     
    2051920696)
    2052020697)
    20521 *745 (CptPort
     20698*746 (CptPort
    2052220699uid 27057,0
    2052320700ps "OnEdgeStrategy"
     
    2055220729)
    2055320730)
    20554 *746 (CptPort
     20731*747 (CptPort
    2055520732uid 27061,0
    2055620733ps "OnEdgeStrategy"
     
    2058720764)
    2058820765)
    20589 *747 (CptPort
     20766*748 (CptPort
    2059020767uid 27065,0
    2059120768ps "OnEdgeStrategy"
     
    2062220799)
    2062320800)
    20624 *748 (CptPort
     20801*749 (CptPort
    2062520802uid 27069,0
    2062620803ps "OnEdgeStrategy"
     
    2065720834)
    2065820835)
    20659 *749 (CptPort
     20836*750 (CptPort
    2066020837uid 27073,0
    2066120838ps "OnEdgeStrategy"
     
    2069320870)
    2069420871)
    20695 *750 (CptPort
     20872*751 (CptPort
    2069620873uid 27077,0
    2069720874ps "OnEdgeStrategy"
     
    2073020907)
    2073120908)
    20732 *751 (CptPort
     20909*752 (CptPort
    2073320910uid 27081,0
    2073420911ps "OnEdgeStrategy"
     
    2076720944)
    2076820945)
    20769 *752 (CptPort
     20946*753 (CptPort
    2077020947uid 27085,0
    2077120948ps "OnEdgeStrategy"
     
    2080420981)
    2080520982)
    20806 *753 (CptPort
     20983*754 (CptPort
    2080720984uid 27089,0
    2080820985ps "OnEdgeStrategy"
     
    2084021017)
    2084121018)
    20842 *754 (CptPort
     21019*755 (CptPort
    2084321020uid 27093,0
    2084421021ps "OnEdgeStrategy"
     
    2087621053)
    2087721054)
    20878 *755 (CptPort
     21055*756 (CptPort
    2087921056uid 27097,0
    2088021057ps "OnEdgeStrategy"
     
    2091221089)
    2091321090)
    20914 *756 (CptPort
     21091*757 (CptPort
    2091521092uid 27109,0
    2091621093ps "OnEdgeStrategy"
     
    2094821125)
    2094921126)
    20950 *757 (CptPort
     21127*758 (CptPort
    2095121128uid 27113,0
    2095221129ps "OnEdgeStrategy"
     
    2098321160)
    2098421161)
    20985 *758 (CptPort
     21162*759 (CptPort
    2098621163uid 27127,0
    2098721164ps "OnEdgeStrategy"
     
    2101721194)
    2101821195)
    21019 *759 (CptPort
     21196*760 (CptPort
    2102021197uid 27139,0
    2102121198ps "OnEdgeStrategy"
     
    2105021227)
    2105121228)
    21052 *760 (CptPort
     21229*761 (CptPort
    2105321230uid 27143,0
    2105421231ps "OnEdgeStrategy"
     
    2110221279stg "VerticalLayoutStrategy"
    2110321280textVec [
    21104 *761 (Text
     21281*762 (Text
    2110521282uid 27120,0
    2110621283va (VaSet
     
    2111221289tm "BdLibraryNameMgr"
    2111321290)
    21114 *762 (Text
     21291*763 (Text
    2111521292uid 27121,0
    2111621293va (VaSet
     
    2112221299tm "CptNameMgr"
    2112321300)
    21124 *763 (Text
     21301*764 (Text
    2112521302uid 27122,0
    2112621303va (VaSet
     
    2118121358archFileType "UNKNOWN"
    2118221359)
    21183 *764 (Net
     21360*765 (Net
    2118421361uid 27131,0
    2118521362decl (Decl
     
    2119521372font "Courier New,8,0"
    2119621373)
    21197 xt "-172000,66000,-125500,66800"
    21198 st "SIGNAL data_valid_ack               : std_logic                                    := '0'"
    21199 )
    21200 )
    21201 *765 (Net
     21374xt "-172000,66800,-125500,67600"
     21375st "SIGNAL data_valid_ack               : std_logic                                    := '0'
     21376"
     21377)
     21378)
     21379*766 (Net
    2120221380uid 27147,0
    2120321381decl (Decl
     
    2121321391font "Courier New,8,0"
    2121421392)
    21215 xt "-172000,69200,-125500,70000"
    21216 st "SIGNAL dg_start_config              : std_logic                                    := '0'"
    21217 )
    21218 )
    21219 *766 (Net
     21393xt "-172000,70000,-125500,70800"
     21394st "SIGNAL dg_start_config              : std_logic                                    := '0'
     21395"
     21396)
     21397)
     21398*767 (Net
    2122021399uid 27153,0
    2122121400decl (Decl
     
    2123021409font "Courier New,8,0"
    2123121410)
    21232 xt "-172000,68400,-146500,69200"
    21233 st "SIGNAL dg_config_done               : std_logic"
    21234 )
    21235 )
    21236 *767 (Net
     21411xt "-172000,69200,-146500,70000"
     21412st "SIGNAL dg_config_done               : std_logic
     21413"
     21414)
     21415)
     21416*768 (Net
    2123721417uid 27603,0
    2123821418decl (Decl
     
    2125121431font "Courier New,8,0"
    2125221432)
    21253 xt "-172000,103600,-136500,105200"
     21433xt "-172000,104400,-136500,106000"
    2125421434st "-- EVT HEADER - part 6
    21255 SIGNAL runnumber                    : std_logic_vector(31 downto 0)"
    21256 )
    21257 )
    21258 *768 (Wire
     21435SIGNAL runnumber                    : std_logic_vector(31 downto 0)
     21436"
     21437)
     21438)
     21439*769 (Net
     21440uid 28276,0
     21441decl (Decl
     21442n "socket_tx_free_out"
     21443t "std_logic_vector"
     21444b "(16 DOWNTO 0)"
     21445eolc "-- 17bit value .. that's true"
     21446posAdd 0
     21447o 143
     21448suid 421,0
     21449)
     21450declText (MLText
     21451uid 28277,0
     21452va (VaSet
     21453font "Courier New,8,0"
     21454)
     21455xt "-172000,38400,-125000,39200"
     21456st "socket_tx_free_out           : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
     21457"
     21458)
     21459)
     21460*770 (PortIoOut
     21461uid 28284,0
     21462shape (CompositeShape
     21463uid 28285,0
     21464va (VaSet
     21465vasetType 1
     21466fg "0,0,32768"
     21467)
     21468optionalChildren [
     21469(Pentagon
     21470uid 28286,0
     21471sl 0
     21472ro 270
     21473xt "139500,113625,141000,114375"
     21474)
     21475(Line
     21476uid 28287,0
     21477sl 0
     21478ro 270
     21479xt "139000,114000,139500,114000"
     21480pts [
     21481"139000,114000"
     21482"139500,114000"
     21483]
     21484)
     21485]
     21486)
     21487stc 0
     21488sf 1
     21489tg (WTG
     21490uid 28288,0
     21491ps "PortIoTextPlaceStrategy"
     21492stg "STSignalDisplayStrategy"
     21493f (Text
     21494uid 28289,0
     21495va (VaSet
     21496)
     21497xt "142000,113500,150600,114500"
     21498st "socket_tx_free_out"
     21499blo "142000,114300"
     21500tm "WireNameMgr"
     21501)
     21502)
     21503)
     21504*771 (Wire
    2125921505uid 322,0
    2126021506shape (OrthoPolyLine
     
    2127221518)
    2127321519start &63
    21274 end &288
     21520end &289
    2127521521ss 0
    2127621522sat 32
     
    2129621542on &2
    2129721543)
    21298 *769 (Wire
     21544*772 (Wire
    2129921545uid 328,0
    2130021546shape (OrthoPolyLine
     
    2131221558)
    2131321559start &25
    21314 end &287
     21560end &288
    2131521561sat 32
    2131621562eat 32
     
    2133521581on &3
    2133621582)
    21337 *770 (Wire
     21583*773 (Wire
    2133821584uid 334,0
    2133921585shape (OrthoPolyLine
     
    2135121597)
    2135221598start &24
    21353 end &286
     21599end &287
    2135421600sat 32
    2135521601eat 32
     
    2137421620on &4
    2137521621)
    21376 *771 (Wire
     21622*774 (Wire
    2137721623uid 364,0
    2137821624shape (OrthoPolyLine
     
    2139121637)
    2139221638start &97
    21393 end &290
     21639end &291
    2139421640sat 32
    2139521641eat 32
     
    2141421660on &5
    2141521661)
    21416 *772 (Wire
     21662*775 (Wire
    2141721663uid 370,0
    2141821664shape (OrthoPolyLine
     
    2143121677)
    2143221678start &96
    21433 end &291
     21679end &292
    2143421680sat 32
    2143521681eat 32
     
    2145421700on &6
    2145521701)
    21456 *773 (Wire
     21702*776 (Wire
    2145721703uid 376,0
    2145821704shape (OrthoPolyLine
     
    2149221738on &7
    2149321739)
    21494 *774 (Wire
     21740*777 (Wire
    2149521741uid 384,0
    2149621742shape (OrthoPolyLine
     
    2153221778on &8
    2153321779)
    21534 *775 (Wire
     21780*778 (Wire
    2153521781uid 392,0
    2153621782shape (OrthoPolyLine
     
    2157221818on &9
    2157321819)
    21574 *776 (Wire
     21820*779 (Wire
    2157521821uid 400,0
    2157621822shape (OrthoPolyLine
     
    2161021856on &10
    2161121857)
    21612 *777 (Wire
     21858*780 (Wire
    2161321859uid 408,0
    2161421860shape (OrthoPolyLine
     
    2164821894on &11
    2164921895)
    21650 *778 (Wire
     21896*781 (Wire
    2165121897uid 424,0
    2165221898shape (OrthoPolyLine
     
    2168621932on &12
    2168721933)
    21688 *779 (Wire
     21934*782 (Wire
    2168921935uid 432,0
    2169021936shape (OrthoPolyLine
     
    2172421970on &13
    2172521971)
    21726 *780 (Wire
     21972*783 (Wire
    2172721973uid 1411,0
    2172821974shape (OrthoPolyLine
     
    2173821984]
    2173921985)
    21740 start &161
     21986start &162
    2174121987end &27
    2174221988sat 32
     
    2176322009on &82
    2176422010)
    21765 *781 (Wire
     22011*784 (Wire
    2176622012uid 1425,0
    2176722013shape (OrthoPolyLine
     
    2177722023)
    2177822024start &84
    21779 end &342
     22025end &343
    2178022026es 0
    2178122027sat 32
     
    2180222048on &83
    2180322049)
    21804 *782 (Wire
     22050*785 (Wire
    2180522051uid 1682,0
    2180622052shape (OrthoPolyLine
     
    2181622062]
    2181722063)
    21818 start &162
     22064start &163
    2181922065end &28
    2182022066sat 32
     
    2183922085)
    2184022086)
    21841 on &138
    21842 )
    21843 *783 (Wire
     22087on &139
     22088)
     22089*786 (Wire
    2184422090uid 2299,0
    2184522091shape (OrthoPolyLine
     
    2185522101]
    2185622102)
    21857 start &756
     22103start &757
    2185822104end &26
    2185922105sat 32
     
    2187722123)
    2187822124)
    21879 on &147
    21880 )
    21881 *784 (Wire
     22125on &148
     22126)
     22127*787 (Wire
    2188222128uid 2476,0
    2188322129shape (OrthoPolyLine
     
    2189222138]
    2189322139)
    21894 start &753
     22140start &754
    2189522141end &98
    2189622142sat 32
     
    2191322159)
    2191422160)
    21915 on &148
    21916 )
    21917 *785 (Wire
     22161on &149
     22162)
     22163*788 (Wire
    2191822164uid 2482,0
    2191922165shape (OrthoPolyLine
     
    2192922175]
    2193022176)
    21931 start &751
     22177start &752
    2193222178end &94
    2193322179sat 32
     
    2195122197)
    2195222198)
    21953 on &149
    21954 )
    21955 *786 (Wire
     22199on &150
     22200)
     22201*789 (Wire
    2195622202uid 2488,0
    2195722203shape (OrthoPolyLine
     
    2196722213]
    2196822214)
    21969 start &750
     22215start &751
    2197022216end &95
    2197122217sat 32
     
    2198922235)
    2199022236)
    21991 on &150
    21992 )
    21993 *787 (Wire
     22237on &151
     22238)
     22239*790 (Wire
    2199422240uid 2494,0
    2199522241shape (OrthoPolyLine
     
    2200522251]
    2200622252)
    22007 start &752
     22253start &753
    2200822254end &100
    2200922255sat 32
     
    2202722273)
    2202822274)
    22029 on &151
    22030 )
    22031 *788 (Wire
     22275on &152
     22276)
     22277*791 (Wire
    2203222278uid 2500,0
    2203322279shape (OrthoPolyLine
     
    2204222288]
    2204322289)
    22044 start &755
     22290start &756
    2204522291end &101
    2204622292sat 32
     
    2206322309)
    2206422310)
    22065 on &152
    22066 )
    22067 *789 (Wire
     22311on &153
     22312)
     22313*792 (Wire
    2206822314uid 2506,0
    2206922315shape (OrthoPolyLine
     
    2207822324]
    2207922325)
    22080 start &754
     22326start &755
    2208122327end &102
    2208222328sat 32
     
    2209922345)
    2210022346)
    22101 on &153
    22102 )
    22103 *790 (Wire
     22347on &154
     22348)
     22349*793 (Wire
    2210422350uid 2576,0
    2210522351shape (OrthoPolyLine
     
    2211522361)
    2211622362start &29
    22117 end &746
     22363end &747
    2211822364sat 32
    2211922365eat 32
     
    2213522381)
    2213622382)
    22137 on &154
    22138 )
    22139 *791 (Wire
     22383on &155
     22384)
     22385*794 (Wire
    2214022386uid 2582,0
    2214122387shape (OrthoPolyLine
     
    2215122397)
    2215222398start &30
    22153 end &744
     22399end &745
    2215422400sat 32
    2215522401eat 32
     
    2217122417)
    2217222418)
    22173 on &155
    22174 )
    22175 *792 (Wire
     22419on &156
     22420)
     22421*795 (Wire
    2217622422uid 2600,0
    2217722423shape (OrthoPolyLine
     
    2218722433)
    2218822434start &31
    22189 end &748
     22435end &749
    2219022436sat 32
    2219122437eat 32
     
    2220722453)
    2220822454)
    22209 on &156
    22210 )
    22211 *793 (Wire
     22455on &157
     22456)
     22457*796 (Wire
    2221222458uid 2642,0
    2221322459shape (OrthoPolyLine
     
    2222422470)
    2222522471start &33
    22226 end &749
     22472end &750
    2222722473sat 32
    2222822474eat 32
     
    2224522491)
    2224622492)
    22247 on &157
    22248 )
    22249 *794 (Wire
     22493on &158
     22494)
     22495*797 (Wire
    2225022496uid 2778,0
    2225122497shape (OrthoPolyLine
     
    2226122507)
    2226222508start &62
    22263 end &159
     22509end &160
    2226422510sat 32
    2226522511eat 32
     
    2228322529)
    2228422530)
    22285 on &158
    22286 )
    22287 *795 (Wire
     22531on &159
     22532)
     22533*798 (Wire
    2228822534uid 2786,0
    2228922535shape (OrthoPolyLine
     
    2229922545]
    2230022546)
    22301 start &160
    22302 end &232
     22547start &161
     22548end &233
    2230322549sat 32
    2230422550eat 32
     
    2232322569)
    2232422570)
    22325 on &180
    22326 )
    22327 *796 (Wire
     22571on &181
     22572)
     22573*799 (Wire
    2232822574uid 3984,0
    2232922575optionalChildren [
    22330 *797 (BdJunction
     22576*800 (BdJunction
    2233122577uid 19235,0
    2233222578ps "OnConnectorStrategy"
     
    2235322599]
    2235422600)
    22355 start &175
    22356 end &301
     22601start &176
     22602end &302
    2235722603sat 32
    2235822604eat 32
     
    2237622622)
    2237722623)
    22378 on &174
    22379 )
    22380 *798 (Wire
     22624on &175
     22625)
     22626*801 (Wire
    2238122627uid 4042,0
    2238222628shape (OrthoPolyLine
     
    2239222638)
    2239322639start &1
    22394 end &300
     22640end &301
    2239522641sat 32
    2239622642eat 32
     
    2241422660)
    2241522661)
    22416 on &179
    22417 )
    22418 *799 (Wire
     22662on &180
     22663)
     22664*802 (Wire
    2241922665uid 4226,0
    2242022666shape (OrthoPolyLine
     
    2243022676]
    2243122677)
    22432 start &178
    22433 end &298
     22678start &179
     22679end &299
    2243422680sat 32
    2243522681eat 32
     
    2245322699)
    2245422700)
    22455 on &176
    22456 )
    22457 *800 (Wire
     22701on &177
     22702)
     22703*803 (Wire
    2245822704uid 4240,0
    2245922705shape (OrthoPolyLine
     
    2249222738)
    2249322739)
    22494 on &176
    22495 )
    22496 *801 (Wire
     22740on &177
     22741)
     22742*804 (Wire
    2249722743uid 4272,0
    2249822744shape (OrthoPolyLine
     
    2250722753]
    2250822754)
    22509 start &182
    22510 end &229
     22755start &183
     22756end &230
    2251122757sat 32
    2251222758eat 32
     
    2253022776)
    2253122777)
    22532 on &181
    22533 )
    22534 *802 (Wire
     22778on &182
     22779)
     22780*805 (Wire
    2253522781uid 4401,0
    2253622782shape (OrthoPolyLine
     
    2254822794)
    2254922795start &36
    22550 end &201
     22796end &202
    2255122797sat 32
    2255222798eat 32
     
    2256822814)
    2256922815)
    22570 on &183
    22571 )
    22572 *803 (Wire
     22816on &184
     22817)
     22818*806 (Wire
    2257322819uid 4407,0
    2257422820shape (OrthoPolyLine
     
    2258622832)
    2258722833start &38
    22588 end &207
     22834end &208
    2258922835sat 32
    2259022836eat 32
     
    2260622852)
    2260722853)
    22608 on &184
    22609 )
    22610 *804 (Wire
     22854on &185
     22855)
     22856*807 (Wire
    2261122857uid 4419,0
    2261222858shape (OrthoPolyLine
     
    2262422870)
    2262522871start &64
    22626 end &202
     22872end &203
    2262722873ss 0
    2262822874sat 32
     
    2264522891)
    2264622892)
    22647 on &185
    22648 )
    22649 *805 (Wire
     22893on &186
     22894)
     22895*808 (Wire
    2265022896uid 4537,0
    2265122897shape (OrthoPolyLine
     
    2266222908)
    2266322909start &35
    22664 end &188
     22910end &189
    2266522911sat 32
    2266622912eat 32
     
    2268522931)
    2268622932)
    22687 on &186
    22688 )
    22689 *806 (Wire
     22933on &187
     22934)
     22935*809 (Wire
    2269022936uid 4545,0
    2269122937shape (OrthoPolyLine
     
    2270022946]
    2270122947)
    22702 start &267
    22703 end &189
     22948start &268
     22949end &190
    2270422950sat 32
    2270522951eat 32
     
    2272222968)
    2272322969)
    22724 on &187
    22725 )
    22726 *807 (Wire
     22970on &188
     22971)
     22972*810 (Wire
    2272722973uid 4671,0
    2272822974shape (OrthoPolyLine
     
    2273722983]
    2273822984)
    22739 start &194
    22740 end &203
     22985start &195
     22986end &204
    2274122987sat 32
    2274222988eat 32
     
    2276023006)
    2276123007)
    22762 on &190
    22763 )
    22764 *808 (Wire
     23008on &191
     23009)
     23010*811 (Wire
    2276523011uid 4679,0
    2276623012shape (OrthoPolyLine
     
    2277523021]
    2277623022)
    22777 start &195
    22778 end &204
     23023start &196
     23024end &205
    2277923025sat 32
    2278023026eat 32
     
    2279823044)
    2279923045)
    22800 on &191
    22801 )
    22802 *809 (Wire
     23046on &192
     23047)
     23048*812 (Wire
    2280323049uid 4687,0
    2280423050shape (OrthoPolyLine
     
    2281323059]
    2281423060)
    22815 start &196
    22816 end &205
     23061start &197
     23062end &206
    2281723063sat 32
    2281823064eat 32
     
    2283623082)
    2283723083)
    22838 on &192
    22839 )
    22840 *810 (Wire
     23084on &193
     23085)
     23086*813 (Wire
    2284123087uid 4695,0
    2284223088shape (OrthoPolyLine
     
    2285123097]
    2285223098)
    22853 start &197
    22854 end &206
     23099start &198
     23100end &207
    2285523101sat 32
    2285623102eat 32
     
    2287423120)
    2287523121)
    22876 on &193
    22877 )
    22878 *811 (Wire
     23122on &194
     23123)
     23124*814 (Wire
    2287923125uid 4743,0
    2288023126shape (OrthoPolyLine
     
    2289123137]
    2289223138)
    22893 start &208
     23139start &209
    2289423140end &37
    2289523141sat 32
     
    2291223158)
    2291323159)
    22914 on &198
    22915 )
    22916 *812 (Wire
     23160on &199
     23161)
     23162*815 (Wire
    2291723163uid 4948,0
    2291823164shape (OrthoPolyLine
     
    2292723173]
    2292823174)
    22929 start &209
    22930 end &220
     23175start &210
     23176end &221
    2293123177sat 32
    2293223178eat 32
     
    2295023196)
    2295123197)
    22952 on &219
    22953 )
    22954 *813 (Wire
     23198on &220
     23199)
     23200*816 (Wire
    2295523201uid 4962,0
    2295623202shape (OrthoPolyLine
     
    2296523211]
    2296623212)
    22967 start &316
    22968 end &222
     23213start &317
     23214end &223
    2296923215sat 32
    2297023216eat 32
     
    2298823234)
    2298923235)
    22990 on &221
    22991 )
    22992 *814 (Wire
     23236on &222
     23237)
     23238*817 (Wire
    2299323239uid 5222,0
    2299423240shape (OrthoPolyLine
     
    2302823274)
    2302923275)
    23030 on &223
    23031 )
    23032 *815 (Wire
     23276on &224
     23277)
     23278*818 (Wire
    2303323279uid 5474,0
    2303423280shape (OrthoPolyLine
     
    2304523291]
    2304623292)
    23047 start &243
     23293start &244
    2304823294end &41
    2304923295sat 32
     
    2306523311)
    2306623312)
    23067 on &224
    23068 )
    23069 *816 (Wire
     23313on &225
     23314)
     23315*819 (Wire
    2307023316uid 5480,0
    2307123317shape (OrthoPolyLine
     
    2308223328]
    2308323329)
    23084 start &242
     23330start &243
    2308523331end &40
    2308623332sat 32
     
    2310223348)
    2310323349)
    23104 on &225
    23105 )
    23106 *817 (Wire
     23350on &226
     23351)
     23352*820 (Wire
    2310723353uid 5602,0
    2310823354shape (OrthoPolyLine
     
    2313923385)
    2314023386)
    23141 on &177
    23142 )
    23143 *818 (Wire
     23387on &178
     23388)
     23389*821 (Wire
    2314423390uid 5626,0
    2314523391shape (OrthoPolyLine
     
    2315523401)
    2315623402start &39
    23157 end &230
     23403end &231
    2315823404sat 32
    2315923405eat 32
     
    2317523421)
    2317623422)
    23177 on &227
    23178 )
    23179 *819 (Wire
     23423on &228
     23424)
     23425*822 (Wire
    2318023426uid 5634,0
    2318123427shape (OrthoPolyLine
     
    2319223438)
    2319323439start &34
    23194 end &231
     23440end &232
    2319523441sat 32
    2319623442eat 32
     
    2321323459)
    2321423460)
    23215 on &226
    23216 )
    23217 *820 (Wire
     23461on &227
     23462)
     23463*823 (Wire
    2321823464uid 5646,0
    2321923465shape (OrthoPolyLine
     
    2322923475]
    2323023476)
    23231 end &233
     23477end &234
    2323223478sat 16
    2323323479eat 32
     
    2324923495)
    2325023496)
    23251 on &174
    23252 )
    23253 *821 (Wire
     23497on &175
     23498)
     23499*824 (Wire
    2325423500uid 5805,0
    2325523501shape (OrthoPolyLine
     
    2326423510]
    2326523511)
    23266 end &246
     23512end &247
    2326723513sat 16
    2326823514eat 32
     
    2328323529)
    2328423530)
    23285 on &176
    23286 )
    23287 *822 (Wire
     23531on &177
     23532)
     23533*825 (Wire
    2328823534uid 5813,0
    2328923535shape (OrthoPolyLine
     
    2329823544]
    2329923545)
    23300 start &238
    23301 end &258
     23546start &239
     23547end &259
    2330223548ss 0
    2330323549sat 32
     
    2332223568)
    2332323569)
    23324 on &254
    23325 )
    23326 *823 (Wire
     23570on &255
     23571)
     23572*826 (Wire
    2332723573uid 5821,0
    2332823574shape (OrthoPolyLine
     
    2333723583]
    2333823584)
    23339 start &248
    23340 end &259
     23585start &249
     23586end &260
    2334123587sat 32
    2334223588eat 32
     
    2336023606)
    2336123607)
    23362 on &255
    23363 )
    23364 *824 (Wire
     23608on &256
     23609)
     23610*827 (Wire
    2336523611uid 5829,0
    2336623612shape (OrthoPolyLine
     
    2337523621]
    2337623622)
    23377 start &244
    23378 end &260
     23623start &245
     23624end &261
    2337923625sat 32
    2338023626eat 32
     
    2339823644)
    2339923645)
    23400 on &256
    23401 )
    23402 *825 (Wire
     23646on &257
     23647)
     23648*828 (Wire
    2340323649uid 5837,0
    2340423650shape (OrthoPolyLine
     
    2341423660]
    2341523661)
    23416 start &245
    23417 end &261
     23662start &246
     23663end &262
    2341823664sat 32
    2341923665eat 32
     
    2343823684)
    2343923685)
    23440 on &257
    23441 )
    23442 *826 (Wire
     23686on &258
     23687)
     23688*829 (Wire
    2344323689uid 6064,0
    2344423690shape (OrthoPolyLine
     
    2347323719)
    2347423720)
    23475 on &567
    23476 )
    23477 *827 (Wire
     23721on &568
     23722)
     23723*830 (Wire
    2347823724uid 6072,0
    2347923725shape (OrthoPolyLine
     
    2348923735]
    2349023736)
    23491 start &299
     23737start &300
    2349223738sat 32
    2349323739eat 16
     
    2351023756)
    2351123757)
    23512 on &177
    23513 )
    23514 *828 (Wire
     23758on &178
     23759)
     23760*831 (Wire
    2351523761uid 6160,0
    2351623762shape (OrthoPolyLine
     
    2352523771]
    2352623772)
    23527 start &247
    23528 end &263
     23773start &248
     23774end &264
    2352923775sat 32
    2353023776eat 32
     
    2354823794)
    2354923795)
    23550 on &262
    23551 )
    23552 *829 (Wire
     23796on &263
     23797)
     23798*832 (Wire
    2355323799uid 6276,0
    2355423800shape (OrthoPolyLine
     
    2356323809]
    2356423810)
    23565 end &142
     23811end &143
    2356623812sat 16
    2356723813eat 32
     
    2358223828)
    2358323829)
    23584 on &174
    23585 )
    23586 *830 (Wire
     23830on &175
     23831)
     23832*833 (Wire
    2358723833uid 6362,0
    2358823834shape (OrthoPolyLine
     
    2359823844]
    2359923845)
    23600 start &682
    23601 end &265
     23846start &683
     23847end &266
    2360223848sat 32
    2360323849eat 32
     
    2362223868)
    2362323869)
    23624 on &264
    23625 )
    23626 *831 (Wire
     23870on &265
     23871)
     23872*834 (Wire
    2362723873uid 6452,0
    2362823874shape (OrthoPolyLine
     
    2365823904)
    2365923905)
    23660 on &648
    23661 )
    23662 *832 (Wire
     23906on &649
     23907)
     23908*835 (Wire
    2366323909uid 6540,0
    2366423910shape (OrthoPolyLine
     
    2367323919]
    2367423920)
    23675 start &270
    23676 end &409
     23921start &271
     23922end &410
    2367723923sat 32
    2367823924eat 32
     
    2369523941)
    2369623942)
    23697 on &669
    23698 )
    23699 *833 (Wire
     23943on &670
     23944)
     23945*836 (Wire
    2370023946uid 6548,0
    2370123947shape (OrthoPolyLine
     
    2371423960]
    2371523961)
    23716 start &651
    23717 end &272
     23962start &652
     23963end &273
    2371823964ss 0
    2371923965es 0
     
    2373823984)
    2373923985)
    23740 on &649
    23741 )
    23742 *834 (Wire
     23986on &650
     23987)
     23988*837 (Wire
    2374323989uid 8752,0
    2374423990shape (OrthoPolyLine
     
    2377324019)
    2377424020)
    23775 on &295
    23776 )
    23777 *835 (Wire
     24021on &296
     24022)
     24023*838 (Wire
    2377824024uid 9006,0
    2377924025shape (OrthoPolyLine
     
    2380924055)
    2381024056)
    23811 on &296
    23812 )
    23813 *836 (Wire
     24057on &297
     24058)
     24059*839 (Wire
    2381424060uid 9233,0
    2381524061shape (OrthoPolyLine
     
    2384424090)
    2384524091)
    23846 on &311
    23847 )
    23848 *837 (Wire
     24092on &312
     24093)
     24094*840 (Wire
    2384924095uid 9241,0
    2385024096shape (OrthoPolyLine
     
    2387924125)
    2388024126)
    23881 on &312
    23882 )
    23883 *838 (Wire
     24127on &313
     24128)
     24129*841 (Wire
    2388424130uid 9253,0
    2388524131shape (OrthoPolyLine
     
    2389424140]
    2389524141)
    23896 end &302
     24142end &303
    2389724143sat 16
    2389824144eat 32
     
    2391324159)
    2391424160)
    23915 on &311
    23916 )
    23917 *839 (Wire
     24161on &312
     24162)
     24163*842 (Wire
    2391824164uid 9261,0
    2391924165shape (OrthoPolyLine
     
    2392824174]
    2392924175)
    23930 end &303
     24176end &304
    2393124177sat 16
    2393224178eat 32
     
    2394724193)
    2394824194)
    23949 on &312
    23950 )
    23951 *840 (Wire
     24195on &313
     24196)
     24197*843 (Wire
    2395224198uid 9943,0
    2395324199shape (OrthoPolyLine
     
    2398224228)
    2398324229)
    23984 on &313
    23985 )
    23986 *841 (Wire
     24230on &314
     24231)
     24232*844 (Wire
    2398724233uid 9951,0
    2398824234shape (OrthoPolyLine
     
    2401724263)
    2401824264)
    24019 on &314
    24020 )
    24021 *842 (Wire
     24265on &315
     24266)
     24267*845 (Wire
    2402224268uid 10010,0
    2402324269shape (OrthoPolyLine
     
    2403424280]
    2403524281)
    24036 start &210
    24037 end &319
     24282start &211
     24283end &320
    2403824284sat 32
    2403924285eat 32
     
    2405524301)
    2405624302)
    24057 on &333
    24058 )
    24059 *843 (Wire
     24303on &334
     24304)
     24305*846 (Wire
    2406024306uid 10018,0
    2406124307shape (OrthoPolyLine
     
    2407024316]
    2407124317)
    24072 end &321
     24318end &322
    2407324319sat 16
    2407424320eat 32
     
    2409124337)
    2409224338)
    24093 on &314
    24094 )
    24095 *844 (Wire
     24339on &315
     24340)
     24341*847 (Wire
    2409624342uid 10036,0
    2409724343shape (OrthoPolyLine
     
    2410624352]
    2410724353)
    24108 end &304
     24354end &305
    2410924355sat 16
    2411024356eat 32
     
    2412524371)
    2412624372)
    24127 on &313
    24128 )
    24129 *845 (Wire
     24373on &314
     24374)
     24375*848 (Wire
    2413024376uid 10266,0
    2413124377shape (OrthoPolyLine
     
    2416024406)
    2416124407)
    24162 on &334
    24163 )
    24164 *846 (Wire
     24408on &335
     24409)
     24410*849 (Wire
    2416524411uid 10298,0
    2416624412shape (OrthoPolyLine
     
    2417524421]
    2417624422)
    24177 start &215
     24423start &216
    2417824424end &45
    2417924425sat 32
     
    2419624442)
    2419724443)
    24198 on &335
    24199 )
    24200 *847 (Wire
     24444on &336
     24445)
     24446*850 (Wire
    2420124447uid 10304,0
    2420224448shape (OrthoPolyLine
     
    2421124457]
    2421224458)
    24213 start &213
     24459start &214
    2421424460end &46
    2421524461sat 32
     
    2423224478)
    2423324479)
    24234 on &336
    24235 )
    24236 *848 (Wire
     24480on &337
     24481)
     24482*851 (Wire
    2423724483uid 10310,0
    2423824484shape (OrthoPolyLine
     
    2424724493]
    2424824494)
    24249 start &214
     24495start &215
    2425024496end &47
    2425124497sat 32
     
    2426824514)
    2426924515)
    24270 on &337
    24271 )
    24272 *849 (Wire
     24516on &338
     24517)
     24518*852 (Wire
    2427324519uid 10316,0
    2427424520shape (OrthoPolyLine
     
    2428524531)
    2428624532start &44
    24287 end &211
     24533end &212
    2428824534sat 32
    2428924535eat 32
     
    2430624552)
    2430724553)
    24308 on &338
    24309 )
    24310 *850 (Wire
     24554on &339
     24555)
     24556*853 (Wire
    2431124557uid 10322,0
    2431224558shape (OrthoPolyLine
     
    2432124567]
    2432224568)
    24323 start &212
    24324 end &340
     24569start &213
     24570end &341
    2432524571sat 32
    2432624572eat 32
     
    2434424590)
    2434524591)
    24346 on &339
    24347 )
    24348 *851 (Wire
     24592on &340
     24593)
     24594*854 (Wire
    2434924595uid 10431,0
    2435024596shape (OrthoPolyLine
     
    2435924605]
    2436024606)
    24361 end &347
     24607end &348
    2436224608es 0
    2436324609sat 16
     
    2438124627)
    2438224628)
    24383 on &571
    24384 )
    24385 *852 (Wire
     24629on &572
     24630)
     24631*855 (Wire
    2438624632uid 10629,0
    2438724633shape (OrthoPolyLine
     
    2441624662)
    2441724663)
    24418 on &364
    24419 )
    24420 *853 (Wire
     24664on &365
     24665)
     24666*856 (Wire
    2442124667uid 10637,0
    2442224668shape (OrthoPolyLine
     
    2445124697)
    2445224698)
    24453 on &365
    24454 )
    24455 *854 (Wire
     24699on &366
     24700)
     24701*857 (Wire
    2445624702uid 10685,0
    2445724703shape (OrthoPolyLine
     
    2446624712]
    2446724713)
    24468 end &378
     24714end &379
    2446924715sat 16
    2447024716eat 32
     
    2448624732)
    2448724733)
    24488 on &365
    24489 )
    24490 *855 (Wire
     24734on &366
     24735)
     24736*858 (Wire
    2449124737uid 10691,0
    2449224738shape (OrthoPolyLine
     
    2450124747]
    2450224748)
    24503 end &379
     24749end &380
    2450424750sat 16
    2450524751eat 32
     
    2452124767)
    2452224768)
    24523 on &364
    24524 )
    24525 *856 (Wire
     24769on &365
     24770)
     24771*859 (Wire
    2452624772uid 10699,0
    2452724773shape (OrthoPolyLine
     
    2453724783]
    2453824784)
    24539 end &373
     24785end &374
    2454024786sat 16
    2454124787eat 32
     
    2455724803)
    2455824804)
    24559 on &176
    24560 )
    24561 *857 (Wire
     24805on &177
     24806)
     24807*860 (Wire
    2456224808uid 10707,0
    2456324809shape (OrthoPolyLine
     
    2457224818]
    2457324819)
    24574 end &377
     24820end &378
    2457524821sat 16
    2457624822eat 32
     
    2459224838)
    2459324839)
    24594 on &386
    24595 )
    24596 *858 (Wire
     24840on &387
     24841)
     24842*861 (Wire
    2459724843uid 10723,0
    2459824844shape (OrthoPolyLine
     
    2460724853]
    2460824854)
    24609 start &374
    24610 end &367
     24855start &375
     24856end &368
    2461124857sat 32
    2461224858eat 32
     
    2463024876)
    2463124877)
    24632 on &366
    24633 )
    24634 *859 (Wire
     24878on &367
     24879)
     24880*862 (Wire
    2463524881uid 10737,0
    2463624882shape (OrthoPolyLine
     
    2464524891]
    2464624892)
    24647 start &375
    24648 end &369
     24893start &376
     24894end &370
    2464924895sat 32
    2465024896eat 32
     
    2466824914)
    2466924915)
    24670 on &368
    24671 )
    24672 *860 (Wire
     24916on &369
     24917)
     24918*863 (Wire
    2467324919uid 10751,0
    2467424920shape (OrthoPolyLine
     
    2468324929]
    2468424930)
    24685 start &376
    24686 end &371
     24931start &377
     24932end &372
    2468724933sat 32
    2468824934eat 32
     
    2470624952)
    2470724953)
    24708 on &370
    24709 )
    24710 *861 (Wire
     24954on &371
     24955)
     24956*864 (Wire
    2471124957uid 11405,0
    2471224958shape (OrthoPolyLine
     
    2474224988)
    2474324989)
    24744 on &386
    24745 )
    24746 *862 (Wire
     24990on &387
     24991)
     24992*865 (Wire
    2474724993uid 11858,0
    2474824994shape (OrthoPolyLine
     
    2477725023)
    2477825024)
    24779 on &387
    24780 )
    24781 *863 (Wire
     25025on &388
     25026)
     25027*866 (Wire
    2478225028uid 11952,0
    2478325029shape (OrthoPolyLine
     
    2479225038]
    2479325039)
    24794 end &394
     25040end &395
    2479525041sat 16
    2479625042eat 32
     
    2481325059)
    2481425060)
    24815 on &387
    24816 )
    24817 *864 (Wire
     25061on &388
     25062)
     25063*867 (Wire
    2481825064uid 12306,0
    2481925065shape (OrthoPolyLine
     
    2482825074]
    2482925075)
    24830 start &344
    24831 end &392
     25076start &345
     25077end &393
    2483225078sat 32
    2483325079eat 32
     
    2485025096)
    2485125097)
    24852 on &568
    24853 )
    24854 *865 (Wire
     25098on &569
     25099)
     25100*868 (Wire
    2485525101uid 12643,0
    2485625102shape (OrthoPolyLine
     
    2486725113]
    2486825114)
    24869 start &389
    24870 end &407
     25115start &390
     25116end &408
    2487125117sat 32
    2487225118eat 32
     
    2488925135)
    2489025136)
    24891 on &569
    24892 )
    24893 *866 (Wire
     25137on &570
     25138)
     25139*869 (Wire
    2489425140uid 12649,0
    2489525141shape (OrthoPolyLine
     
    2490525151)
    2490625152start &49
    24907 end &410
     25153end &411
    2490825154sat 32
    2490925155eat 32
     
    2492525171)
    2492625172)
    24927 on &416
    24928 )
    24929 *867 (Wire
     25173on &417
     25174)
     25175*870 (Wire
    2493025176uid 12655,0
    2493125177shape (OrthoPolyLine
     
    2494225188)
    2494325189start &50
    24944 end &411
     25190end &412
    2494525191sat 32
    2494625192eat 32
     
    2496225208)
    2496325209)
    24964 on &417
    24965 )
    24966 *868 (Wire
     25210on &418
     25211)
     25212*871 (Wire
    2496725213uid 12687,0
    2496825214shape (OrthoPolyLine
     
    2498025226]
    2498125227)
    24982 end &412
     25228end &413
    2498325229sat 16
    2498425230eat 32
     
    2500025246)
    2500125247)
    25002 on &177
    25003 )
    25004 *869 (Wire
     25248on &178
     25249)
     25250*872 (Wire
    2500525251uid 13143,0
    2500625252shape (OrthoPolyLine
     
    2501525261]
    2501625262)
    25017 end &419
     25263end &420
    2501825264sat 16
    2501925265eat 32
     
    2503525281)
    2503625282)
    25037 on &177
    25038 )
    25039 *870 (Wire
     25283on &178
     25284)
     25285*873 (Wire
    2504025286uid 13159,0
    2504125287shape (OrthoPolyLine
     
    2505325299)
    2505425300start &116
    25055 end &420
     25301end &421
    2505625302sat 32
    2505725303eat 32
     
    2507325319)
    2507425320)
    25075 on &426
    25076 )
    25077 *871 (Wire
     25321on &427
     25322)
     25323*874 (Wire
    2507825324uid 13210,0
    2507925325shape (OrthoPolyLine
     
    2508825334]
    2508925335)
    25090 start &422
    25091 end &428
     25336start &423
     25337end &429
    2509225338sat 32
    2509325339eat 32
     
    2510925355)
    2511025356)
    25111 on &570
    25112 )
    25113 *872 (Wire
     25357on &571
     25358)
     25359*875 (Wire
    2511425360uid 13216,0
    2511525361shape (OrthoPolyLine
     
    2512425370]
    2512525371)
    25126 end &433
     25372end &434
    2512725373sat 16
    2512825374eat 32
     
    2514525391)
    2514625392)
    25147 on &334
    25148 )
    25149 *873 (Wire
     25393on &335
     25394)
     25395*876 (Wire
    2515025396uid 13224,0
    2515125397shape (OrthoPolyLine
     
    2516025406]
    2516125407)
    25162 start &430
     25408start &431
    2516325409sat 32
    2516425410eat 16
     
    2518125427)
    2518225428)
    25183 on &571
    25184 )
    25185 *874 (Wire
     25429on &572
     25430)
     25431*877 (Wire
    2518625432uid 13695,0
    2518725433shape (OrthoPolyLine
     
    2519725443]
    2519825444)
    25199 start &450
     25445start &451
    2520025446end &118
    2520125447sat 32
     
    2522025466)
    2522125467)
    25222 on &451
    25223 )
    25224 *875 (Wire
     25468on &452
     25469)
     25470*878 (Wire
    2522525471uid 13921,0
    2522625472shape (OrthoPolyLine
     
    2525925505on &82
    2526025506)
    25261 *876 (Wire
     25507*879 (Wire
    2526225508uid 13929,0
    2526325509shape (OrthoPolyLine
     
    2529425540)
    2529525541)
    25296 on &138
    25297 )
    25298 *877 (Wire
     25542on &139
     25543)
     25544*880 (Wire
    2529925545uid 14048,0
    2530025546shape (OrthoPolyLine
     
    2530925555]
    2531025556)
    25311 start &452
    25312 end &458
     25557start &453
     25558end &459
    2531325559sat 32
    2531425560eat 32
     
    2533125577)
    2533225578)
    25333 on &453
    25334 )
    25335 *878 (Wire
     25579on &454
     25580)
     25581*881 (Wire
    2533625582uid 14171,0
    2533725583shape (OrthoPolyLine
     
    2534725593]
    2534825594)
    25349 start &454
    25350 end &579
     25595start &455
     25596end &580
    2535125597sat 32
    2535225598eat 32
     
    2537025616)
    2537125617)
    25372 on &455
    25373 )
    25374 *879 (Wire
     25618on &456
     25619)
     25620*882 (Wire
    2537525621uid 14427,0
    2537625622shape (OrthoPolyLine
     
    2538525631]
    2538625632)
    25387 end &457
     25633end &458
    2538825634sat 16
    2538925635eat 32
     
    2540525651)
    2540625652)
    25407 on &176
    25408 )
    25409 *880 (Wire
     25653on &177
     25654)
     25655*883 (Wire
    2541025656uid 14479,0
    2541125657shape (OrthoPolyLine
     
    2542025666]
    2542125667)
    25422 start &460
    25423 end &466
     25668start &461
     25669end &467
    2542425670sat 32
    2542525671eat 32
     
    2544325689)
    2544425690)
    25445 on &465
    25446 )
    25447 *881 (Wire
     25691on &466
     25692)
     25693*884 (Wire
    2544825694uid 14493,0
    2544925695shape (OrthoPolyLine
     
    2545825704]
    2545925705)
    25460 start &461
    25461 end &468
     25706start &462
     25707end &469
    2546225708sat 32
    2546325709eat 32
     
    2548125727)
    2548225728)
    25483 on &467
    25484 )
    25485 *882 (Wire
     25729on &468
     25730)
     25731*885 (Wire
    2548625732uid 14622,0
    2548725733shape (OrthoPolyLine
     
    2549725743]
    2549825744)
    25499 start &459
    25500 end &470
     25745start &460
     25746end &471
    2550125747sat 32
    2550225748eat 32
     
    2552125767)
    2552225768)
    25523 on &469
    25524 )
    25525 *883 (Wire
     25769on &470
     25770)
     25771*886 (Wire
    2552625772uid 15071,0
    2552725773shape (OrthoPolyLine
     
    2553725783)
    2553825784start &105
    25539 end &475
     25785end &476
    2554025786sat 32
    2554125787eat 32
     
    2555725803)
    2555825804)
    25559 on &499
    25560 )
    25561 *884 (Wire
     25805on &500
     25806)
     25807*887 (Wire
    2556225808uid 15081,0
    2556325809shape (OrthoPolyLine
     
    2557225818]
    2557325819)
    25574 start &477
    25575 end &492
     25820start &478
     25821end &493
    2557625822sat 32
    2557725823eat 32
     
    2559525841)
    2559625842)
    25597 on &500
    25598 )
    25599 *885 (Wire
     25843on &501
     25844)
     25845*888 (Wire
    2560025846uid 15130,0
    2560125847shape (OrthoPolyLine
     
    2561025856]
    2561125857)
    25612 end &490
     25858end &491
    2561325859es 0
    2561425860sat 16
     
    2563225878)
    2563325879)
    25634 on &467
    25635 )
    25636 *886 (Wire
     25880on &468
     25881)
     25882*889 (Wire
    2563725883uid 15379,0
    2563825884shape (OrthoPolyLine
     
    2564725893]
    2564825894)
    25649 end &742
     25895end &743
    2565025896sat 16
    2565125897eat 32
     
    2566725913)
    2566825914)
    25669 on &177
    25670 )
    25671 *887 (Wire
     25915on &178
     25916)
     25917*890 (Wire
    2567225918uid 15494,0
    2567325919optionalChildren [
    25674 *888 (BdJunction
     25920*891 (BdJunction
    2567525921uid 15502,0
    2567625922ps "OnConnectorStrategy"
     
    2569625942]
    2569725943)
    25698 start &408
     25944start &409
    2569925945end &75
    2570025946sat 32
     
    2571725963)
    2571825964)
    25719 on &501
    25720 )
    25721 *889 (Wire
     25965on &502
     25966)
     25967*892 (Wire
    2572225968uid 15498,0
    2572325969shape (OrthoPolyLine
     
    2573325979]
    2573425980)
    25735 start &141
    25736 end &888
     25981start &142
     25982end &891
    2573725983sat 32
    2573825984eat 32
     
    2575626002)
    2575726003)
    25758 on &501
    25759 )
    25760 *890 (Wire
     26004on &502
     26005)
     26006*893 (Wire
    2576126007uid 15750,0
    2576226008shape (OrthoPolyLine
     
    2577426020]
    2577526021)
    25776 start &140
     26022start &141
    2577726023end &51
    2577826024sat 32
     
    2579526041)
    2579626042)
    25797 on &502
    25798 )
    25799 *891 (Wire
     26043on &503
     26044)
     26045*894 (Wire
    2580026046uid 16371,0
    2580126047shape (OrthoPolyLine
     
    2583226078)
    2583326079)
    25834 on &503
    25835 )
    25836 *892 (Wire
     26080on &504
     26081)
     26082*895 (Wire
    2583726083uid 16379,0
    2583826084shape (OrthoPolyLine
     
    2584826094]
    2584926095)
    25850 start &305
     26096start &306
    2585126097sat 32
    2585226098eat 16
     
    2586926115)
    2587026116)
    25871 on &503
    25872 )
    25873 *893 (Wire
     26117on &504
     26118)
     26119*896 (Wire
    2587426120uid 16523,0
    2587526121shape (OrthoPolyLine
     
    2590626152)
    2590726153)
    25908 on &469
    25909 )
    25910 *894 (Wire
     26154on &470
     26155)
     26156*897 (Wire
    2591126157uid 16531,0
    2591226158shape (OrthoPolyLine
     
    2594126187)
    2594226188)
    25943 on &465
    25944 )
    25945 *895 (Wire
     26189on &466
     26190)
     26191*898 (Wire
    2594626192uid 16539,0
    2594726193shape (OrthoPolyLine
     
    2597626222)
    2597726223)
    25978 on &467
    25979 )
    25980 *896 (Wire
     26224on &468
     26225)
     26226*899 (Wire
    2598126227uid 16547,0
    2598226228shape (OrthoPolyLine
     
    2599226238]
    2599326239)
    25994 start &506
     26240start &507
    2599526241end &54
    2599626242sat 32
     
    2601426260)
    2601526261)
    26016 on &511
    26017 )
    26018 *897 (Wire
     26262on &512
     26263)
     26264*900 (Wire
    2601926265uid 16556,0
    2602026266shape (OrthoPolyLine
     
    2602926275]
    2603026276)
    26031 end &505
     26277end &506
    2603226278sat 16
    2603326279eat 32
     
    2604826294)
    2604926295)
    26050 on &177
    26051 )
    26052 *898 (Wire
     26296on &178
     26297)
     26298*901 (Wire
    2605326299uid 16564,0
    2605426300shape (OrthoPolyLine
     
    2606326309]
    2606426310)
    26065 start &507
     26311start &508
    2606626312sat 32
    2606726313eat 16
     
    2608326329)
    2608426330)
    26085 on &512
    26086 )
    26087 *899 (Wire
     26331on &513
     26332)
     26333*902 (Wire
    2608826334uid 16877,0
    2608926335shape (OrthoPolyLine
     
    2609826344]
    2609926345)
    26100 end &514
     26346end &515
    2610126347sat 16
    2610226348eat 32
     
    2611826364)
    2611926365)
    26120 on &176
    26121 )
    26122 *900 (Wire
     26366on &177
     26367)
     26368*903 (Wire
    2612326369uid 16885,0
    2612426370shape (OrthoPolyLine
     
    2613326379]
    2613426380)
    26135 end &516
     26381end &517
    2613626382sat 16
    2613726383eat 32
     
    2615326399)
    2615426400)
    26155 on &501
    26156 )
    26157 *901 (Wire
     26401on &502
     26402)
     26403*904 (Wire
    2615826404uid 16914,0
    2615926405shape (OrthoPolyLine
     
    2616826414]
    2616926415)
    26170 start &519
    26171 end &524
     26416start &520
     26417end &525
    2617226418sat 32
    2617326419eat 32
     
    2618926435)
    2619026436)
    26191 on &532
    26192 )
    26193 *902 (Wire
     26437on &533
     26438)
     26439*905 (Wire
    2619426440uid 16939,0
    2619526441shape (OrthoPolyLine
     
    2620426450]
    2620526451)
    26206 start &518
    26207 end &534
     26452start &519
     26453end &535
    2620826454sat 32
    2620926455eat 32
     
    2622526471)
    2622626472)
    26227 on &541
    26228 )
    26229 *903 (Wire
     26473on &542
     26474)
     26475*906 (Wire
    2623026476uid 16945,0
    2623126477shape (OrthoPolyLine
     
    2624126487]
    2624226488)
    26243 start &515
     26489start &516
    2624426490sat 32
    2624526491eat 16
     
    2626226508)
    2626326509)
    26264 on &542
    26265 )
    26266 *904 (Wire
     26510on &543
     26511)
     26512*907 (Wire
    2626726513uid 16955,0
    2626826514shape (OrthoPolyLine
     
    2629926545)
    2630026546)
    26301 on &542
    26302 )
    26303 *905 (Wire
     26547on &543
     26548)
     26549*908 (Wire
    2630426550uid 17003,0
    2630526551shape (OrthoPolyLine
     
    2631526561]
    2631626562)
    26317 start &704
     26563start &705
    2631826564ss 0
    2631926565sat 32
     
    2633726583)
    2633826584)
    26339 on &543
    26340 )
    26341 *906 (Wire
     26585on &544
     26586)
     26587*909 (Wire
    2634226588uid 17011,0
    2634326589shape (OrthoPolyLine
     
    2637426620)
    2637526621)
    26376 on &543
    26377 )
    26378 *907 (Wire
     26622on &544
     26623)
     26624*910 (Wire
    2637926625uid 17019,0
    2638026626shape (OrthoPolyLine
     
    2638926635]
    2639026636)
    26391 start &705
     26637start &706
    2639226638sat 32
    2639326639eat 16
     
    2640926655)
    2641026656)
    26411 on &544
    26412 )
    26413 *908 (Wire
     26657on &545
     26658)
     26659*911 (Wire
    2641426660uid 17027,0
    2641526661shape (OrthoPolyLine
     
    2644426690)
    2644526691)
    26446 on &544
    26447 )
    26448 *909 (Wire
     26692on &545
     26693)
     26694*912 (Wire
    2644926695uid 17393,0
    2645026696shape (OrthoPolyLine
     
    2646326709)
    2646426710start &117
    26465 end &421
     26711end &422
    2646626712sat 32
    2646726713eat 32
     
    2648426730)
    2648526731)
    26486 on &545
    26487 )
    26488 *910 (Wire
     26732on &546
     26733)
     26734*913 (Wire
    2648926735uid 17401,0
    2649026736shape (OrthoPolyLine
     
    2652126767)
    2652226768)
    26523 on &545
    26524 )
    26525 *911 (Wire
     26769on &546
     26770)
     26771*914 (Wire
    2652626772uid 18081,0
    2652726773shape (OrthoPolyLine
     
    2653626782]
    2653726783)
    26538 end &200
     26784end &201
    2653926785sat 16
    2654026786eat 32
     
    2655626802)
    2655726803)
    26558 on &177
    26559 )
    26560 *912 (Wire
     26804on &178
     26805)
     26806*915 (Wire
    2656126807uid 18093,0
    2656226808shape (OrthoPolyLine
     
    2657126817]
    2657226818)
    26573 end &289
     26819end &290
    2657426820sat 16
    2657526821eat 32
     
    2659026836)
    2659126837)
    26592 on &176
    26593 )
    26594 *913 (Wire
     26838on &177
     26839)
     26840*916 (Wire
    2659526841uid 18101,0
    2659626842shape (OrthoPolyLine
     
    2660526851]
    2660626852)
    26607 end &285
     26853end &286
    2660826854sat 16
    2660926855eat 32
     
    2662426870)
    2662526871)
    26626 on &177
    26627 )
    26628 *914 (Wire
     26872on &178
     26873)
     26874*917 (Wire
    2662926875uid 18459,0
    2663026876shape (OrthoPolyLine
     
    2663926885]
    2664026886)
    26641 start &757
     26887start &758
    2664226888end &127
    2664326889es 0
     
    2666126907)
    2666226908)
    26663 on &546
    26664 )
    26665 *915 (Wire
     26909on &547
     26910)
     26911*918 (Wire
    2666626912uid 18974,0
    2666726913shape (OrthoPolyLine
     
    2667626922]
    2667726923)
    26678 start &550
    26679 end &547
     26924start &551
     26925end &548
    2668026926ss 0
    2668126927sat 32
     
    2669926945)
    2670026946)
    26701 on &548
    26702 )
    26703 *916 (Wire
     26947on &549
     26948)
     26949*919 (Wire
    2670426950uid 19231,0
    2670526951shape (OrthoPolyLine
     
    2671526961]
    2671626962)
    26717 start &555
    26718 end &797
     26963start &556
     26964end &800
    2671926965ss 0
    2672026966sat 32
     
    2673826984)
    2673926985)
    26740 on &174
    26741 )
    26742 *917 (Wire
     26986on &175
     26987)
     26988*920 (Wire
    2674326989uid 19276,0
    2674426990shape (OrthoPolyLine
     
    2675326999]
    2675427000)
    26755 end &553
     27001end &554
    2675627002sat 16
    2675727003eat 32
     
    2677427020)
    2677527021)
    26776 on &296
    26777 )
    26778 *918 (Wire
     27022on &297
     27023)
     27024*921 (Wire
    2677927025uid 20153,0
    2678027026shape (OrthoPolyLine
     
    2678927035]
    2679027036)
    26791 start &249
     27037start &250
    2679227038sat 32
    2679327039eat 16
     
    2680927055)
    2681027056)
    26811 on &567
    26812 )
    26813 *919 (Wire
     27057on &568
     27058)
     27059*922 (Wire
    2681427060uid 20923,0
    2681527061shape (OrthoPolyLine
     
    2684427090)
    2684527091)
    26846 on &572
    26847 )
    26848 *920 (Wire
     27092on &573
     27093)
     27094*923 (Wire
    2684927095uid 20931,0
    2685027096shape (OrthoPolyLine
     
    2685927105]
    2686027106)
    26861 end &239
     27107end &240
    2686227108sat 16
    2686327109eat 32
     
    2687927125)
    2688027126)
    26881 on &572
    26882 )
    26883 *921 (Wire
     27127on &573
     27128)
     27129*924 (Wire
    2688427130uid 20939,0
    2688527131shape (OrthoPolyLine
     
    2691427160)
    2691527161)
    26916 on &573
    26917 )
    26918 *922 (Wire
     27162on &574
     27163)
     27164*925 (Wire
    2691927165uid 20945,0
    2692027166shape (OrthoPolyLine
     
    2692927175]
    2693027176)
    26931 end &745
     27177end &746
    2693227178sat 16
    2693327179eat 32
     
    2694927195)
    2695027196)
    26951 on &573
    26952 )
    26953 *923 (Wire
     27197on &574
     27198)
     27199*926 (Wire
    2695427200uid 20953,0
    2695527201shape (OrthoPolyLine
     
    2698427230)
    2698527231)
    26986 on &573
    26987 )
    26988 *924 (Wire
     27232on &574
     27233)
     27234*927 (Wire
    2698927235uid 20987,0
    2699027236shape (OrthoPolyLine
     
    2701927265)
    2702027266)
    27021 on &574
    27022 )
    27023 *925 (Wire
     27267on &575
     27268)
     27269*928 (Wire
    2702427270uid 21003,0
    2702527271shape (OrthoPolyLine
     
    2705427300)
    2705527301)
    27056 on &575
    27057 )
    27058 *926 (Wire
     27302on &576
     27303)
     27304*929 (Wire
    2705927305uid 21011,0
    2706027306shape (OrthoPolyLine
     
    2708927335)
    2709027336)
    27091 on &576
    27092 )
    27093 *927 (Wire
     27337on &577
     27338)
     27339*930 (Wire
    2709427340uid 21027,0
    2709527341shape (OrthoPolyLine
     
    2712427370)
    2712527371)
    27126 on &577
    27127 )
    27128 *928 (Wire
     27372on &578
     27373)
     27374*931 (Wire
    2712927375uid 21049,0
    2713027376shape (OrthoPolyLine
     
    2713927385]
    2714027386)
    27141 end &241
     27387end &242
    2714227388sat 16
    2714327389eat 32
     
    2715927405)
    2716027406)
    27161 on &576
    27162 )
    27163 *929 (Wire
     27407on &577
     27408)
     27409*932 (Wire
    2716427410uid 21061,0
    2716527411shape (OrthoPolyLine
     
    2717427420]
    2717527421)
    27176 start &240
     27422start &241
    2717727423sat 32
    2717827424eat 16
     
    2719427440)
    2719527441)
    27196 on &577
    27197 )
    27198 *930 (Wire
     27442on &578
     27443)
     27444*933 (Wire
    2719927445uid 21067,0
    2720027446shape (OrthoPolyLine
     
    2720927455]
    2721027456)
    27211 start &743
     27457start &744
    2721227458sat 32
    2721327459eat 16
     
    2722927475)
    2723027476)
    27231 on &574
    27232 )
    27233 *931 (Wire
     27477on &575
     27478)
     27479*934 (Wire
    2723427480uid 21083,0
    2723527481shape (OrthoPolyLine
     
    2724427490]
    2724527491)
    27246 end &747
     27492end &748
    2724727493sat 16
    2724827494eat 32
     
    2726427510)
    2726527511)
    27266 on &575
    27267 )
    27268 *932 (Wire
     27512on &576
     27513)
     27514*935 (Wire
    2726927515uid 21559,0
    2727027516shape (OrthoPolyLine
     
    2727927525]
    2728027526)
    27281 end &250
     27527end &251
    2728227528es 0
    2728327529sat 16
     
    2730027546)
    2730127547)
    27302 on &295
    27303 )
    27304 *933 (Wire
     27548on &296
     27549)
     27550*936 (Wire
    2730527551uid 21768,0
    2730627552shape (OrthoPolyLine
     
    2733727583)
    2733827584)
    27339 on &455
    27340 )
    27341 *934 (Wire
     27585on &456
     27586)
     27587*937 (Wire
    2734227588uid 21917,0
    2734327589shape (OrthoPolyLine
     
    2735227598]
    2735327599)
    27354 start &590
    27355 end &608
     27600start &591
     27601end &609
    2735627602sat 32
    2735727603eat 32
     
    2737527621)
    2737627622)
    27377 on &619
    27378 )
    27379 *935 (Wire
     27623on &620
     27624)
     27625*938 (Wire
    2738027626uid 21923,0
    2738127627shape (OrthoPolyLine
     
    2739027636]
    2739127637)
    27392 start &591
    27393 end &610
     27638start &592
     27639end &611
    2739427640sat 32
    2739527641eat 32
     
    2741327659)
    2741427660)
    27415 on &620
    27416 )
    27417 *936 (Wire
     27661on &621
     27662)
     27663*939 (Wire
    2741827664uid 21929,0
    2741927665shape (OrthoPolyLine
     
    2742827674]
    2742927675)
    27430 start &592
    27431 end &612
     27676start &593
     27677end &613
    2743227678sat 32
    2743327679eat 32
     
    2745127697)
    2745227698)
    27453 on &621
    27454 )
    27455 *937 (Wire
     27699on &622
     27700)
     27701*940 (Wire
    2745627702uid 21935,0
    2745727703shape (OrthoPolyLine
     
    2746627712]
    2746727713)
    27468 start &593
    27469 end &614
     27714start &594
     27715end &615
    2747027716sat 32
    2747127717eat 32
     
    2748927735)
    2749027736)
    27491 on &622
    27492 )
    27493 *938 (Wire
     27737on &623
     27738)
     27739*941 (Wire
    2749427740uid 21986,0
    2749527741shape (OrthoPolyLine
     
    2750427750]
    2750527751)
    27506 start &598
    27507 end &639
     27752start &599
     27753end &640
    2750827754es 0
    2750927755sat 32
     
    2752827774)
    2752927775)
    27530 on &646
    27531 )
    27532 *939 (Wire
     27776on &647
     27777)
     27778*942 (Wire
    2753327779uid 21992,0
    2753427780shape (OrthoPolyLine
     
    2754727793]
    2754827794)
    27549 start &671
    27550 end &641
     27795start &672
     27796end &642
    2755127797ss 0
    2755227798sat 32
     
    2757027816)
    2757127817)
    27572 on &647
    27573 )
    27574 *940 (Wire
     27818on &648
     27819)
     27820*943 (Wire
    2757527821uid 22068,0
    2757627822shape (OrthoPolyLine
     
    2758727833]
    2758827834)
    27589 end &654
     27835end &655
    2759027836sat 16
    2759127837eat 32
     
    2760827854)
    2760927855)
    27610 on &648
    27611 )
    27612 *941 (Wire
     27856on &649
     27857)
     27858*944 (Wire
    2761327859uid 22127,0
    2761427860shape (OrthoPolyLine
     
    2762327869]
    2762427870)
    27625 start &624
    27626 end &656
     27871start &625
     27872end &657
    2762727873sat 32
    2762827874eat 32
     
    2764627892)
    2764727893)
    27648 on &668
    27649 )
    27650 *942 (Wire
     27894on &669
     27895)
     27896*945 (Wire
    2765127897uid 22352,0
    2765227898shape (OrthoPolyLine
     
    2766127907]
    2766227908)
    27663 end &382
     27909end &383
    2766427910es 0
    2766527911sat 16
     
    2768327929)
    2768427930)
    27685 on &467
    27686 )
    27687 *943 (Wire
     27931on &468
     27932)
     27933*946 (Wire
    2768827934uid 22360,0
    2768927935shape (OrthoPolyLine
     
    2769827944]
    2769927945)
    27700 end &381
     27946end &382
    2770127947es 0
    2770227948sat 16
     
    2772027966)
    2772127967)
    27722 on &465
    27723 )
    27724 *944 (Wire
     27968on &466
     27969)
     27970*947 (Wire
    2772527971uid 23047,0
    2772627972shape (OrthoPolyLine
     
    2773627982]
    2773727983)
    27738 start &472
    27739 end &680
     27984start &473
     27985end &681
    2774027986sat 32
    2774127987eat 32
     
    2775828004)
    2775928005)
    27760 on &692
    27761 )
    27762 *945 (Wire
     28006on &693
     28007)
     28008*948 (Wire
    2776328009uid 23055,0
    2776428010shape (OrthoPolyLine
     
    2779528041)
    2779628042)
    27797 on &692
    27798 )
    27799 *946 (Wire
     28043on &693
     28044)
     28045*949 (Wire
    2780028046uid 23063,0
    2780128047shape (OrthoPolyLine
     
    2783128077)
    2783228078)
    27833 on &648
    27834 )
    27835 *947 (Wire
     28079on &649
     28080)
     28081*950 (Wire
    2783628082uid 23343,0
    2783728083shape (OrthoPolyLine
     
    2786628112)
    2786728113)
    27868 on &693
    27869 )
    27870 *948 (Wire
     28114on &694
     28115)
     28116*951 (Wire
    2787128117uid 23351,0
    2787228118shape (OrthoPolyLine
     
    2790128147)
    2790228148)
    27903 on &694
    27904 )
    27905 *949 (Wire
     28149on &695
     28150)
     28151*952 (Wire
    2790628152uid 23357,0
    2790728153shape (OrthoPolyLine
     
    2791628162]
    2791728163)
    27918 start &307
     28164start &308
    2791928165sat 32
    2792028166eat 16
     
    2793628182)
    2793728183)
    27938 on &694
    27939 )
    27940 *950 (Wire
     28184on &695
     28185)
     28186*953 (Wire
    2794128187uid 23365,0
    2794228188shape (OrthoPolyLine
     
    2795128197]
    2795228198)
    27953 start &306
     28199start &307
    2795428200sat 32
    2795528201eat 16
     
    2797128217)
    2797228218)
    27973 on &693
    27974 )
    27975 *951 (Wire
     28219on &694
     28220)
     28221*954 (Wire
    2797628222uid 23600,0
    2797728223shape (OrthoPolyLine
     
    2800628252)
    2800728253)
    28008 on &295
    28009 )
    28010 *952 (Wire
     28254on &296
     28255)
     28256*955 (Wire
    2801128257uid 23833,0
    2801228258shape (OrthoPolyLine
     
    2804128287)
    2804228288)
    28043 on &694
    28044 )
    28045 *953 (Wire
     28289on &695
     28290)
     28291*956 (Wire
    2804628292uid 24078,0
    2804728293shape (OrthoPolyLine
     
    2805728303)
    2805828304start &72
    28059 end &696
     28305end &697
    2806028306sat 32
    2806128307eat 32
     
    2807928325)
    2808028326)
    28081 on &695
    28082 )
    28083 *954 (Wire
     28327on &696
     28328)
     28329*957 (Wire
    2808428330uid 24646,0
    2808528331shape (OrthoPolyLine
     
    2809428340]
    2809528341)
    28096 start &701
    28097 end &710
     28342start &702
     28343end &711
    2809828344sat 32
    2809928345eat 32
     
    2811728363)
    2811828364)
    28119 on &715
    28120 )
    28121 *955 (Wire
     28365on &716
     28366)
     28367*958 (Wire
    2812228368uid 24660,0
    2812328369shape (OrthoPolyLine
     
    2813228378]
    2813328379)
    28134 start &711
    28135 end &699
     28380start &712
     28381end &700
    2813628382sat 32
    2813728383eat 32
     
    2815528401)
    2815628402)
    28157 on &714
    28158 )
    28159 *956 (Wire
     28403on &715
     28404)
     28405*959 (Wire
    2816028406uid 24674,0
    2816128407shape (OrthoPolyLine
     
    2817028416]
    2817128417)
    28172 start &700
    28173 end &712
     28418start &701
     28419end &713
    2817428420sat 32
    2817528421eat 32
     
    2819328439)
    2819428440)
    28195 on &716
    28196 )
    28197 *957 (Wire
     28441on &717
     28442)
     28443*960 (Wire
    2819828444uid 24688,0
    2819928445shape (OrthoPolyLine
     
    2820828454]
    2820928455)
    28210 start &702
    28211 end &713
     28456start &703
     28457end &714
    2821228458sat 32
    2821328459eat 32
     
    2823128477)
    2823228478)
    28233 on &717
    28234 )
    28235 *958 (Wire
     28479on &718
     28480)
     28481*961 (Wire
    2823628482uid 24708,0
    2823728483shape (OrthoPolyLine
     
    2824628492]
    2824728493)
    28248 end &698
     28494end &699
    2824928495es 0
    2825028496sat 16
     
    2826728513)
    2826828514)
    28269 on &176
    28270 )
    28271 *959 (Wire
     28515on &177
     28516)
     28517*962 (Wire
    2827228518uid 24724,0
    2827328519shape (OrthoPolyLine
     
    2828228528]
    2828328529)
    28284 start &703
     28530start &704
    2828528531sat 32
    2828628532eat 16
     
    2830228548)
    2830328549)
    28304 on &386
    28305 )
    28306 *960 (Wire
     28550on &387
     28551)
     28552*963 (Wire
    2830728553uid 24738,0
    2830828554shape (OrthoPolyLine
     
    2831728563]
    2831828564)
    28319 start &706
     28565start &707
    2832028566sat 32
    2832128567eat 16
     
    2833728583)
    2833828584)
    28339 on &718
    28340 )
    28341 *961 (Wire
     28585on &719
     28586)
     28587*964 (Wire
    2834228588uid 24750,0
    2834328589shape (OrthoPolyLine
     
    2837328619)
    2837428620)
    28375 on &718
    28376 )
    28377 *962 (Wire
     28621on &719
     28622)
     28623*965 (Wire
    2837828624uid 25029,0
    2837928625shape (OrthoPolyLine
     
    2840828654)
    2840928655)
    28410 on &719
    28411 )
    28412 *963 (Wire
     28656on &720
     28657)
     28658*966 (Wire
    2841328659uid 25035,0
    2841428660shape (OrthoPolyLine
     
    2842328669]
    2842428670)
    28425 end &143
     28671end &144
    2842628672es 0
    2842728673sat 16
     
    2844428690)
    2844528691)
    28446 on &719
    28447 )
    28448 *964 (Wire
     28692on &720
     28693)
     28694*967 (Wire
    2844928695uid 25298,0
    2845028696shape (OrthoPolyLine
     
    2846128707)
    2846228708start &131
    28463 end &720
     28709end &721
    2846428710sat 32
    2846528711eat 32
     
    2848428730)
    2848528731)
    28486 on &721
    28487 )
    28488 *965 (Wire
     28732on &722
     28733)
     28734*968 (Wire
    2848928735uid 25543,0
    2849028736shape (OrthoPolyLine
     
    2850028746)
    2850128747start &132
    28502 end &723
     28748end &724
    2850328749sat 32
    2850428750eat 32
     
    2852228768)
    2852328769)
    28524 on &722
    28525 )
    28526 *966 (Wire
     28770on &723
     28771)
     28772*969 (Wire
    2852728773uid 25557,0
    2852828774shape (OrthoPolyLine
     
    2853828784)
    2853928785start &133
    28540 end &725
     28786end &726
    2854128787sat 32
    2854228788eat 32
     
    2856028806)
    2856128807)
    28562 on &724
    28563 )
    28564 *967 (Wire
     28808on &725
     28809)
     28810*970 (Wire
    2856528811uid 25842,0
    2856628812shape (OrthoPolyLine
     
    2857728823)
    2857828824start &76
    28579 end &727
     28825end &728
    2858028826sat 32
    2858128827eat 32
     
    2859728843)
    2859828844)
    28599 on &734
    28600 )
    28601 *968 (Wire
     28845on &735
     28846)
     28847*971 (Wire
    2860228848uid 26073,0
    2860328849shape (OrthoPolyLine
     
    2861328859]
    2861428860)
    28615 start &741
    28616 end &735
     28861start &742
     28862end &736
    2861728863sat 32
    2861828864eat 32
     
    2863728883)
    2863828884)
    28639 on &736
    28640 )
    28641 *969 (Wire
     28885on &737
     28886)
     28887*972 (Wire
    2864228888uid 26336,0
    2864328889shape (OrthoPolyLine
     
    2867228918)
    2867328919)
    28674 on &737
    28675 )
    28676 *970 (Wire
     28920on &738
     28921)
     28922*973 (Wire
    2867728923uid 26344,0
    2867828924shape (OrthoPolyLine
     
    2868928935)
    2869028936start &78
    28691 end &738
     28937end &739
    2869228938sat 32
    2869328939eat 32
     
    2871228958)
    2871328959)
    28714 on &739
    28715 )
    28716 *971 (Wire
     28960on &740
     28961)
     28962*974 (Wire
    2871728963uid 26356,0
    2871828964shape (OrthoPolyLine
     
    2874728993)
    2874828994)
    28749 on &737
    28750 )
    28751 *972 (Wire
     28995on &738
     28996)
     28997*975 (Wire
    2875228998uid 27133,0
    2875328999shape (OrthoPolyLine
     
    2876329009)
    2876429010start &107
    28765 end &758
     29011end &759
    2876629012sat 32
    2876729013eat 32
     
    2878329029)
    2878429030)
    28785 on &764
    28786 )
    28787 *973 (Wire
     29031on &765
     29032)
     29033*976 (Wire
    2878829034uid 27149,0
    2878929035shape (OrthoPolyLine
     
    2879829044]
    2879929045)
    28800 start &760
     29046start &761
    2880129047end &66
    2880229048sat 32
     
    2881929065)
    2882029066)
    28821 on &765
    28822 )
    28823 *974 (Wire
     29067on &766
     29068)
     29069*977 (Wire
    2882429070uid 27155,0
    2882529071shape (OrthoPolyLine
     
    2883429080]
    2883529081)
    28836 start &759
     29082start &760
    2883729083end &65
    2883829084sat 32
     
    2885529101)
    2885629102)
    28857 on &766
    28858 )
    28859 *975 (Wire
     29103on &767
     29104)
     29105*978 (Wire
    2886029106uid 27605,0
    2886129107shape (OrthoPolyLine
     
    2889229138)
    2889329139)
    28894 on &767
    28895 )
    28896 *976 (Wire
     29140on &768
     29141)
     29142*979 (Wire
    2889729143uid 27611,0
    2889829144shape (OrthoPolyLine
     
    2892929175)
    2893029176)
    28931 on &767
     29177on &768
     29178)
     29179*980 (Wire
     29180uid 28278,0
     29181shape (OrthoPolyLine
     29182uid 28279,0
     29183va (VaSet
     29184vasetType 3
     29185lineWidth 2
     29186)
     29187xt "124750,114000,139000,114000"
     29188pts [
     29189"124750,114000"
     29190"139000,114000"
     29191]
     29192)
     29193start &135
     29194end &770
     29195sat 32
     29196eat 32
     29197sty 1
     29198stc 0
     29199st 0
     29200sf 1
     29201si 0
     29202tg (WTG
     29203uid 28282,0
     29204ps "ConnStartEndStrategy"
     29205stg "STSignalDisplayStrategy"
     29206f (Text
     29207uid 28283,0
     29208va (VaSet
     29209isHidden 1
     29210)
     29211xt "126000,113000,134600,114000"
     29212st "socket_tx_free_out"
     29213blo "126000,113800"
     29214tm "WireNameMgr"
     29215)
     29216)
     29217on &769
    2893229218)
    2893329219]
     
    2894329229color "26368,26368,26368"
    2894429230)
    28945 packageList *977 (PackageList
     29231packageList *981 (PackageList
    2894629232uid 41,0
    2894729233stg "VerticalLayoutStrategy"
    2894829234textVec [
    28949 *978 (Text
     29235*982 (Text
    2895029236uid 42,0
    2895129237va (VaSet
     
    2895629242blo "-163000,-15200"
    2895729243)
    28958 *979 (MLText
     29244*983 (MLText
    2895929245uid 43,0
    2896029246va (VaSet
     
    2898229268stg "VerticalLayoutStrategy"
    2898329269textVec [
    28984 *980 (Text
     29270*984 (Text
    2898529271uid 45,0
    2898629272va (VaSet
     
    2899229278blo "20000,800"
    2899329279)
    28994 *981 (Text
     29280*985 (Text
    2899529281uid 46,0
    2899629282va (VaSet
     
    2900229288blo "20000,1800"
    2900329289)
    29004 *982 (MLText
     29290*986 (MLText
    2900529291uid 47,0
    2900629292va (VaSet
     
    2901229298tm "BdCompilerDirectivesTextMgr"
    2901329299)
    29014 *983 (Text
     29300*987 (Text
    2901529301uid 48,0
    2901629302va (VaSet
     
    2902229308blo "20000,4800"
    2902329309)
    29024 *984 (MLText
     29310*988 (MLText
    2902529311uid 49,0
    2902629312va (VaSet
     
    2903029316tm "BdCompilerDirectivesTextMgr"
    2903129317)
    29032 *985 (Text
     29318*989 (Text
    2903329319uid 50,0
    2903429320va (VaSet
     
    2904029326blo "20000,5800"
    2904129327)
    29042 *986 (MLText
     29328*990 (MLText
    2904329329uid 51,0
    2904429330va (VaSet
     
    2905229338)
    2905329339windowSize "0,0,1681,1028"
    29054 viewArea "66700,54975,176350,121425"
     29340viewArea "66700,94575,176350,161025"
    2905529341cachedDiagramExtent "-174000,-16000,261100,353300"
    2905629342pageSetupInfo (PageSetupInfo
     
    2907829364hasePageBreakOrigin 1
    2907929365pageBreakOrigin "-73000,0"
    29080 lastUid 28263,0
     29366lastUid 28291,0
    2908129367defaultCommentText (CommentText
    2908229368shape (Rectangle
     
    2914029426stg "VerticalLayoutStrategy"
    2914129427textVec [
    29142 *987 (Text
     29428*991 (Text
    2914329429va (VaSet
    2914429430font "Arial,8,1"
     
    2914929435tm "BdLibraryNameMgr"
    2915029436)
    29151 *988 (Text
     29437*992 (Text
    2915229438va (VaSet
    2915329439font "Arial,8,1"
     
    2915829444tm "BlkNameMgr"
    2915929445)
    29160 *989 (Text
     29446*993 (Text
    2916129447va (VaSet
    2916229448font "Arial,8,1"
     
    2920929495stg "VerticalLayoutStrategy"
    2921029496textVec [
    29211 *990 (Text
     29497*994 (Text
    2921229498va (VaSet
    2921329499font "Arial,8,1"
     
    2921729503blo "550,4300"
    2921829504)
    29219 *991 (Text
     29505*995 (Text
    2922029506va (VaSet
    2922129507font "Arial,8,1"
     
    2922529511blo "550,5300"
    2922629512)
    29227 *992 (Text
     29513*996 (Text
    2922829514va (VaSet
    2922929515font "Arial,8,1"
     
    2927429560stg "VerticalLayoutStrategy"
    2927529561textVec [
    29276 *993 (Text
     29562*997 (Text
    2927729563va (VaSet
    2927829564font "Arial,8,1"
     
    2928329569tm "BdLibraryNameMgr"
    2928429570)
    29285 *994 (Text
     29571*998 (Text
    2928629572va (VaSet
    2928729573font "Arial,8,1"
     
    2929229578tm "CptNameMgr"
    2929329579)
    29294 *995 (Text
     29580*999 (Text
    2929529581va (VaSet
    2929629582font "Arial,8,1"
     
    2934629632stg "VerticalLayoutStrategy"
    2934729633textVec [
    29348 *996 (Text
     29634*1000 (Text
    2934929635va (VaSet
    2935029636font "Arial,8,1"
     
    2935429640blo "500,4300"
    2935529641)
    29356 *997 (Text
     29642*1001 (Text
    2935729643va (VaSet
    2935829644font "Arial,8,1"
     
    2936229648blo "500,5300"
    2936329649)
    29364 *998 (Text
     29650*1002 (Text
    2936529651va (VaSet
    2936629652font "Arial,8,1"
     
    2940729693stg "VerticalLayoutStrategy"
    2940829694textVec [
    29409 *999 (Text
     29695*1003 (Text
    2941029696va (VaSet
    2941129697font "Arial,8,1"
     
    2941529701blo "50,4300"
    2941629702)
    29417 *1000 (Text
     29703*1004 (Text
    2941829704va (VaSet
    2941929705font "Arial,8,1"
     
    2942329709blo "50,5300"
    2942429710)
    29425 *1001 (Text
     29711*1005 (Text
    2942629712va (VaSet
    2942729713font "Arial,8,1"
     
    2946429750stg "VerticalLayoutStrategy"
    2946529751textVec [
    29466 *1002 (Text
     29752*1006 (Text
    2946729753va (VaSet
    2946829754font "Arial,8,1"
     
    2947329759tm "HdlTextNameMgr"
    2947429760)
    29475 *1003 (Text
     29761*1007 (Text
    2947629762va (VaSet
    2947729763font "Arial,8,1"
     
    2987630162stg "VerticalLayoutStrategy"
    2987730163textVec [
    29878 *1004 (Text
     30164*1008 (Text
    2987930165va (VaSet
    2988030166font "Arial,8,1"
     
    2988430170blo "14100,20800"
    2988530171)
    29886 *1005 (MLText
     30172*1009 (MLText
    2988730173va (VaSet
    2988830174)
     
    2993630222stg "VerticalLayoutStrategy"
    2993730223textVec [
    29938 *1006 (Text
     30224*1010 (Text
    2993930225va (VaSet
    2994030226font "Arial,8,1"
     
    2994430230blo "14100,20800"
    2994530231)
    29946 *1007 (MLText
     30232*1011 (MLText
    2994730233va (VaSet
    2994830234)
     
    3004330329font "Arial,8,1"
    3004430330)
    30045 xt "-174000,45600,-170200,46600"
     30331xt "-174000,46400,-170200,47400"
    3004630332st "Pre User:"
    30047 blo "-174000,46400"
     30333blo "-174000,47200"
    3004830334)
    3004930335preUserText (MLText
     
    3006030346font "Arial,8,1"
    3006130347)
    30062 xt "-174000,46600,-166900,47600"
     30348xt "-174000,47400,-166900,48400"
    3006330349st "Diagram Signals:"
    30064 blo "-174000,47400"
     30350blo "-174000,48200"
    3006530351)
    3006630352postUserLabel (Text
     
    3006930355font "Arial,8,1"
    3007030356)
    30071 xt "-174000,126000,-169300,127000"
     30357xt "-174000,126800,-169300,127800"
    3007230358st "Post User:"
    30073 blo "-174000,126800"
     30359blo "-174000,127600"
    3007430360)
    3007530361postUserText (MLText
     
    3008430370commonDM (CommonDM
    3008530371ldm (LogicalDM
    30086 suid 419,0
     30372suid 421,0
    3008730373usingSuid 1
    30088 emptyRow *1008 (LEmptyRow
     30374emptyRow *1012 (LEmptyRow
    3008930375)
    3009030376uid 54,0
    3009130377optionalChildren [
    30092 *1009 (RefLabelRowHdr
    30093 )
    30094 *1010 (TitleRowHdr
    30095 )
    30096 *1011 (FilterRowHdr
    30097 )
    30098 *1012 (RefLabelColHdr
     30378*1013 (RefLabelRowHdr
     30379)
     30380*1014 (TitleRowHdr
     30381)
     30382*1015 (FilterRowHdr
     30383)
     30384*1016 (RefLabelColHdr
    3009930385tm "RefLabelColHdrMgr"
    3010030386)
    30101 *1013 (RowExpandColHdr
     30387*1017 (RowExpandColHdr
    3010230388tm "RowExpandColHdrMgr"
    3010330389)
    30104 *1014 (GroupColHdr
     30390*1018 (GroupColHdr
    3010530391tm "GroupColHdrMgr"
    3010630392)
    30107 *1015 (NameColHdr
     30393*1019 (NameColHdr
    3010830394tm "BlockDiagramNameColHdrMgr"
    3010930395)
    30110 *1016 (ModeColHdr
     30396*1020 (ModeColHdr
    3011130397tm "BlockDiagramModeColHdrMgr"
    3011230398)
    30113 *1017 (TypeColHdr
     30399*1021 (TypeColHdr
    3011430400tm "BlockDiagramTypeColHdrMgr"
    3011530401)
    30116 *1018 (BoundsColHdr
     30402*1022 (BoundsColHdr
    3011730403tm "BlockDiagramBoundsColHdrMgr"
    3011830404)
    30119 *1019 (InitColHdr
     30405*1023 (InitColHdr
    3012030406tm "BlockDiagramInitColHdrMgr"
    3012130407)
    30122 *1020 (EolColHdr
     30408*1024 (EolColHdr
    3012330409tm "BlockDiagramEolColHdrMgr"
    3012430410)
    30125 *1021 (LeafLogPort
     30411*1025 (LeafLogPort
    3012630412port (LogicalPort
    3012730413m 4
     
    3013730423uid 516,0
    3013830424)
    30139 *1022 (LeafLogPort
     30425*1026 (LeafLogPort
    3014030426port (LogicalPort
    3014130427m 4
     
    3015030436uid 518,0
    3015130437)
    30152 *1023 (LeafLogPort
     30438*1027 (LeafLogPort
    3015330439port (LogicalPort
    3015430440m 4
     
    3016330449uid 520,0
    3016430450)
    30165 *1024 (LeafLogPort
     30451*1028 (LeafLogPort
    3016630452port (LogicalPort
    3016730453m 4
     
    3017630462uid 530,0
    3017730463)
    30178 *1025 (LeafLogPort
     30464*1029 (LeafLogPort
    3017930465port (LogicalPort
    3018030466m 4
     
    3018930475uid 532,0
    3019030476)
    30191 *1026 (LeafLogPort
     30477*1030 (LeafLogPort
    3019230478port (LogicalPort
    3019330479m 1
     
    3020230488uid 534,0
    3020330489)
    30204 *1027 (LeafLogPort
     30490*1031 (LeafLogPort
    3020530491port (LogicalPort
    3020630492m 1
     
    3021530501uid 536,0
    3021630502)
    30217 *1028 (LeafLogPort
     30503*1032 (LeafLogPort
    3021830504port (LogicalPort
    3021930505m 2
     
    3022830514uid 538,0
    3022930515)
    30230 *1029 (LeafLogPort
     30516*1033 (LeafLogPort
    3023130517port (LogicalPort
    3023230518m 1
     
    3024130527uid 540,0
    3024230528)
    30243 *1030 (LeafLogPort
     30529*1034 (LeafLogPort
    3024430530port (LogicalPort
    3024530531m 1
     
    3025430540uid 542,0
    3025530541)
    30256 *1031 (LeafLogPort
     30542*1035 (LeafLogPort
    3025730543port (LogicalPort
    3025830544m 1
     
    3026730553uid 546,0
    3026830554)
    30269 *1032 (LeafLogPort
     30555*1036 (LeafLogPort
    3027030556port (LogicalPort
    3027130557decl (Decl
     
    3027830564uid 548,0
    3027930565)
    30280 *1033 (LeafLogPort
     30566*1037 (LeafLogPort
    3028130567port (LogicalPort
    3028230568decl (Decl
     
    3029030576uid 1455,0
    3029130577)
    30292 *1034 (LeafLogPort
     30578*1038 (LeafLogPort
    3029330579port (LogicalPort
    3029430580decl (Decl
     
    3030330589uid 1457,0
    3030430590)
    30305 *1035 (LeafLogPort
     30591*1039 (LeafLogPort
    3030630592port (LogicalPort
    3030730593decl (Decl
     
    3031530601uid 1694,0
    3031630602)
    30317 *1036 (LeafLogPort
     30603*1040 (LeafLogPort
    3031830604port (LogicalPort
    3031930605m 4
     
    3033030616uid 2305,0
    3033130617)
    30332 *1037 (LeafLogPort
     30618*1041 (LeafLogPort
    3033330619port (LogicalPort
    3033430620lang 2
     
    3034430630uid 2512,0
    3034530631)
    30346 *1038 (LeafLogPort
     30632*1042 (LeafLogPort
    3034730633port (LogicalPort
    3034830634lang 2
     
    3035930645uid 2514,0
    3036030646)
    30361 *1039 (LeafLogPort
     30647*1043 (LeafLogPort
    3036230648port (LogicalPort
    3036330649lang 2
     
    3037530661uid 2516,0
    3037630662)
    30377 *1040 (LeafLogPort
     30663*1044 (LeafLogPort
    3037830664port (LogicalPort
    3037930665lang 2
     
    3039030676uid 2518,0
    3039130677)
    30392 *1041 (LeafLogPort
     30678*1045 (LeafLogPort
    3039330679port (LogicalPort
    3039430680lang 2
     
    3040430690uid 2520,0
    3040530691)
    30406 *1042 (LeafLogPort
     30692*1046 (LeafLogPort
    3040730693port (LogicalPort
    3040830694lang 2
     
    3041830704uid 2522,0
    3041930705)
    30420 *1043 (LeafLogPort
     30706*1047 (LeafLogPort
    3042130707port (LogicalPort
    3042230708m 4
     
    3043030716uid 2604,0
    3043130717)
    30432 *1044 (LeafLogPort
     30718*1048 (LeafLogPort
    3043330719port (LogicalPort
    3043430720m 4
     
    3044330729uid 2606,0
    3044430730)
    30445 *1045 (LeafLogPort
     30731*1049 (LeafLogPort
    3044630732port (LogicalPort
    3044730733m 4
     
    3045530741uid 2612,0
    3045630742)
    30457 *1046 (LeafLogPort
     30743*1050 (LeafLogPort
    3045830744port (LogicalPort
    3045930745m 4
     
    3046830754uid 2646,0
    3046930755)
    30470 *1047 (LeafLogPort
     30756*1051 (LeafLogPort
    3047130757port (LogicalPort
    3047230758m 1
     
    3048130767uid 2812,0
    3048230768)
    30483 *1048 (LeafLogPort
     30769*1052 (LeafLogPort
    3048430770port (LogicalPort
    3048530771m 1
     
    3049330779uid 3902,0
    3049430780)
    30495 *1049 (LeafLogPort
     30781*1053 (LeafLogPort
    3049630782port (LogicalPort
    3049730783m 1
     
    3050730793uid 4070,0
    3050830794)
    30509 *1050 (LeafLogPort
     30795*1054 (LeafLogPort
    3051030796port (LogicalPort
    3051130797m 4
     
    3052130807uid 4212,0
    3052230808)
    30523 *1051 (LeafLogPort
     30809*1055 (LeafLogPort
    3052430810port (LogicalPort
    3052530811decl (Decl
     
    3053230818uid 4234,0
    3053330819)
    30534 *1052 (LeafLogPort
     30820*1056 (LeafLogPort
    3053530821port (LogicalPort
    3053630822decl (Decl
     
    3054430830uid 4262,0
    3054530831)
    30546 *1053 (LeafLogPort
     30832*1057 (LeafLogPort
    3054730833port (LogicalPort
    3054830834decl (Decl
     
    3055530841uid 4276,0
    3055630842)
    30557 *1054 (LeafLogPort
     30843*1058 (LeafLogPort
    3055830844port (LogicalPort
    3055930845m 4
     
    3056830854uid 4563,0
    3056930855)
    30570 *1055 (LeafLogPort
     30856*1059 (LeafLogPort
    3057130857port (LogicalPort
    3057230858m 4
     
    3058030866uid 4565,0
    3058130867)
    30582 *1056 (LeafLogPort
     30868*1060 (LeafLogPort
    3058330869port (LogicalPort
    3058430870m 4
     
    3059330879uid 4569,0
    3059430880)
    30595 *1057 (LeafLogPort
     30881*1061 (LeafLogPort
    3059630882port (LogicalPort
    3059730883m 1
     
    3060730893uid 4585,0
    3060830894)
    30609 *1058 (LeafLogPort
     30895*1062 (LeafLogPort
    3061030896port (LogicalPort
    3061130897m 1
     
    3062030906uid 4587,0
    3062130907)
    30622 *1059 (LeafLogPort
     30908*1063 (LeafLogPort
    3062330909port (LogicalPort
    3062430910decl (Decl
     
    3063130917uid 4733,0
    3063230918)
    30633 *1060 (LeafLogPort
     30919*1064 (LeafLogPort
    3063430920port (LogicalPort
    3063530921decl (Decl
     
    3064230928uid 4735,0
    3064330929)
    30644 *1061 (LeafLogPort
     30930*1065 (LeafLogPort
    3064530931port (LogicalPort
    3064630932decl (Decl
     
    3065330939uid 4737,0
    3065430940)
    30655 *1062 (LeafLogPort
     30941*1066 (LeafLogPort
    3065630942port (LogicalPort
    3065730943decl (Decl
     
    3066430950uid 4739,0
    3066530951)
    30666 *1063 (LeafLogPort
     30952*1067 (LeafLogPort
    3066730953port (LogicalPort
    3066830954m 4
     
    3067630962uid 4749,0
    3067730963)
    30678 *1064 (LeafLogPort
     30964*1068 (LeafLogPort
    3067930965port (LogicalPort
    3068030966m 1
     
    3068930975uid 4974,0
    3069030976)
    30691 *1065 (LeafLogPort
     30977*1069 (LeafLogPort
    3069230978port (LogicalPort
    3069330979m 1
     
    3070230988uid 4976,0
    3070330989)
    30704 *1066 (LeafLogPort
     30990*1070 (LeafLogPort
    3070530991port (LogicalPort
    3070630992m 1
     
    3071731003uid 5226,0
    3071831004)
    30719 *1067 (LeafLogPort
     31005*1071 (LeafLogPort
    3072031006port (LogicalPort
    3072131007m 4
     
    3072931015uid 5502,0
    3073031016)
    30731 *1068 (LeafLogPort
     31017*1072 (LeafLogPort
    3073231018port (LogicalPort
    3073331019m 4
     
    3074131027uid 5504,0
    3074231028)
    30743 *1069 (LeafLogPort
     31029*1073 (LeafLogPort
    3074431030port (LogicalPort
    3074531031lang 10
     
    3075531041uid 5642,0
    3075631042)
    30757 *1070 (LeafLogPort
     31043*1074 (LeafLogPort
    3075831044port (LogicalPort
    3075931045m 4
     
    3076731053uid 5644,0
    3076831054)
    30769 *1071 (LeafLogPort
     31055*1075 (LeafLogPort
    3077031056port (LogicalPort
    3077131057m 1
     
    3077931065uid 5867,0
    3078031066)
    30781 *1072 (LeafLogPort
     31067*1076 (LeafLogPort
    3078231068port (LogicalPort
    3078331069m 2
     
    3079331079uid 5869,0
    3079431080)
    30795 *1073 (LeafLogPort
     31081*1077 (LeafLogPort
    3079631082port (LogicalPort
    3079731083m 1
     
    3080531091uid 5871,0
    3080631092)
    30807 *1074 (LeafLogPort
     31093*1078 (LeafLogPort
    3080831094port (LogicalPort
    3080931095m 1
     
    3081831104uid 5873,0
    3081931105)
    30820 *1075 (LeafLogPort
     31106*1079 (LeafLogPort
    3082131107port (LogicalPort
    3082231108m 1
     
    3083131117uid 6172,0
    3083231118)
    30833 *1076 (LeafLogPort
     31119*1080 (LeafLogPort
    3083431120port (LogicalPort
    3083531121m 1
     
    3084631132uid 6374,0
    3084731133)
    30848 *1077 (LeafLogPort
     31134*1081 (LeafLogPort
    3084931135port (LogicalPort
    3085031136m 4
     
    3085831144uid 8760,0
    3085931145)
    30860 *1078 (LeafLogPort
     31146*1082 (LeafLogPort
    3086131147port (LogicalPort
    3086231148lang 2
     
    3087131157uid 9018,0
    3087231158)
    30873 *1079 (LeafLogPort
     31159*1083 (LeafLogPort
    3087431160port (LogicalPort
    3087531161m 4
     
    3088631172uid 9247,0
    3088731173)
    30888 *1080 (LeafLogPort
     31174*1084 (LeafLogPort
    3088931175port (LogicalPort
    3089031176m 4
     
    3090231188uid 9249,0
    3090331189)
    30904 *1081 (LeafLogPort
     31190*1085 (LeafLogPort
    3090531191port (LogicalPort
    3090631192m 4
     
    3091731203uid 10024,0
    3091831204)
    30919 *1082 (LeafLogPort
     31205*1086 (LeafLogPort
    3092031206port (LogicalPort
    3092131207m 4
     
    3093031216uid 10026,0
    3093131217)
    30932 *1083 (LeafLogPort
     31218*1087 (LeafLogPort
    3093331219port (LogicalPort
    3093431220m 4
     
    3094331229uid 10028,0
    3094431230)
    30945 *1084 (LeafLogPort
     31231*1088 (LeafLogPort
    3094631232port (LogicalPort
    3094731233m 4
     
    3095531241uid 10294,0
    3095631242)
    30957 *1085 (LeafLogPort
     31243*1089 (LeafLogPort
    3095831244port (LogicalPort
    3095931245m 4
     
    3096731253uid 10334,0
    3096831254)
    30969 *1086 (LeafLogPort
     31255*1090 (LeafLogPort
    3097031256port (LogicalPort
    3097131257m 4
     
    3098031266uid 10336,0
    3098131267)
    30982 *1087 (LeafLogPort
     31268*1091 (LeafLogPort
    3098331269port (LogicalPort
    3098431270m 4
     
    3099331279uid 10338,0
    3099431280)
    30995 *1088 (LeafLogPort
     31281*1092 (LeafLogPort
    3099631282port (LogicalPort
    3099731283m 4
     
    3100731293uid 10340,0
    3100831294)
    31009 *1089 (LeafLogPort
     31295*1093 (LeafLogPort
    3101031296port (LogicalPort
    3101131297m 1
     
    3102031306uid 10342,0
    3102131307)
    31022 *1090 (LeafLogPort
     31308*1094 (LeafLogPort
    3102331309port (LogicalPort
    3102431310m 4
     
    3103131317)
    3103231318uid 10763,0
    31033 )
    31034 *1091 (LeafLogPort
    31035 port (LogicalPort
    31036 m 4
    31037 decl (Decl
    31038 n "socks_waiting"
    31039 t "std_logic"
    31040 o 124
    31041 suid 244,0
    31042 )
    31043 )
    31044 uid 10765,0
    31045 )
    31046 *1092 (LeafLogPort
    31047 port (LogicalPort
    31048 m 1
    31049 decl (Decl
    31050 n "green"
    31051 t "std_logic"
    31052 o 37
    31053 suid 248,0
    31054 )
    31055 )
    31056 uid 10767,0
    31057 )
    31058 *1093 (LeafLogPort
    31059 port (LogicalPort
    31060 m 1
    31061 decl (Decl
    31062 n "amber"
    31063 t "std_logic"
    31064 o 29
    31065 suid 249,0
    31066 )
    31067 )
    31068 uid 10769,0
    31069 )
    31070 *1094 (LeafLogPort
    31071 port (LogicalPort
    31072 m 1
    31073 decl (Decl
    31074 n "red"
    31075 t "std_logic"
    31076 o 41
    31077 suid 250,0
    31078 )
    31079 )
    31080 uid 10771,0
    3108131319)
    3108231320*1095 (LeafLogPort
     
    3108431322m 4
    3108531323decl (Decl
     31324n "socks_waiting"
     31325t "std_logic"
     31326o 124
     31327suid 244,0
     31328)
     31329)
     31330uid 10765,0
     31331)
     31332*1096 (LeafLogPort
     31333port (LogicalPort
     31334m 1
     31335decl (Decl
     31336n "green"
     31337t "std_logic"
     31338o 37
     31339suid 248,0
     31340)
     31341)
     31342uid 10767,0
     31343)
     31344*1097 (LeafLogPort
     31345port (LogicalPort
     31346m 1
     31347decl (Decl
     31348n "amber"
     31349t "std_logic"
     31350o 29
     31351suid 249,0
     31352)
     31353)
     31354uid 10769,0
     31355)
     31356*1098 (LeafLogPort
     31357port (LogicalPort
     31358m 1
     31359decl (Decl
     31360n "red"
     31361t "std_logic"
     31362o 41
     31363suid 250,0
     31364)
     31365)
     31366uid 10771,0
     31367)
     31368*1099 (LeafLogPort
     31369port (LogicalPort
     31370m 4
     31371decl (Decl
    3108631372n "drs_readout_started"
    3108731373t "std_logic"
     
    3109231378uid 11411,0
    3109331379)
    31094 *1096 (LeafLogPort
     31380*1100 (LeafLogPort
    3109531381port (LogicalPort
    3109631382m 4
     
    3110431390uid 11966,0
    3110531391)
    31106 *1097 (LeafLogPort
     31392*1101 (LeafLogPort
    3110731393port (LogicalPort
    3110831394m 4
     
    3112131407uid 12661,0
    3112231408)
    31123 *1098 (LeafLogPort
     31409*1102 (LeafLogPort
    3112431410port (LogicalPort
    3112531411m 4
     
    3113331419uid 12663,0
    3113431420)
    31135 *1099 (LeafLogPort
     31421*1103 (LeafLogPort
    3113631422port (LogicalPort
    3113731423m 4
     
    3114631432uid 13275,0
    3114731433)
    31148 *1100 (LeafLogPort
     31434*1104 (LeafLogPort
    3114931435port (LogicalPort
    3115031436decl (Decl
     
    3115931445scheme 0
    3116031446)
    31161 *1101 (LeafLogPort
     31447*1105 (LeafLogPort
    3116231448port (LogicalPort
    3116331449decl (Decl
     
    3117231458scheme 0
    3117331459)
    31174 *1102 (LeafLogPort
     31460*1106 (LeafLogPort
    3117531461port (LogicalPort
    3117631462decl (Decl
     
    3118631472scheme 0
    3118731473)
    31188 *1103 (LeafLogPort
     31474*1107 (LeafLogPort
    3118931475port (LogicalPort
    3119031476m 1
     
    3119831484uid 14507,0
    3119931485)
    31200 *1104 (LeafLogPort
     31486*1108 (LeafLogPort
    3120131487port (LogicalPort
    3120231488m 1
     
    3121131497uid 14509,0
    3121231498)
    31213 *1105 (LeafLogPort
     31499*1109 (LeafLogPort
    3121431500port (LogicalPort
    3121531501m 1
     
    3122431510uid 14634,0
    3122531511)
    31226 *1106 (LeafLogPort
     31512*1110 (LeafLogPort
    3122731513port (LogicalPort
    3122831514m 4
     
    3123931525uid 15144,0
    3124031526)
    31241 *1107 (LeafLogPort
     31527*1111 (LeafLogPort
    3124231528port (LogicalPort
    3124331529m 4
     
    3125431540uid 15146,0
    3125531541)
    31256 *1108 (LeafLogPort
     31542*1112 (LeafLogPort
    3125731543port (LogicalPort
    3125831544m 4
     
    3126631552uid 15504,0
    3126731553)
    31268 *1109 (LeafLogPort
     31554*1113 (LeafLogPort
    3126931555port (LogicalPort
    3127031556lang 2
     
    3128231568uid 15754,0
    3128331569)
    31284 *1110 (LeafLogPort
     31570*1114 (LeafLogPort
    3128531571port (LogicalPort
    3128631572m 4
     
    3129831584uid 16386,0
    3129931585)
    31300 *1111 (LeafLogPort
     31586*1115 (LeafLogPort
    3130131587port (LogicalPort
    3130231588m 4
     
    3131431600uid 16571,0
    3131531601)
    31316 *1112 (LeafLogPort
     31602*1116 (LeafLogPort
    3131731603port (LogicalPort
    3131831604m 4
     
    3132931615uid 16573,0
    3133031616)
    31331 *1113 (LeafLogPort
     31617*1117 (LeafLogPort
    3133231618port (LogicalPort
    3133331619m 4
     
    3134131627uid 16961,0
    3134231628)
    31343 *1114 (LeafLogPort
     31629*1118 (LeafLogPort
    3134431630port (LogicalPort
    3134531631m 4
     
    3135331639uid 16963,0
    3135431640)
    31355 *1115 (LeafLogPort
     31641*1119 (LeafLogPort
    3135631642port (LogicalPort
    3135731643m 4
     
    3136631652uid 16965,0
    3136731653)
    31368 *1116 (LeafLogPort
     31654*1120 (LeafLogPort
    3136931655port (LogicalPort
    3137031656m 4
     
    3138131667uid 17033,0
    3138231668)
    31383 *1117 (LeafLogPort
     31669*1121 (LeafLogPort
    3138431670port (LogicalPort
    3138531671m 4
     
    3139931685uid 17035,0
    3140031686)
    31401 *1118 (LeafLogPort
     31687*1122 (LeafLogPort
    3140231688port (LogicalPort
    3140331689m 4
     
    3141331699uid 17397,0
    3141431700)
    31415 *1119 (LeafLogPort
     31701*1123 (LeafLogPort
    3141631702port (LogicalPort
    3141731703lang 2
     
    3142631712uid 18463,0
    3142731713)
    31428 *1120 (LeafLogPort
     31714*1124 (LeafLogPort
    3142931715port (LogicalPort
    3143031716lang 2
     
    3144031726scheme 0
    3144131727)
    31442 *1121 (LeafLogPort
     31728*1125 (LeafLogPort
    3144331729port (LogicalPort
    3144431730lang 10
     
    3145431740uid 20159,0
    3145531741)
    31456 *1122 (LeafLogPort
     31742*1126 (LeafLogPort
    3145731743port (LogicalPort
    3145831744m 4
     
    3146831754uid 20515,0
    3146931755)
    31470 *1123 (LeafLogPort
     31756*1127 (LeafLogPort
    3147131757port (LogicalPort
    3147231758m 4
     
    3148231768uid 20517,0
    3148331769)
    31484 *1124 (LeafLogPort
     31770*1128 (LeafLogPort
    3148531771port (LogicalPort
    3148631772m 4
     
    3149431780uid 20523,0
    3149531781)
    31496 *1125 (LeafLogPort
     31782*1129 (LeafLogPort
    3149731783port (LogicalPort
    3149831784m 4
     
    3150631792uid 20525,0
    3150731793)
    31508 *1126 (LeafLogPort
     31794*1130 (LeafLogPort
    3150931795port (LogicalPort
    3151031796m 4
     
    3152131807uid 21091,0
    3152231808)
    31523 *1127 (LeafLogPort
     31809*1131 (LeafLogPort
    3152431810port (LogicalPort
    3152531811m 4
     
    3153331819uid 21093,0
    3153431820)
    31535 *1128 (LeafLogPort
     31821*1132 (LeafLogPort
    3153631822port (LogicalPort
    3153731823m 4
     
    3154631832uid 21097,0
    3154731833)
    31548 *1129 (LeafLogPort
     31834*1133 (LeafLogPort
    3154931835port (LogicalPort
    3155031836m 4
     
    3155831844uid 21101,0
    3155931845)
    31560 *1130 (LeafLogPort
     31846*1134 (LeafLogPort
    3156131847port (LogicalPort
    3156231848m 4
     
    3157131857uid 21103,0
    3157231858)
    31573 *1131 (LeafLogPort
     31859*1135 (LeafLogPort
    3157431860port (LogicalPort
    3157531861m 4
     
    3158331869uid 21107,0
    3158431870)
    31585 *1132 (LeafLogPort
     31871*1136 (LeafLogPort
    3158631872port (LogicalPort
    3158731873m 4
     
    3159531881uid 22029,0
    3159631882)
    31597 *1133 (LeafLogPort
     31883*1137 (LeafLogPort
    3159831884port (LogicalPort
    3159931885m 4
     
    3160731893uid 22031,0
    3160831894)
    31609 *1134 (LeafLogPort
     31895*1138 (LeafLogPort
    3161031896port (LogicalPort
    3161131897m 4
     
    3161931905uid 22033,0
    3162031906)
    31621 *1135 (LeafLogPort
     31907*1139 (LeafLogPort
    3162231908port (LogicalPort
    3162331909m 4
     
    3163131917uid 22035,0
    3163231918)
    31633 *1136 (LeafLogPort
     31919*1140 (LeafLogPort
    3163431920port (LogicalPort
    3163531921m 4
     
    3164331929uid 22037,0
    3164431930)
    31645 *1137 (LeafLogPort
     31931*1141 (LeafLogPort
    3164631932port (LogicalPort
    3164731933m 4
     
    3165531941uid 22039,0
    3165631942)
    31657 *1138 (LeafLogPort
     31943*1142 (LeafLogPort
    3165831944port (LogicalPort
    3165931945m 4
     
    3166831954uid 22133,0
    3166931955)
    31670 *1139 (LeafLogPort
     31956*1143 (LeafLogPort
    3167131957port (LogicalPort
    3167231958m 4
     
    3168131967uid 22135,0
    3168231968)
    31683 *1140 (LeafLogPort
     31969*1144 (LeafLogPort
    3168431970port (LogicalPort
    3168531971m 4
     
    3169331979uid 22137,0
    3169431980)
    31695 *1141 (LeafLogPort
     31981*1145 (LeafLogPort
    3169631982port (LogicalPort
    3169731983m 4
     
    3170631992uid 22139,0
    3170731993)
    31708 *1142 (LeafLogPort
     31994*1146 (LeafLogPort
    3170931995port (LogicalPort
    3171031996m 4
     
    3172132007uid 23053,0
    3172232008)
    31723 *1143 (LeafLogPort
     32009*1147 (LeafLogPort
    3172432010port (LogicalPort
    3172532011m 4
     
    3173332019uid 23373,0
    3173432020)
    31735 *1144 (LeafLogPort
     32021*1148 (LeafLogPort
    3173632022port (LogicalPort
    3173732023m 4
     
    3174532031uid 23375,0
    3174632032)
    31747 *1145 (LeafLogPort
     32033*1149 (LeafLogPort
    3174832034port (LogicalPort
    3174932035m 1
     
    3175832044uid 24090,0
    3175932045)
    31760 *1146 (LeafLogPort
     32046*1150 (LeafLogPort
    3176132047port (LogicalPort
    3176232048decl (Decl
     
    3176932055uid 24716,0
    3177032056)
    31771 *1147 (LeafLogPort
     32057*1151 (LeafLogPort
    3177232058port (LogicalPort
    3177332059m 1
     
    3178132067uid 24718,0
    3178232068)
    31783 *1148 (LeafLogPort
     32069*1152 (LeafLogPort
    3178432070port (LogicalPort
    3178532071m 1
     
    3179332079uid 24720,0
    3179432080)
    31795 *1149 (LeafLogPort
     32081*1153 (LeafLogPort
    3179632082port (LogicalPort
    3179732083m 1
     
    3180532091uid 24722,0
    3180632092)
    31807 *1150 (LeafLogPort
     32093*1154 (LeafLogPort
    3180832094port (LogicalPort
    3180932095m 4
     
    3181832104uid 24744,0
    3181932105)
    31820 *1151 (LeafLogPort
     32106*1155 (LeafLogPort
    3182132107port (LogicalPort
    3182232108m 4
     
    3183132117uid 25043,0
    3183232118)
    31833 *1152 (LeafLogPort
     32119*1156 (LeafLogPort
    3183432120port (LogicalPort
    3183532121m 1
     
    3184632132uid 25312,0
    3184732133)
    31848 *1153 (LeafLogPort
     32134*1157 (LeafLogPort
    3184932135port (LogicalPort
    3185032136m 1
     
    3185832144uid 25569,0
    3185932145)
    31860 *1154 (LeafLogPort
     32146*1158 (LeafLogPort
    3186132147port (LogicalPort
    3186232148m 1
     
    3187032156uid 25571,0
    3187132157)
    31872 *1155 (LeafLogPort
     32158*1159 (LeafLogPort
    3187332159port (LogicalPort
    3187432160m 4
     
    3188232168uid 25846,0
    3188332169)
    31884 *1156 (LeafLogPort
     32170*1160 (LeafLogPort
    3188532171port (LogicalPort
    3188632172lang 2
     
    3189832184uid 26087,0
    3189932185)
    31900 *1157 (LeafLogPort
     32186*1161 (LeafLogPort
    3190132187port (LogicalPort
    3190232188m 4
     
    3191032196uid 26364,0
    3191132197)
    31912 *1158 (LeafLogPort
     32198*1162 (LeafLogPort
    3191332199port (LogicalPort
    3191432200m 1
     
    3192532211uid 26593,0
    3192632212)
    31927 *1159 (LeafLogPort
     32213*1163 (LeafLogPort
    3192832214port (LogicalPort
    3192932215m 4
     
    3193832224uid 27137,0
    3193932225)
    31940 *1160 (LeafLogPort
     32226*1164 (LeafLogPort
    3194132227port (LogicalPort
    3194232228m 4
     
    3195132237uid 27159,0
    3195232238)
    31953 *1161 (LeafLogPort
     32239*1165 (LeafLogPort
    3195432240port (LogicalPort
    3195532241m 4
     
    3196332249uid 27161,0
    3196432250)
    31965 *1162 (LeafLogPort
     32251*1166 (LeafLogPort
    3196632252port (LogicalPort
    3196732253m 4
     
    3197932265uid 27619,0
    3198032266)
     32267*1167 (LeafLogPort
     32268port (LogicalPort
     32269m 1
     32270decl (Decl
     32271n "socket_tx_free_out"
     32272t "std_logic_vector"
     32273b "(16 DOWNTO 0)"
     32274eolc "-- 17bit value .. that's true"
     32275posAdd 0
     32276o 143
     32277suid 421,0
     32278)
     32279)
     32280uid 28290,0
     32281)
    3198132282]
    3198232283)
     
    3198632287uid 67,0
    3198732288optionalChildren [
    31988 *1163 (Sheet
     32289*1168 (Sheet
    3198932290sheetRow (SheetRow
    3199032291headerVa (MVa
     
    3200332304font "Tahoma,10,0"
    3200432305)
    32005 emptyMRCItem *1164 (MRCItem
    32006 litem &1008
    32007 pos 142
     32306emptyMRCItem *1169 (MRCItem
     32307litem &1012
     32308pos 143
    3200832309dimension 20
    3200932310)
    3201032311uid 69,0
    3201132312optionalChildren [
    32012 *1165 (MRCItem
    32013 litem &1009
     32313*1170 (MRCItem
     32314litem &1013
    3201432315pos 0
    3201532316dimension 20
    3201632317uid 70,0
    3201732318)
    32018 *1166 (MRCItem
    32019 litem &1010
     32319*1171 (MRCItem
     32320litem &1014
    3202032321pos 1
    3202132322dimension 23
    3202232323uid 71,0
    3202332324)
    32024 *1167 (MRCItem
    32025 litem &1011
     32325*1172 (MRCItem
     32326litem &1015
    3202632327pos 2
    3202732328hidden 1
     
    3202932330uid 72,0
    3203032331)
    32031 *1168 (MRCItem
    32032 litem &1021
     32332*1173 (MRCItem
     32333litem &1025
    3203332334pos 53
    3203432335dimension 20
    3203532336uid 517,0
    3203632337)
    32037 *1169 (MRCItem
    32038 litem &1022
     32338*1174 (MRCItem
     32339litem &1026
    3203932340pos 54
    3204032341dimension 20
    3204132342uid 519,0
    3204232343)
    32043 *1170 (MRCItem
    32044 litem &1023
     32344*1175 (MRCItem
     32345litem &1027
    3204532346pos 55
    3204632347dimension 20
    3204732348uid 521,0
    3204832349)
    32049 *1171 (MRCItem
    32050 litem &1024
     32350*1176 (MRCItem
     32351litem &1028
    3205132352pos 56
    3205232353dimension 20
    3205332354uid 531,0
    3205432355)
    32055 *1172 (MRCItem
    32056 litem &1025
     32356*1177 (MRCItem
     32357litem &1029
    3205732358pos 57
    3205832359dimension 20
    3205932360uid 533,0
    3206032361)
    32061 *1173 (MRCItem
    32062 litem &1026
     32362*1178 (MRCItem
     32363litem &1030
    3206332364pos 0
    3206432365dimension 20
    3206532366uid 535,0
    3206632367)
    32067 *1174 (MRCItem
    32068 litem &1027
     32368*1179 (MRCItem
     32369litem &1031
    3206932370pos 1
    3207032371dimension 20
    3207132372uid 537,0
    3207232373)
    32073 *1175 (MRCItem
    32074 litem &1028
     32374*1180 (MRCItem
     32375litem &1032
    3207532376pos 2
    3207632377dimension 20
    3207732378uid 539,0
    3207832379)
    32079 *1176 (MRCItem
    32080 litem &1029
     32380*1181 (MRCItem
     32381litem &1033
    3208132382pos 3
    3208232383dimension 20
    3208332384uid 541,0
    3208432385)
    32085 *1177 (MRCItem
    32086 litem &1030
     32386*1182 (MRCItem
     32387litem &1034
    3208732388pos 4
    3208832389dimension 20
    3208932390uid 543,0
    3209032391)
    32091 *1178 (MRCItem
    32092 litem &1031
     32392*1183 (MRCItem
     32393litem &1035
    3209332394pos 5
    3209432395dimension 20
    3209532396uid 547,0
    3209632397)
    32097 *1179 (MRCItem
    32098 litem &1032
     32398*1184 (MRCItem
     32399litem &1036
    3209932400pos 6
    3210032401dimension 20
    3210132402uid 549,0
    3210232403)
    32103 *1180 (MRCItem
    32104 litem &1033
     32404*1185 (MRCItem
     32405litem &1037
    3210532406pos 8
    3210632407dimension 20
    3210732408uid 1456,0
    3210832409)
    32109 *1181 (MRCItem
    32110 litem &1034
     32410*1186 (MRCItem
     32411litem &1038
    3211132412pos 7
    3211232413dimension 20
    3211332414uid 1458,0
    3211432415)
    32115 *1182 (MRCItem
    32116 litem &1035
     32416*1187 (MRCItem
     32417litem &1039
    3211732418pos 9
    3211832419dimension 20
    3211932420uid 1695,0
    3212032421)
    32121 *1183 (MRCItem
    32122 litem &1036
     32422*1188 (MRCItem
     32423litem &1040
    3212332424pos 58
    3212432425dimension 20
    3212532426uid 2306,0
    3212632427)
    32127 *1184 (MRCItem
    32128 litem &1037
     32428*1189 (MRCItem
     32429litem &1041
    3212932430pos 59
    3213032431dimension 20
    3213132432uid 2513,0
    3213232433)
    32133 *1185 (MRCItem
    32134 litem &1038
     32434*1190 (MRCItem
     32435litem &1042
    3213532436pos 60
    3213632437dimension 20
    3213732438uid 2515,0
    3213832439)
    32139 *1186 (MRCItem
    32140 litem &1039
     32440*1191 (MRCItem
     32441litem &1043
    3214132442pos 61
    3214232443dimension 20
    3214332444uid 2517,0
    3214432445)
    32145 *1187 (MRCItem
    32146 litem &1040
     32446*1192 (MRCItem
     32447litem &1044
    3214732448pos 62
    3214832449dimension 20
    3214932450uid 2519,0
    3215032451)
    32151 *1188 (MRCItem
    32152 litem &1041
     32452*1193 (MRCItem
     32453litem &1045
    3215332454pos 63
    3215432455dimension 20
    3215532456uid 2521,0
    3215632457)
    32157 *1189 (MRCItem
    32158 litem &1042
     32458*1194 (MRCItem
     32459litem &1046
    3215932460pos 64
    3216032461dimension 20
    3216132462uid 2523,0
    3216232463)
    32163 *1190 (MRCItem
    32164 litem &1043
     32464*1195 (MRCItem
     32465litem &1047
    3216532466pos 65
    3216632467dimension 20
    3216732468uid 2605,0
    3216832469)
    32169 *1191 (MRCItem
    32170 litem &1044
     32470*1196 (MRCItem
     32471litem &1048
    3217132472pos 66
    3217232473dimension 20
    3217332474uid 2607,0
    3217432475)
    32175 *1192 (MRCItem
    32176 litem &1045
     32476*1197 (MRCItem
     32477litem &1049
    3217732478pos 67
    3217832479dimension 20
    3217932480uid 2613,0
    3218032481)
    32181 *1193 (MRCItem
    32182 litem &1046
     32482*1198 (MRCItem
     32483litem &1050
    3218332484pos 68
    3218432485dimension 20
    3218532486uid 2647,0
    3218632487)
    32187 *1194 (MRCItem
    32188 litem &1047
     32488*1199 (MRCItem
     32489litem &1051
    3218932490pos 10
    3219032491dimension 20
    3219132492uid 2813,0
    3219232493)
    32193 *1195 (MRCItem
    32194 litem &1048
     32494*1200 (MRCItem
     32495litem &1052
    3219532496pos 11
    3219632497dimension 20
    3219732498uid 3903,0
    3219832499)
    32199 *1196 (MRCItem
    32200 litem &1049
     32500*1201 (MRCItem
     32501litem &1053
    3220132502pos 12
    3220232503dimension 20
    3220332504uid 4071,0
    3220432505)
    32205 *1197 (MRCItem
    32206 litem &1050
     32506*1202 (MRCItem
     32507litem &1054
    3220732508pos 69
    3220832509dimension 20
    3220932510uid 4213,0
    3221032511)
    32211 *1198 (MRCItem
    32212 litem &1051
     32512*1203 (MRCItem
     32513litem &1055
    3221332514pos 13
    3221432515dimension 20
    3221532516uid 4235,0
    3221632517)
    32217 *1199 (MRCItem
    32218 litem &1052
     32518*1204 (MRCItem
     32519litem &1056
    3221932520pos 14
    3222032521dimension 20
    3222132522uid 4263,0
    3222232523)
    32223 *1200 (MRCItem
    32224 litem &1053
     32524*1205 (MRCItem
     32525litem &1057
    3222532526pos 15
    3222632527dimension 20
    3222732528uid 4277,0
    3222832529)
    32229 *1201 (MRCItem
    32230 litem &1054
     32530*1206 (MRCItem
     32531litem &1058
    3223132532pos 70
    3223232533dimension 20
    3223332534uid 4564,0
    3223432535)
    32235 *1202 (MRCItem
    32236 litem &1055
     32536*1207 (MRCItem
     32537litem &1059
    3223732538pos 71
    3223832539dimension 20
    3223932540uid 4566,0
    3224032541)
    32241 *1203 (MRCItem
    32242 litem &1056
     32542*1208 (MRCItem
     32543litem &1060
    3224332544pos 72
    3224432545dimension 20
    3224532546uid 4570,0
    3224632547)
    32247 *1204 (MRCItem
    32248 litem &1057
     32548*1209 (MRCItem
     32549litem &1061
    3224932550pos 16
    3225032551dimension 20
    3225132552uid 4586,0
    3225232553)
    32253 *1205 (MRCItem
    32254 litem &1058
     32554*1210 (MRCItem
     32555litem &1062
    3225532556pos 17
    3225632557dimension 20
    3225732558uid 4588,0
    3225832559)
    32259 *1206 (MRCItem
    32260 litem &1059
     32560*1211 (MRCItem
     32561litem &1063
    3226132562pos 18
    3226232563dimension 20
    3226332564uid 4734,0
    3226432565)
    32265 *1207 (MRCItem
    32266 litem &1060
     32566*1212 (MRCItem
     32567litem &1064
    3226732568pos 19
    3226832569dimension 20
    3226932570uid 4736,0
    3227032571)
    32271 *1208 (MRCItem
    32272 litem &1061
     32572*1213 (MRCItem
     32573litem &1065
    3227332574pos 20
    3227432575dimension 20
    3227532576uid 4738,0
    3227632577)
    32277 *1209 (MRCItem
    32278 litem &1062
     32578*1214 (MRCItem
     32579litem &1066
    3227932580pos 21
    3228032581dimension 20
    3228132582uid 4740,0
    3228232583)
    32283 *1210 (MRCItem
    32284 litem &1063
     32584*1215 (MRCItem
     32585litem &1067
    3228532586pos 73
    3228632587dimension 20
    3228732588uid 4750,0
    3228832589)
    32289 *1211 (MRCItem
    32290 litem &1064
     32590*1216 (MRCItem
     32591litem &1068
    3229132592pos 22
    3229232593dimension 20
    3229332594uid 4975,0
    3229432595)
    32295 *1212 (MRCItem
    32296 litem &1065
     32596*1217 (MRCItem
     32597litem &1069
    3229732598pos 23
    3229832599dimension 20
    3229932600uid 4977,0
    3230032601)
    32301 *1213 (MRCItem
    32302 litem &1066
     32602*1218 (MRCItem
     32603litem &1070
    3230332604pos 24
    3230432605dimension 20
    3230532606uid 5227,0
    3230632607)
    32307 *1214 (MRCItem
    32308 litem &1067
     32608*1219 (MRCItem
     32609litem &1071
    3230932610pos 74
    3231032611dimension 20
    3231132612uid 5503,0
    3231232613)
    32313 *1215 (MRCItem
    32314 litem &1068
     32614*1220 (MRCItem
     32615litem &1072
    3231532616pos 75
    3231632617dimension 20
    3231732618uid 5505,0
    3231832619)
    32319 *1216 (MRCItem
    32320 litem &1069
     32620*1221 (MRCItem
     32621litem &1073
    3232132622pos 76
    3232232623dimension 20
    3232332624uid 5643,0
    3232432625)
    32325 *1217 (MRCItem
    32326 litem &1070
     32626*1222 (MRCItem
     32627litem &1074
    3232732628pos 77
    3232832629dimension 20
    3232932630uid 5645,0
    3233032631)
    32331 *1218 (MRCItem
    32332 litem &1071
     32632*1223 (MRCItem
     32633litem &1075
    3233332634pos 25
    3233432635dimension 20
    3233532636uid 5868,0
    3233632637)
    32337 *1219 (MRCItem
    32338 litem &1072
     32638*1224 (MRCItem
     32639litem &1076
    3233932640pos 26
    3234032641dimension 20
    3234132642uid 5870,0
    3234232643)
    32343 *1220 (MRCItem
    32344 litem &1073
     32644*1225 (MRCItem
     32645litem &1077
    3234532646pos 27
    3234632647dimension 20
    3234732648uid 5872,0
    3234832649)
    32349 *1221 (MRCItem
    32350 litem &1074
     32650*1226 (MRCItem
     32651litem &1078
    3235132652pos 28
    3235232653dimension 20
    3235332654uid 5874,0
    3235432655)
    32355 *1222 (MRCItem
    32356 litem &1075
     32656*1227 (MRCItem
     32657litem &1079
    3235732658pos 29
    3235832659dimension 20
    3235932660uid 6173,0
    3236032661)
    32361 *1223 (MRCItem
    32362 litem &1076
     32662*1228 (MRCItem
     32663litem &1080
    3236332664pos 30
    3236432665dimension 20
    3236532666uid 6375,0
    3236632667)
    32367 *1224 (MRCItem
    32368 litem &1077
     32668*1229 (MRCItem
     32669litem &1081
    3236932670pos 78
    3237032671dimension 20
    3237132672uid 8761,0
    3237232673)
    32373 *1225 (MRCItem
    32374 litem &1078
     32674*1230 (MRCItem
     32675litem &1082
    3237532676pos 52
    3237632677dimension 20
    3237732678uid 9019,0
    3237832679)
    32379 *1226 (MRCItem
    32380 litem &1079
     32680*1231 (MRCItem
     32681litem &1083
    3238132682pos 79
    3238232683dimension 20
    3238332684uid 9248,0
    3238432685)
    32385 *1227 (MRCItem
    32386 litem &1080
     32686*1232 (MRCItem
     32687litem &1084
    3238732688pos 80
    3238832689dimension 20
    3238932690uid 9250,0
    3239032691)
    32391 *1228 (MRCItem
    32392 litem &1081
     32692*1233 (MRCItem
     32693litem &1085
    3239332694pos 81
    3239432695dimension 20
    3239532696uid 10025,0
    3239632697)
    32397 *1229 (MRCItem
    32398 litem &1082
     32698*1234 (MRCItem
     32699litem &1086
    3239932700pos 82
    3240032701dimension 20
    3240132702uid 10027,0
    3240232703)
    32403 *1230 (MRCItem
    32404 litem &1083
     32704*1235 (MRCItem
     32705litem &1087
    3240532706pos 83
    3240632707dimension 20
    3240732708uid 10029,0
    3240832709)
    32409 *1231 (MRCItem
    32410 litem &1084
     32710*1236 (MRCItem
     32711litem &1088
    3241132712pos 84
    3241232713dimension 20
    3241332714uid 10295,0
    3241432715)
    32415 *1232 (MRCItem
    32416 litem &1085
     32716*1237 (MRCItem
     32717litem &1089
    3241732718pos 85
    3241832719dimension 20
    3241932720uid 10335,0
    3242032721)
    32421 *1233 (MRCItem
    32422 litem &1086
     32722*1238 (MRCItem
     32723litem &1090
    3242332724pos 86
    3242432725dimension 20
    3242532726uid 10337,0
    3242632727)
    32427 *1234 (MRCItem
    32428 litem &1087
     32728*1239 (MRCItem
     32729litem &1091
    3242932730pos 87
    3243032731dimension 20
    3243132732uid 10339,0
    3243232733)
    32433 *1235 (MRCItem
    32434 litem &1088
     32734*1240 (MRCItem
     32735litem &1092
    3243532736pos 88
    3243632737dimension 20
    3243732738uid 10341,0
    3243832739)
    32439 *1236 (MRCItem
    32440 litem &1089
     32740*1241 (MRCItem
     32741litem &1093
    3244132742pos 31
    3244232743dimension 20
    3244332744uid 10343,0
    3244432745)
    32445 *1237 (MRCItem
    32446 litem &1090
     32746*1242 (MRCItem
     32747litem &1094
    3244732748pos 89
    3244832749dimension 20
    3244932750uid 10764,0
    3245032751)
    32451 *1238 (MRCItem
    32452 litem &1091
     32752*1243 (MRCItem
     32753litem &1095
    3245332754pos 90
    3245432755dimension 20
    3245532756uid 10766,0
    3245632757)
    32457 *1239 (MRCItem
    32458 litem &1092
     32758*1244 (MRCItem
     32759litem &1096
    3245932760pos 32
    3246032761dimension 20
    3246132762uid 10768,0
    3246232763)
    32463 *1240 (MRCItem
    32464 litem &1093
     32764*1245 (MRCItem
     32765litem &1097
    3246532766pos 33
    3246632767dimension 20
    3246732768uid 10770,0
    3246832769)
    32469 *1241 (MRCItem
    32470 litem &1094
     32770*1246 (MRCItem
     32771litem &1098
    3247132772pos 34
    3247232773dimension 20
    3247332774uid 10772,0
    3247432775)
    32475 *1242 (MRCItem
    32476 litem &1095
     32776*1247 (MRCItem
     32777litem &1099
    3247732778pos 91
    3247832779dimension 20
    3247932780uid 11412,0
    3248032781)
    32481 *1243 (MRCItem
    32482 litem &1096
     32782*1248 (MRCItem
     32783litem &1100
    3248332784pos 92
    3248432785dimension 20
    3248532786uid 11967,0
    3248632787)
    32487 *1244 (MRCItem
    32488 litem &1097
     32788*1249 (MRCItem
     32789litem &1101
    3248932790pos 93
    3249032791dimension 20
    3249132792uid 12662,0
    3249232793)
    32493 *1245 (MRCItem
    32494 litem &1098
     32794*1250 (MRCItem
     32795litem &1102
    3249532796pos 94
    3249632797dimension 20
    3249732798uid 12664,0
    3249832799)
    32499 *1246 (MRCItem
    32500 litem &1099
     32800*1251 (MRCItem
     32801litem &1103
    3250132802pos 95
    3250232803dimension 20
    3250332804uid 13276,0
    3250432805)
    32505 *1247 (MRCItem
    32506 litem &1100
     32806*1252 (MRCItem
     32807litem &1104
    3250732808pos 35
    3250832809dimension 20
    3250932810uid 13688,0
    3251032811)
    32511 *1248 (MRCItem
    32512 litem &1101
     32812*1253 (MRCItem
     32813litem &1105
    3251332814pos 36
    3251432815dimension 20
    3251532816uid 14041,0
    3251632817)
    32517 *1249 (MRCItem
    32518 litem &1102
     32818*1254 (MRCItem
     32819litem &1106
    3251932820pos 37
    3252032821dimension 20
    3252132822uid 14164,0
    3252232823)
    32523 *1250 (MRCItem
    32524 litem &1103
     32824*1255 (MRCItem
     32825litem &1107
    3252532826pos 38
    3252632827dimension 20
    3252732828uid 14508,0
    3252832829)
    32529 *1251 (MRCItem
    32530 litem &1104
     32830*1256 (MRCItem
     32831litem &1108
    3253132832pos 39
    3253232833dimension 20
    3253332834uid 14510,0
    3253432835)
    32535 *1252 (MRCItem
    32536 litem &1105
     32836*1257 (MRCItem
     32837litem &1109
    3253732838pos 40
    3253832839dimension 20
    3253932840uid 14635,0
    3254032841)
    32541 *1253 (MRCItem
    32542 litem &1106
     32842*1258 (MRCItem
     32843litem &1110
    3254332844pos 96
    3254432845dimension 20
    3254532846uid 15145,0
    3254632847)
    32547 *1254 (MRCItem
    32548 litem &1107
     32848*1259 (MRCItem
     32849litem &1111
    3254932850pos 97
    3255032851dimension 20
    3255132852uid 15147,0
    3255232853)
    32553 *1255 (MRCItem
    32554 litem &1108
     32854*1260 (MRCItem
     32855litem &1112
    3255532856pos 98
    3255632857dimension 20
    3255732858uid 15505,0
    3255832859)
    32559 *1256 (MRCItem
    32560 litem &1109
     32860*1261 (MRCItem
     32861litem &1113
    3256132862pos 99
    3256232863dimension 20
    3256332864uid 15755,0
    3256432865)
    32565 *1257 (MRCItem
    32566 litem &1110
     32866*1262 (MRCItem
     32867litem &1114
    3256732868pos 100
    3256832869dimension 20
    3256932870uid 16387,0
    3257032871)
    32571 *1258 (MRCItem
    32572 litem &1111
     32872*1263 (MRCItem
     32873litem &1115
    3257332874pos 101
    3257432875dimension 20
    3257532876uid 16572,0
    3257632877)
    32577 *1259 (MRCItem
    32578 litem &1112
     32878*1264 (MRCItem
     32879litem &1116
    3257932880pos 102
    3258032881dimension 20
    3258132882uid 16574,0
    3258232883)
    32583 *1260 (MRCItem
    32584 litem &1113
     32884*1265 (MRCItem
     32885litem &1117
    3258532886pos 103
    3258632887dimension 20
    3258732888uid 16962,0
    3258832889)
    32589 *1261 (MRCItem
    32590 litem &1114
     32890*1266 (MRCItem
     32891litem &1118
    3259132892pos 104
    3259232893dimension 20
    3259332894uid 16964,0
    3259432895)
    32595 *1262 (MRCItem
    32596 litem &1115
     32896*1267 (MRCItem
     32897litem &1119
    3259732898pos 105
    3259832899dimension 20
    3259932900uid 16966,0
    3260032901)
    32601 *1263 (MRCItem
    32602 litem &1116
     32902*1268 (MRCItem
     32903litem &1120
    3260332904pos 106
    3260432905dimension 20
    3260532906uid 17034,0
    3260632907)
    32607 *1264 (MRCItem
    32608 litem &1117
     32908*1269 (MRCItem
     32909litem &1121
    3260932910pos 107
    3261032911dimension 20
    3261132912uid 17036,0
    3261232913)
    32613 *1265 (MRCItem
    32614 litem &1118
     32914*1270 (MRCItem
     32915litem &1122
    3261532916pos 108
    3261632917dimension 20
    3261732918uid 17398,0
    3261832919)
    32619 *1266 (MRCItem
    32620 litem &1119
     32920*1271 (MRCItem
     32921litem &1123
    3262132922pos 109
    3262232923dimension 20
    3262332924uid 18464,0
    3262432925)
    32625 *1267 (MRCItem
    32626 litem &1120
     32926*1272 (MRCItem
     32927litem &1124
    3262732928pos 41
    3262832929dimension 20
    3262932930uid 18967,0
    3263032931)
    32631 *1268 (MRCItem
    32632 litem &1121
     32932*1273 (MRCItem
     32933litem &1125
    3263332934pos 110
    3263432935dimension 20
    3263532936uid 20160,0
    3263632937)
    32637 *1269 (MRCItem
    32638 litem &1122
     32938*1274 (MRCItem
     32939litem &1126
    3263932940pos 111
    3264032941dimension 20
    3264132942uid 20516,0
    3264232943)
    32643 *1270 (MRCItem
    32644 litem &1123
     32944*1275 (MRCItem
     32945litem &1127
    3264532946pos 112
    3264632947dimension 20
    3264732948uid 20518,0
    3264832949)
    32649 *1271 (MRCItem
    32650 litem &1124
     32950*1276 (MRCItem
     32951litem &1128
    3265132952pos 113
    3265232953dimension 20
    3265332954uid 20524,0
    3265432955)
    32655 *1272 (MRCItem
    32656 litem &1125
     32956*1277 (MRCItem
     32957litem &1129
    3265732958pos 114
    3265832959dimension 20
    3265932960uid 20526,0
    3266032961)
    32661 *1273 (MRCItem
    32662 litem &1126
     32962*1278 (MRCItem
     32963litem &1130
    3266332964pos 115
    3266432965dimension 20
    3266532966uid 21092,0
    3266632967)
    32667 *1274 (MRCItem
    32668 litem &1127
     32968*1279 (MRCItem
     32969litem &1131
    3266932970pos 116
    3267032971dimension 20
    3267132972uid 21094,0
    3267232973)
    32673 *1275 (MRCItem
    32674 litem &1128
     32974*1280 (MRCItem
     32975litem &1132
    3267532976pos 117
    3267632977dimension 20
    3267732978uid 21098,0
    3267832979)
    32679 *1276 (MRCItem
    32680 litem &1129
     32980*1281 (MRCItem
     32981litem &1133
    3268132982pos 118
    3268232983dimension 20
    3268332984uid 21102,0
    3268432985)
    32685 *1277 (MRCItem
    32686 litem &1130
     32986*1282 (MRCItem
     32987litem &1134
    3268732988pos 119
    3268832989dimension 20
    3268932990uid 21104,0
    3269032991)
    32691 *1278 (MRCItem
    32692 litem &1131
     32992*1283 (MRCItem
     32993litem &1135
    3269332994pos 120
    3269432995dimension 20
    3269532996uid 21108,0
    3269632997)
    32697 *1279 (MRCItem
    32698 litem &1132
     32998*1284 (MRCItem
     32999litem &1136
    3269933000pos 121
    3270033001dimension 20
    3270133002uid 22030,0
    3270233003)
    32703 *1280 (MRCItem
    32704 litem &1133
     33004*1285 (MRCItem
     33005litem &1137
    3270533006pos 122
    3270633007dimension 20
    3270733008uid 22032,0
    3270833009)
    32709 *1281 (MRCItem
    32710 litem &1134
     33010*1286 (MRCItem
     33011litem &1138
    3271133012pos 123
    3271233013dimension 20
    3271333014uid 22034,0
    3271433015)
    32715 *1282 (MRCItem
    32716 litem &1135
     33016*1287 (MRCItem
     33017litem &1139
    3271733018pos 124
    3271833019dimension 20
    3271933020uid 22036,0
    3272033021)
    32721 *1283 (MRCItem
    32722 litem &1136
     33022*1288 (MRCItem
     33023litem &1140
    3272333024pos 125
    3272433025dimension 20
    3272533026uid 22038,0
    3272633027)
    32727 *1284 (MRCItem
    32728 litem &1137
     33028*1289 (MRCItem
     33029litem &1141
    3272933030pos 126
    3273033031dimension 20
    3273133032uid 22040,0
    3273233033)
    32733 *1285 (MRCItem
    32734 litem &1138
     33034*1290 (MRCItem
     33035litem &1142
    3273533036pos 127
    3273633037dimension 20
    3273733038uid 22134,0
    3273833039)
    32739 *1286 (MRCItem
    32740 litem &1139
     33040*1291 (MRCItem
     33041litem &1143
    3274133042pos 128
    3274233043dimension 20
    3274333044uid 22136,0
    3274433045)
    32745 *1287 (MRCItem
    32746 litem &1140
     33046*1292 (MRCItem
     33047litem &1144
    3274733048pos 129
    3274833049dimension 20
    3274933050uid 22138,0
    3275033051)
    32751 *1288 (MRCItem
    32752 litem &1141
     33052*1293 (MRCItem
     33053litem &1145
    3275333054pos 130
    3275433055dimension 20
    3275533056uid 22140,0
    3275633057)
    32757 *1289 (MRCItem
    32758 litem &1142
     33058*1294 (MRCItem
     33059litem &1146
    3275933060pos 131
    3276033061dimension 20
    3276133062uid 23054,0
    3276233063)
    32763 *1290 (MRCItem
    32764 litem &1143
     33064*1295 (MRCItem
     33065litem &1147
    3276533066pos 132
    3276633067dimension 20
    3276733068uid 23374,0
    3276833069)
    32769 *1291 (MRCItem
    32770 litem &1144
     33070*1296 (MRCItem
     33071litem &1148
    3277133072pos 133
    3277233073dimension 20
    3277333074uid 23376,0
    3277433075)
    32775 *1292 (MRCItem
    32776 litem &1145
     33076*1297 (MRCItem
     33077litem &1149
    3277733078pos 42
    3277833079dimension 20
    3277933080uid 24091,0
    3278033081)
    32781 *1293 (MRCItem
    32782 litem &1146
     33082*1298 (MRCItem
     33083litem &1150
    3278333084pos 43
    3278433085dimension 20
    3278533086uid 24717,0
    3278633087)
    32787 *1294 (MRCItem
    32788 litem &1147
     33088*1299 (MRCItem
     33089litem &1151
    3278933090pos 44
    3279033091dimension 20
    3279133092uid 24719,0
    3279233093)
    32793 *1295 (MRCItem
    32794 litem &1148
     33094*1300 (MRCItem
     33095litem &1152
    3279533096pos 45
    3279633097dimension 20
    3279733098uid 24721,0
    3279833099)
    32799 *1296 (MRCItem
    32800 litem &1149
     33100*1301 (MRCItem
     33101litem &1153
    3280133102pos 46
    3280233103dimension 20
    3280333104uid 24723,0
    3280433105)
    32805 *1297 (MRCItem
    32806 litem &1150
     33106*1302 (MRCItem
     33107litem &1154
    3280733108pos 134
    3280833109dimension 20
    3280933110uid 24745,0
    3281033111)
    32811 *1298 (MRCItem
    32812 litem &1151
     33112*1303 (MRCItem
     33113litem &1155
    3281333114pos 135
    3281433115dimension 20
    3281533116uid 25044,0
    3281633117)
    32817 *1299 (MRCItem
    32818 litem &1152
     33118*1304 (MRCItem
     33119litem &1156
    3281933120pos 47
    3282033121dimension 20
    3282133122uid 25313,0
    3282233123)
    32823 *1300 (MRCItem
    32824 litem &1153
     33124*1305 (MRCItem
     33125litem &1157
    3282533126pos 48
    3282633127dimension 20
    3282733128uid 25570,0
    3282833129)
    32829 *1301 (MRCItem
    32830 litem &1154
     33130*1306 (MRCItem
     33131litem &1158
    3283133132pos 49
    3283233133dimension 20
    3283333134uid 25572,0
    3283433135)
    32835 *1302 (MRCItem
    32836 litem &1155
     33136*1307 (MRCItem
     33137litem &1159
    3283733138pos 136
    3283833139dimension 20
    3283933140uid 25847,0
    3284033141)
    32841 *1303 (MRCItem
    32842 litem &1156
     33142*1308 (MRCItem
     33143litem &1160
    3284333144pos 50
    3284433145dimension 20
    3284533146uid 26088,0
    3284633147)
    32847 *1304 (MRCItem
    32848 litem &1157
     33148*1309 (MRCItem
     33149litem &1161
    3284933150pos 137
    3285033151dimension 20
    3285133152uid 26365,0
    3285233153)
    32853 *1305 (MRCItem
    32854 litem &1158
     33154*1310 (MRCItem
     33155litem &1162
    3285533156pos 51
    3285633157dimension 20
    3285733158uid 26594,0
    3285833159)
    32859 *1306 (MRCItem
    32860 litem &1159
     33160*1311 (MRCItem
     33161litem &1163
    3286133162pos 138
    3286233163dimension 20
    3286333164uid 27138,0
    3286433165)
    32865 *1307 (MRCItem
    32866 litem &1160
     33166*1312 (MRCItem
     33167litem &1164
    3286733168pos 139
    3286833169dimension 20
    3286933170uid 27160,0
    3287033171)
    32871 *1308 (MRCItem
    32872 litem &1161
     33172*1313 (MRCItem
     33173litem &1165
    3287333174pos 140
    3287433175dimension 20
    3287533176uid 27162,0
    3287633177)
    32877 *1309 (MRCItem
    32878 litem &1162
     33178*1314 (MRCItem
     33179litem &1166
    3287933180pos 141
    3288033181dimension 20
    3288133182uid 27620,0
     33183)
     33184*1315 (MRCItem
     33185litem &1167
     33186pos 142
     33187dimension 20
     33188uid 28291,0
    3288233189)
    3288333190]
     
    3289233199uid 73,0
    3289333200optionalChildren [
    32894 *1310 (MRCItem
    32895 litem &1012
     33201*1316 (MRCItem
     33202litem &1016
    3289633203pos 0
    3289733204dimension 20
    3289833205uid 74,0
    3289933206)
    32900 *1311 (MRCItem
    32901 litem &1014
     33207*1317 (MRCItem
     33208litem &1018
    3290233209pos 1
    3290333210dimension 50
    3290433211uid 75,0
    3290533212)
    32906 *1312 (MRCItem
    32907 litem &1015
     33213*1318 (MRCItem
     33214litem &1019
    3290833215pos 2
    3290933216dimension 100
    3291033217uid 76,0
    3291133218)
    32912 *1313 (MRCItem
    32913 litem &1016
     33219*1319 (MRCItem
     33220litem &1020
    3291433221pos 3
    3291533222dimension 50
    3291633223uid 77,0
    3291733224)
    32918 *1314 (MRCItem
    32919 litem &1017
     33225*1320 (MRCItem
     33226litem &1021
    3292033227pos 4
    3292133228dimension 100
    3292233229uid 78,0
    3292333230)
    32924 *1315 (MRCItem
    32925 litem &1018
     33231*1321 (MRCItem
     33232litem &1022
    3292633233pos 5
    3292733234dimension 100
    3292833235uid 79,0
    3292933236)
    32930 *1316 (MRCItem
    32931 litem &1019
     33237*1322 (MRCItem
     33238litem &1023
    3293233239pos 6
    3293333240dimension 50
    3293433241uid 80,0
    3293533242)
    32936 *1317 (MRCItem
    32937 litem &1020
     33243*1323 (MRCItem
     33244litem &1024
    3293833245pos 7
    3293933246dimension 290
     
    3295533262genericsCommonDM (CommonDM
    3295633263ldm (LogicalDM
    32957 emptyRow *1318 (LEmptyRow
     33264emptyRow *1324 (LEmptyRow
    3295833265)
    3295933266uid 83,0
    3296033267optionalChildren [
    32961 *1319 (RefLabelRowHdr
    32962 )
    32963 *1320 (TitleRowHdr
    32964 )
    32965 *1321 (FilterRowHdr
    32966 )
    32967 *1322 (RefLabelColHdr
     33268*1325 (RefLabelRowHdr
     33269)
     33270*1326 (TitleRowHdr
     33271)
     33272*1327 (FilterRowHdr
     33273)
     33274*1328 (RefLabelColHdr
    3296833275tm "RefLabelColHdrMgr"
    3296933276)
    32970 *1323 (RowExpandColHdr
     33277*1329 (RowExpandColHdr
    3297133278tm "RowExpandColHdrMgr"
    3297233279)
    32973 *1324 (GroupColHdr
     33280*1330 (GroupColHdr
    3297433281tm "GroupColHdrMgr"
    3297533282)
    32976 *1325 (NameColHdr
     33283*1331 (NameColHdr
    3297733284tm "GenericNameColHdrMgr"
    3297833285)
    32979 *1326 (TypeColHdr
     33286*1332 (TypeColHdr
    3298033287tm "GenericTypeColHdrMgr"
    3298133288)
    32982 *1327 (InitColHdr
     33289*1333 (InitColHdr
    3298333290tm "GenericValueColHdrMgr"
    3298433291)
    32985 *1328 (PragmaColHdr
     33292*1334 (PragmaColHdr
    3298633293tm "GenericPragmaColHdrMgr"
    3298733294)
    32988 *1329 (EolColHdr
     33295*1335 (EolColHdr
    3298933296tm "GenericEolColHdrMgr"
    3299033297)
    32991 *1330 (LogGeneric
     33298*1336 (LogGeneric
    3299233299generic (GiElement
    3299333300name "RAMADDRWIDTH64b"
     
    3300433311uid 95,0
    3300533312optionalChildren [
    33006 *1331 (Sheet
     33313*1337 (Sheet
    3300733314sheetRow (SheetRow
    3300833315headerVa (MVa
     
    3302133328font "Tahoma,10,0"
    3302233329)
    33023 emptyMRCItem *1332 (MRCItem
    33024 litem &1318
     33330emptyMRCItem *1338 (MRCItem
     33331litem &1324
    3302533332pos 1
    3302633333dimension 20
     
    3302833335uid 97,0
    3302933336optionalChildren [
    33030 *1333 (MRCItem
    33031 litem &1319
     33337*1339 (MRCItem
     33338litem &1325
    3303233339pos 0
    3303333340dimension 20
    3303433341uid 98,0
    3303533342)
    33036 *1334 (MRCItem
    33037 litem &1320
     33343*1340 (MRCItem
     33344litem &1326
    3303833345pos 1
    3303933346dimension 23
    3304033347uid 99,0
    3304133348)
    33042 *1335 (MRCItem
    33043 litem &1321
     33349*1341 (MRCItem
     33350litem &1327
    3304433351pos 2
    3304533352hidden 1
     
    3304733354uid 100,0
    3304833355)
    33049 *1336 (MRCItem
    33050 litem &1330
     33356*1342 (MRCItem
     33357litem &1336
    3305133358pos 0
    3305233359dimension 20
     
    3306433371uid 101,0
    3306533372optionalChildren [
    33066 *1337 (MRCItem
    33067 litem &1322
     33373*1343 (MRCItem
     33374litem &1328
    3306833375pos 0
    3306933376dimension 20
    3307033377uid 102,0
    3307133378)
    33072 *1338 (MRCItem
    33073 litem &1324
     33379*1344 (MRCItem
     33380litem &1330
    3307433381pos 1
    3307533382dimension 50
    3307633383uid 103,0
    3307733384)
    33078 *1339 (MRCItem
    33079 litem &1325
     33385*1345 (MRCItem
     33386litem &1331
    3308033387pos 2
    3308133388dimension 186
    3308233389uid 104,0
    3308333390)
    33084 *1340 (MRCItem
    33085 litem &1326
     33391*1346 (MRCItem
     33392litem &1332
    3308633393pos 3
    3308733394dimension 96
    3308833395uid 105,0
    3308933396)
    33090 *1341 (MRCItem
    33091 litem &1327
     33397*1347 (MRCItem
     33398litem &1333
    3309233399pos 4
    3309333400dimension 50
    3309433401uid 106,0
    3309533402)
    33096 *1342 (MRCItem
    33097 litem &1328
     33403*1348 (MRCItem
     33404litem &1334
    3309833405pos 5
    3309933406dimension 50
    3310033407uid 107,0
    3310133408)
    33102 *1343 (MRCItem
    33103 litem &1329
     33409*1349 (MRCItem
     33410litem &1335
    3310433411pos 6
    3310533412dimension 80
  • TabularUnified firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_main/symbol.sb

    r10883 r10901  
    2222)
    2323version "24.1"
    24 appVersion "2009.1 (Build 12)"
     24appVersion "2009.2 (Build 10)"
    2525model (Symbol
    2626commonDM (CommonDM
     
    13481348(vvPair
    13491349variable "HDLDir"
    1350 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
     1350value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
    13511351)
    13521352(vvPair
    13531353variable "HDSDir"
    1354 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     1354value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    13551355)
    13561356(vvPair
    13571357variable "SideDataDesignDir"
    1358 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.info"
     1358value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.info"
    13591359)
    13601360(vvPair
    13611361variable "SideDataUserDir"
    1362 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.user"
     1362value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb.user"
    13631363)
    13641364(vvPair
    13651365variable "SourceDir"
    1366 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
     1366value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
    13671367)
    13681368(vvPair
     
    13801380(vvPair
    13811381variable "d"
    1382 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
     1382value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main"
    13831383)
    13841384(vvPair
    13851385variable "d_logical"
    1386 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
     1386value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main"
    13871387)
    13881388(vvPair
    13891389variable "date"
    1390 value "25.05.2011"
     1390value "01.06.2011"
    13911391)
    13921392(vvPair
     
    14001400(vvPair
    14011401variable "dd"
    1402 value "25"
     1402value "01"
    14031403)
    14041404(vvPair
     
    14281428(vvPair
    14291429variable "host"
    1430 value "IHP110"
     1430value "E5B-LABOR6"
    14311431)
    14321432(vvPair
     
    14641464(vvPair
    14651465variable "mm"
    1466 value "05"
     1466value "06"
    14671467)
    14681468(vvPair
     
    14721472(vvPair
    14731473variable "month"
    1474 value "Mai"
     1474value "Jun"
    14751475)
    14761476(vvPair
    14771477variable "month_long"
    1478 value "Mai"
     1478value "Juni"
    14791479)
    14801480(vvPair
    14811481variable "p"
    1482 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb"
     1482value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_main\\symbol.sb"
    14831483)
    14841484(vvPair
    14851485variable "p_logical"
    1486 value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\symbol.sb"
     1486value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_main\\symbol.sb"
    14871487)
    14881488(vvPair
     
    15081508(vvPair
    15091509variable "task_ModelSimPath"
    1510 value "D:\\modeltech_6.5e\\win32"
     1510value "C:\\modeltech_6.6a\\win32"
    15111511)
    15121512(vvPair
     
    15401540(vvPair
    15411541variable "time"
    1542 value "14:53:43"
     1542value "17:50:58"
    15431543)
    15441544(vvPair
     
    15481548(vvPair
    15491549variable "user"
    1550 value "daqct3"
     1550value "dneise"
    15511551)
    15521552(vvPair
    15531553variable "version"
    1554 value "2009.1 (Build 12)"
     1554value "2009.2 (Build 10)"
    15551555)
    15561556(vvPair
     
    16071607)
    16081608xt "44000,42000,80500,42800"
    1609 st "wiz_reset             : OUT    std_logic                     := '1' ;
    1610 "
     1609st "wiz_reset             : OUT    std_logic                     := '1' ;"
    16111610)
    16121611thePort (LogicalPort
     
    16541653)
    16551654xt "44000,32400,86500,33200"
    1656 st "led                   : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
    1657 "
     1655st "led                   : OUT    std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;"
    16581656)
    16591657thePort (LogicalPort
     
    17021700)
    17031701xt "44000,12400,67500,13200"
    1704 st "trigger               : IN     std_logic  ;
    1705 "
     1702st "trigger               : IN     std_logic  ;"
    17061703)
    17071704thePort (LogicalPort
     
    17481745)
    17491746xt "44000,22800,80500,23600"
    1750 st "adc_oeb               : OUT    std_logic                     := '1' ;
    1751 "
     1747st "adc_oeb               : OUT    std_logic                     := '1' ;"
    17521748)
    17531749thePort (LogicalPort
     
    17941790)
    17951791xt "44000,9200,77000,10000"
    1796 st "board_id              : IN     std_logic_vector (3 DOWNTO 0) ;
    1797 "
     1792st "board_id              : IN     std_logic_vector (3 DOWNTO 0) ;"
    17981793)
    17991794thePort (LogicalPort
     
    18391834)
    18401835xt "44000,10000,77000,10800"
    1841 st "crate_id              : IN     std_logic_vector (1 DOWNTO 0) ;
    1842 "
     1836st "crate_id              : IN     std_logic_vector (1 DOWNTO 0) ;"
    18431837)
    18441838thePort (LogicalPort
     
    18851879)
    18861880xt "44000,39600,77000,40400"
    1887 st "wiz_addr              : OUT    std_logic_vector (9 DOWNTO 0) ;
    1888 "
     1881st "wiz_addr              : OUT    std_logic_vector (9 DOWNTO 0) ;"
    18891882)
    18901883thePort (LogicalPort
     
    19321925)
    19331926xt "44000,44400,76500,45200"
    1934 st "wiz_data              : INOUT  std_logic_vector (15 DOWNTO 0)
    1935 "
     1927st "wiz_data              : INOUT  std_logic_vector (15 DOWNTO 0)"
    19361928)
    19371929thePort (LogicalPort
     
    19791971)
    19801972xt "44000,40400,80500,41200"
    1981 st "wiz_cs                : OUT    std_logic                     := '1' ;
    1982 "
     1973st "wiz_cs                : OUT    std_logic                     := '1' ;"
    19831974)
    19841975thePort (LogicalPort
     
    20262017)
    20272018xt "44000,42800,80500,43600"
    2028 st "wiz_wr                : OUT    std_logic                     := '1' ;
    2029 "
     2019st "wiz_wr                : OUT    std_logic                     := '1' ;"
    20302020)
    20312021thePort (LogicalPort
     
    20732063)
    20742064xt "44000,41200,80500,42000"
    2075 st "wiz_rd                : OUT    std_logic                     := '1' ;
    2076 "
     2065st "wiz_rd                : OUT    std_logic                     := '1' ;"
    20772066)
    20782067thePort (LogicalPort
     
    21202109)
    21212110xt "44000,13200,67500,14000"
    2122 st "wiz_int               : IN     std_logic  ;
    2123 "
     2111st "wiz_int               : IN     std_logic  ;"
    21242112)
    21252113thePort (LogicalPort
     
    21642152)
    21652153xt "44000,14800,67500,15600"
    2166 st "CLK_25_PS             : OUT    std_logic  ;
    2167 "
     2154st "CLK_25_PS             : OUT    std_logic  ;"
    21682155)
    21692156thePort (LogicalPort
     
    22092196)
    22102197xt "44000,15600,67500,16400"
    2211 st "CLK_50                : OUT    std_logic  ;
    2212 "
     2198st "CLK_50                : OUT    std_logic  ;"
    22132199)
    22142200thePort (LogicalPort
     
    22562242)
    22572243xt "44000,2000,67500,2800"
    2258 st "CLK                   : IN     std_logic  ;
    2259 "
     2244st "CLK                   : IN     std_logic  ;"
    22602245)
    22612246thePort (LogicalPort
     
    23002285)
    23012286xt "44000,8400,77000,9200"
    2302 st "adc_otr_array         : IN     std_logic_vector (3 DOWNTO 0) ;
    2303 "
     2287st "adc_otr_array         : IN     std_logic_vector (3 DOWNTO 0) ;"
    23042288)
    23052289thePort (LogicalPort
     
    23452329)
    23462330xt "44000,7600,72500,8400"
    2347 st "adc_data_array        : IN     adc_data_array_type  ;
    2348 "
     2331st "adc_data_array        : IN     adc_data_array_type  ;"
    23492332)
    23502333thePort (LogicalPort
     
    23892372)
    23902373xt "44000,30000,86500,30800"
    2391 st "drs_channel_id        : OUT    std_logic_vector (3 downto 0) := (others => '0') ;
    2392 "
     2374st "drs_channel_id        : OUT    std_logic_vector (3 downto 0) := (others => '0') ;"
    23932375)
    23942376thePort (LogicalPort
     
    24362418)
    24372419xt "44000,30800,80500,31600"
    2438 st "drs_dwrite            : OUT    std_logic                     := '1' ;
    2439 "
     2420st "drs_dwrite            : OUT    std_logic                     := '1' ;"
    24402421)
    24412422thePort (LogicalPort
     
    24822463)
    24832464xt "44000,4400,67500,5200"
    2484 st "SROUT_in_0            : IN     std_logic  ;
    2485 "
     2465st "SROUT_in_0            : IN     std_logic  ;"
    24862466)
    24872467thePort (LogicalPort
     
    25262506)
    25272507xt "44000,5200,67500,6000"
    2528 st "SROUT_in_1            : IN     std_logic  ;
    2529 "
     2508st "SROUT_in_1            : IN     std_logic  ;"
    25302509)
    25312510thePort (LogicalPort
     
    25702549)
    25712550xt "44000,6000,67500,6800"
    2572 st "SROUT_in_2            : IN     std_logic  ;
    2573 "
     2551st "SROUT_in_2            : IN     std_logic  ;"
    25742552)
    25752553thePort (LogicalPort
     
    26142592)
    26152593xt "44000,6800,67500,7600"
    2616 st "SROUT_in_3            : IN     std_logic  ;
    2617 "
     2594st "SROUT_in_3            : IN     std_logic  ;"
    26182595)
    26192596thePort (LogicalPort
     
    26582635)
    26592636xt "44000,20400,80500,21200"
    2660 st "RSRLOAD               : OUT    std_logic                     := '0' ;
    2661 "
     2637st "RSRLOAD               : OUT    std_logic                     := '0' ;"
    26622638)
    26632639thePort (LogicalPort
     
    27042680)
    27052681xt "44000,21200,80500,22000"
    2706 st "SRCLK                 : OUT    std_logic                     := '0' ;
    2707 "
     2682st "SRCLK                 : OUT    std_logic                     := '0' ;"
    27082683)
    27092684thePort (LogicalPort
     
    27512726)
    27522727xt "44000,35600,67500,36400"
    2753 st "sclk                  : OUT    std_logic  ;
    2754 "
     2728st "sclk                  : OUT    std_logic  ;"
    27552729)
    27562730thePort (LogicalPort
     
    27972771)
    27982772xt "44000,43600,67500,44400"
    2799 st "sio                   : INOUT  std_logic  ;
    2800 "
     2773st "sio                   : INOUT  std_logic  ;"
    28012774)
    28022775thePort (LogicalPort
     
    28452818)
    28462819xt "44000,26800,67500,27600"
    2847 st "dac_cs                : OUT    std_logic  ;
    2848 "
     2820st "dac_cs                : OUT    std_logic  ;"
    28492821)
    28502822thePort (LogicalPort
     
    28912863)
    28922864xt "44000,36400,77000,37200"
    2893 st "sensor_cs             : OUT    std_logic_vector (3 DOWNTO 0) ;
    2894 "
     2865st "sensor_cs             : OUT    std_logic_vector (3 DOWNTO 0) ;"
    28952866)
    28962867thePort (LogicalPort
     
    29382909)
    29392910xt "44000,34000,80500,34800"
    2940 st "mosi                  : OUT    std_logic                     := '0' ;
    2941 "
     2911st "mosi                  : OUT    std_logic                     := '0' ;"
    29422912)
    29432913thePort (LogicalPort
     
    29852955)
    29862956xt "44000,29200,94000,30000"
    2987 st "denable               : OUT    std_logic                     := '0' ; -- default domino wave off
    2988 "
     2957st "denable               : OUT    std_logic                     := '0' ; -- default domino wave off"
    29892958)
    29902959thePort (LogicalPort
     
    30343003)
    30353004xt "44000,22000,80500,22800"
    3036 st "SRIN_out              : OUT    std_logic                     := '0' ;
    3037 "
     3005st "SRIN_out              : OUT    std_logic                     := '0' ;"
    30383006)
    30393007thePort (LogicalPort
     
    30813049)
    30823050xt "44000,31600,67500,32400"
    3083 st "green                 : OUT    std_logic  ;
    3084 "
     3051st "green                 : OUT    std_logic  ;"
    30853052)
    30863053thePort (LogicalPort
     
    31273094)
    31283095xt "44000,25200,67500,26000"
    3129 st "amber                 : OUT    std_logic  ;
    3130 "
     3096st "amber                 : OUT    std_logic  ;"
    31313097)
    31323098thePort (LogicalPort
     
    31733139)
    31743140xt "44000,34800,67500,35600"
    3175 st "red                   : OUT    std_logic  ;
    3176 "
     3141st "red                   : OUT    std_logic  ;"
    31773142)
    31783143thePort (LogicalPort
     
    32183183)
    32193184xt "44000,2800,77000,3600"
    3220 st "D_T_in                : IN     std_logic_vector (1 DOWNTO 0) ;
    3221 "
     3185st "D_T_in                : IN     std_logic_vector (1 DOWNTO 0) ;"
    32223186)
    32233187thePort (LogicalPort
     
    32633227)
    32643228xt "44000,10800,99000,11600"
    3265 st "drs_refclk_in         : IN     std_logic  ; -- used to check if DRS REFCLK exsists, if not DENABLE inhibit
    3266 "
     3229st "drs_refclk_in         : IN     std_logic  ; -- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
    32673230)
    32683231thePort (LogicalPort
     
    33083271)
    33093272xt "44000,11600,106500,12400"
    3310 st "plllock_in            : IN     std_logic_vector (3 DOWNTO 0) ; -- high level, if dominowave is running and DRS PLL locked
    3311 "
     3273st "plllock_in            : IN     std_logic_vector (3 DOWNTO 0) ; -- high level, if dominowave is running and DRS PLL locked"
    33123274)
    33133275thePort (LogicalPort
     
    33553317)
    33563318xt "44000,26000,77500,26800"
    3357 st "counter_result        : OUT    std_logic_vector (11 DOWNTO 0) ;
    3358 "
     3319st "counter_result        : OUT    std_logic_vector (11 DOWNTO 0) ;"
    33593320)
    33603321thePort (LogicalPort
     
    34023363)
    34033364xt "44000,23600,67500,24400"
    3404 st "alarm_refclk_too_high : OUT    std_logic  ;
    3405 "
     3365st "alarm_refclk_too_high : OUT    std_logic  ;"
    34063366)
    34073367thePort (LogicalPort
     
    34483408)
    34493409xt "44000,24400,67500,25200"
    3450 st "alarm_refclk_too_low  : OUT    std_logic  ;
    3451 "
     3410st "alarm_refclk_too_low  : OUT    std_logic  ;"
    34523411)
    34533412thePort (LogicalPort
     
    34953454)
    34963455xt "44000,14000,67500,14800"
    3497 st "ADC_CLK               : OUT    std_logic  ;
    3498 "
     3456st "ADC_CLK               : OUT    std_logic  ;"
    34993457)
    35003458thePort (LogicalPort
     
    35423500)
    35433501xt "44000,38000,80500,38800"
    3544 st "trigger_veto          : OUT    std_logic                     := '1' ;
    3545 "
     3502st "trigger_veto          : OUT    std_logic                     := '1' ;"
    35463503)
    35473504thePort (LogicalPort
     
    35883545)
    35893546xt "44000,3600,67500,4400"
    3590 st "FTM_RS485_rx_d        : IN     std_logic  ;
    3591 "
     3547st "FTM_RS485_rx_d        : IN     std_logic  ;"
    35923548)
    35933549thePort (LogicalPort
     
    36333589)
    36343590xt "44000,18800,67500,19600"
    3635 st "FTM_RS485_tx_d        : OUT    std_logic  ;
    3636 "
     3591st "FTM_RS485_tx_d        : OUT    std_logic  ;"
    36373592)
    36383593thePort (LogicalPort
     
    36793634)
    36803635xt "44000,18000,67500,18800"
    3681 st "FTM_RS485_rx_en       : OUT    std_logic  ;
    3682 "
     3636st "FTM_RS485_rx_en       : OUT    std_logic  ;"
    36833637)
    36843638thePort (LogicalPort
     
    37253679)
    37263680xt "44000,19600,67500,20400"
    3727 st "FTM_RS485_tx_en       : OUT    std_logic  ;
    3728 "
     3681st "FTM_RS485_tx_en       : OUT    std_logic  ;"
    37293682)
    37303683thePort (LogicalPort
     
    37713724)
    37723725xt "44000,38800,102500,39600"
    3773 st "w5300_state           : OUT    std_logic_vector (7 DOWNTO 0) ; -- state is encoded here ... useful for debugging.
    3774 "
     3726st "w5300_state           : OUT    std_logic_vector (7 DOWNTO 0) ; -- state is encoded here ... useful for debugging."
    37753727)
    37763728thePort (LogicalPort
     
    38203772)
    38213773xt "44000,27600,67500,28400"
    3822 st "debug_data_ram_empty  : OUT    std_logic  ;
    3823 "
     3774st "debug_data_ram_empty  : OUT    std_logic  ;"
    38243775)
    38253776thePort (LogicalPort
     
    38663817)
    38673818xt "44000,28400,67500,29200"
    3868 st "debug_data_valid      : OUT    std_logic  ;
    3869 "
     3819st "debug_data_valid      : OUT    std_logic  ;"
    38703820)
    38713821thePort (LogicalPort
     
    39123862)
    39133863xt "44000,33200,102500,34000"
    3914 st "mem_manager_state     : OUT    std_logic_vector (3 DOWNTO 0) ; -- state is encoded here ... useful for debugging.
    3915 "
     3864st "mem_manager_state     : OUT    std_logic_vector (3 DOWNTO 0) ; -- state is encoded here ... useful for debugging."
    39163865)
    39173866thePort (LogicalPort
     
    39633912xt "44000,16400,77000,18000"
    39643913st "-- for debugging
    3965 DG_state              : OUT    std_logic_vector (7 downto 0) ;
    3966 "
     3914DG_state              : OUT    std_logic_vector (7 downto 0) ;"
    39673915)
    39683916thePort (LogicalPort
     
    40123960)
    40133961xt "44000,37200,92500,38000"
    4014 st "socket_tx_free_out    : OUT    std_logic_vector (16 DOWNTO 0) ; -- 17bit value .. that's true
    4015 "
     3962st "socket_tx_free_out    : OUT    std_logic_vector (16 DOWNTO 0) ; -- 17bit value .. that's true"
    40163963)
    40173964thePort (LogicalPort
     
    40764023st "Generic Declarations
    40774024
    4078 RAMADDRWIDTH64b integer 12 
    4079 "
     4025RAMADDRWIDTH64b integer 12  "
    40804026)
    40814027header "Generic Declarations"
     
    41174063bg "0,0,32768"
    41184064)
    4119 xt "36200,48000,47000,49000"
     4065xt "36200,48000,45900,49000"
    41204066st "
    41214067by %user on %dd %month %year
     
    41484094bg "0,0,32768"
    41494095)
    4150 xt "53200,44000,56500,45000"
     4096xt "53200,44000,56200,45000"
    41514097st "
    41524098Project:
     
    41794125bg "0,0,32768"
    41804126)
    4181 xt "36200,46000,47100,47000"
     4127xt "36200,46000,46200,47000"
    41824128st "
    41834129<enter diagram title here>
     
    42104156bg "0,0,32768"
    42114157)
    4212 xt "32200,46000,34500,47000"
     4158xt "32200,46000,34300,47000"
    42134159st "
    42144160Title:
     
    42414187bg "0,0,32768"
    42424188)
    4243 xt "53200,45200,63000,46200"
     4189xt "53200,45200,62400,46200"
    42444190st "
    42454191<enter comments here>
     
    42714217bg "0,0,32768"
    42724218)
    4273 xt "57200,44000,61900,45000"
     4219xt "57200,44000,61700,45000"
    42744220st "
    42754221%project_name
     
    43014247fg "32768,0,0"
    43024248)
    4303 xt "39200,44500,45800,45500"
     4249xt "39150,44500,45850,45500"
    43044250st "
    43054251<company name>
     
    43334279bg "0,0,32768"
    43344280)
    4335 xt "32200,47000,34500,48000"
     4281xt "32200,47000,34300,48000"
    43364282st "
    43374283Path:
     
    43644310bg "0,0,32768"
    43654311)
    4366 xt "32200,48000,35300,49000"
     4312xt "32200,48000,34900,49000"
    43674313st "
    43684314Edited:
     
    43954341bg "0,0,32768"
    43964342)
    4397 xt "36200,47000,50400,48000"
     4343xt "36200,47000,49000,48000"
    43984344st "
    43994345%library/%unit/%view
     
    44504396va (VaSet
    44514397)
    4452 xt "0,1000,16100,6000"
     4398xt "0,1000,14500,6000"
    44534399st "LIBRARY ieee;
    44544400USE ieee.std_logic_1164.all;
     
    44794425fg "0,0,32768"
    44804426)
    4481 xt "200,200,2400,1200"
     4427xt "200,200,2000,1200"
    44824428st "
    44834429Text
     
    47024648)
    47034649)
    4704 lastUid 8287,0
     4650lastUid 8310,0
    47054651okToSyncOnLoad 1
    47064652OkToSyncGenericsOnLoad 1
Note: See TracChangeset for help on using the changeset viewer.