Changeset 11513 for firmware/FTM
- Timestamp:
- 07/21/11 12:14:08 (13 years ago)
- Location:
- firmware/FTM
- Files:
-
- 1 added
- 5 edited
Legend:
- Unmodified
- Added
- Removed
-
firmware/FTM/Clock_cond_interface/Clock_cond_interface_tb.vhd
r10231 r11513 76 76 77 77 78 signal cc_R0 : std_logic_vector(31 downto 0) := x"000 10100";78 signal cc_R0 : std_logic_vector(31 downto 0) := x"00038000"; 79 79 signal cc_R1 : std_logic_vector(31 downto 0) := x"00010101"; 80 80 signal cc_R8 : std_logic_vector(31 downto 0) := x"10000908"; … … 83 83 signal cc_R13 : std_logic_vector(31 downto 0) := x"020A000D"; 84 84 signal cc_R14 : std_logic_vector(31 downto 0) := x"0830280E"; 85 signal cc_R15 : std_logic_vector(31 downto 0) := x"2000960F";85 signal cc_R15 : std_logic_vector(31 downto 0) := x"1400FA0F"; 86 86 87 87 88 88 signal start_config : std_logic := '0'; 89 89 signal timemarker_select : std_logic := '0'; -
firmware/FTM/Lightpulser_interface/Basic_Version/FM_pulse_generator_Basic.vhd
r10879 r11513 15 15 -- by Patrick Vogler, Quirin Weitzel 16 16 -- -> clean up 17 -- 18 -- modified: July 20 2011 19 -- by Patrick Vogler 20 -- reduce LED current to reduce total light yield 21 -- 17 22 18 23 … … 29 34 ENTITY FM_pulse_generator_Basic IS 30 35 GENERIC( 31 pulse_length : integer := FLD_PULSE_LENGTH_ BASIC-- 60ns36 pulse_length : integer := FLD_PULSE_LENGTH_FM -- 60ns 32 37 ); 33 38 PORT( 34 39 clk : in std_logic; 35 pulse_freq : in std_logic_vector ( 5downto 0);36 FM_out : out std_logic 40 pulse_freq : in std_logic_vector (6 downto 0); 41 FM_out : out std_logic := '0' 37 42 ); 38 43 END FM_pulse_generator_Basic; … … 44 49 clk_div: process (clk) 45 50 variable Z : integer range - FLD_MIN_FREQ_DIV_BASIC to FLD_FD_MAX_RANGE_BASIC; 46 variable Y : integer range 0 to FLD_PULSE_LENGTH_ BASIC;51 variable Y : integer range 0 to FLD_PULSE_LENGTH_FM; 47 52 variable X : integer range 0 to FLD_FD_MULT_BASIC ; 48 53 … … 50 55 51 56 if rising_edge(clk) then 52 if (X < FLD_FD_MULT_BASIC ) then57 if (X < FLD_FD_MULT_BASIC - 1) then 53 58 X := X+1; 54 59 else … … 57 62 Z := Z + 1; 58 63 else 59 Z := - FLD_MIN_FREQ_DIV_BASIC ;64 Z := - FLD_MIN_FREQ_DIV_BASIC + 1; 60 65 Y := 0; 61 66 end if; -
firmware/FTM/Lightpulser_interface/Basic_Version/Lightpulser_interface_Basic.vhd
r10879 r11513 31 31 -- by Patrick Vogler, Quirin Weitzel 32 32 -- -> clean up 33 -- 34 -- modified: July 20 2011 35 -- by Patrick Vogler 36 -- reduce minimal LED light output and increase dynamic range 33 37 -- 34 38 ---------------------------------------------------------------------------------- … … 111 115 port( 112 116 clk : in std_logic; -- 50 MHz 113 pulse_freq : in std_logic_vector ( 5downto 0);117 pulse_freq : in std_logic_vector (6 downto 0); 114 118 FM_out : out std_logic := '0' 115 119 ); … … 198 202 port map( 199 203 clk => clk_50, 200 pulse_freq => LP1_ampl_sig( 5downto 0),204 pulse_freq => LP1_ampl_sig(6 downto 0), 201 205 FM_out => PWM_sig_1 202 206 ); … … 206 210 port map( 207 211 clk => clk_50, 208 pulse_freq => LP2_ampl_sig( 5downto 0),212 pulse_freq => LP2_ampl_sig(6 downto 0), 209 213 FM_out => PWM_sig_2 210 214 ); -
firmware/FTM/Lightpulser_interface/Basic_Version/single_LP_Basic.vhd
r10879 r11513 32 32 -- by Patrick Vogler, Quirin Weitzel 33 33 -- -> clean up 34 -- 35 -- 36 -- modified: July 20 2011 37 -- by Patrick Vogler 38 -- reduce minimal LED light output and increase dynamic range 39 -- 34 40 ---------------------------------------------------------------------------------- 35 41 ---------------------------------------------------------------------------------- … … 77 83 single_LP_Basic_proc: process (clk_50) 78 84 79 variable Y : integer range 0 to FLD_PULSE_LENGTH_ BASIC;85 variable Y : integer range 0 to FLD_PULSE_LENGTH_Pulse; 80 86 81 87 begin … … 89 95 90 96 if (Pulse_Flag = '1') then 91 if (Y < FLD_PULSE_LENGTH_ BASIC) then97 if (Y < FLD_PULSE_LENGTH_Pulse) then 92 98 Y := Y + 1; 93 99 LP_Pulse_out <= '1'; -
firmware/FTM/ftm_definitions.vhd
r11485 r11513 60 60 -- 61 61 -- kw 10.06.: added CMD_CONFIG_FTU 62 -- 63 -- pv 21.07.: new lightpulser firmware to reduce LED current and light output 62 64 -- 63 65 ---------------------------------------------------------------------------------- … … 423 425 -- Lightpulser Basic Version 424 426 -- -------------------------------------------------------------------------------------- 425 constant FLD_PULSE_LENGTH_BASIC : integer := 3; -- 60ns pulse @ 50MHz 426 constant FLD_MIN_FREQ_DIV_BASIC : integer := 25; 427 constant FLD_FD_MULT_BASIC : integer := 10; 428 constant FLD_FD_MAX_RANGE_BASIC : integer := 64; 427 constant FLD_PULSE_LENGTH_Pulse : integer := 2; -- 40ns pulse @ 50MHz, instead of 3: 60ns pulse @ 50MHz 428 constant FLD_PULSE_LENGTH_FM : integer := 3; -- 60ns pulse @ 50MHz 429 constant FLD_MIN_FREQ_DIV_BASIC : integer := 8; -- before 25 430 constant FLD_FD_MULT_BASIC : integer := 8; -- before 10 431 constant FLD_FD_MAX_RANGE_BASIC : integer := 128;-- before 64 429 432 430 433 -- Timing counter
Note:
See TracChangeset
for help on using the changeset viewer.