Changeset 234 for FPGA/FTU/test_firmware


Ignore:
Timestamp:
07/01/10 10:31:43 (14 years ago)
Author:
qweitzel
Message:
ucf file for FTU updated
Location:
FPGA/FTU/test_firmware/FTU_test1
Files:
1 added
2 edited

Legend:

Unmodified
Added
Removed
  • FPGA/FTU/test_firmware/FTU_test1/FTU_test1.vhd

    r233 r234  
    3434    ext_clk   : IN  STD_LOGIC;                      -- external clock from FTU board
    3535    --reset     : in  STD_LOGIC;                      -- reset
    36     brd_add   : IN  STD_LOGIC_VECTOR(5 downto 0);   -- global board address (not local)
    37 
     36    brd_add   : IN  STD_LOGIC_VECTOR(5 downto 0);   -- geographic board/slot address
     37    brd_id    : IN  STD_LOGIC_VECTOR(7 downto 0);   -- local solder-programmable board ID
     38   
    3839    -- rate counters LVDS inputs
    3940    -- use IBUFDS differential input buffer
     
    108109    );
    109110
     111  enables_A(0) <= enable_sig;
     112  enables_A(1) <= enable_sig;
     113  enables_A(2) <= enable_sig;
     114  enables_A(3) <= enable_sig;
     115  enables_A(4) <= enable_sig;
     116  enables_A(5) <= enable_sig;
     117  enables_A(6) <= enable_sig;
     118  enables_A(7) <= enable_sig;
    110119  enables_A(8) <= enable_sig;
     120
     121  enables_B(0) <= enable_sig;
     122  enables_B(1) <= enable_sig;
     123  enables_B(2) <= enable_sig;
     124  enables_B(3) <= enable_sig;
     125  enables_B(4) <= enable_sig;
     126  enables_B(5) <= enable_sig;
     127  enables_B(6) <= enable_sig;
     128  enables_B(7) <= enable_sig;
    111129  enables_B(8) <= enable_sig;
     130
     131  enables_C(0) <= enable_sig;
     132  enables_C(1) <= enable_sig;
     133  enables_C(2) <= enable_sig;
     134  enables_C(3) <= enable_sig;
     135  enables_C(4) <= enable_sig;
     136  enables_C(5) <= enable_sig;
     137  enables_C(6) <= enable_sig;
     138  enables_C(7) <= enable_sig;
    112139  enables_C(8) <= enable_sig;
     140
     141  enables_D(0) <= enable_sig;
     142  enables_D(1) <= enable_sig;
     143  enables_D(2) <= enable_sig;
     144  enables_D(3) <= enable_sig;
     145  enables_D(4) <= enable_sig;
     146  enables_D(5) <= enable_sig;
     147  enables_D(6) <= enable_sig;
     148  enables_D(7) <= enable_sig;
    113149  enables_D(8) <= enable_sig;
    114 
     150 
    115151end Behavioral;
    116152
     
    130166architecture RTL of Clock_Divider is
    131167 
    132   constant max_count   : integer := 5000000/1000000; -- for simulation
    133   --constant max_count   : integer := 5000000/1;   -- for implementation
    134   constant final_count : integer := 3;
     168  --constant max_count   : integer := 5000000/1000000; -- for simulation
     169  constant max_count   : integer := 5000000/1;   -- for implementation
     170  constant final_count : integer := 10;
    135171 
    136172begin
  • FPGA/FTU/test_firmware/FTU_test1/FTU_test1_tb.vhd

    r233 r234  
    4343      ext_clk   : IN  STD_LOGIC;                      -- external clock from FTU board
    4444      --reset     : in  STD_LOGIC;                      -- reset
    45       brd_add   : IN  STD_LOGIC_VECTOR(5 downto 0);   -- global board address (not local)
     45      brd_add   : IN  STD_LOGIC_VECTOR(5 downto 0);   -- geographic board/slot address
     46      brd_id    : IN  STD_LOGIC_VECTOR(7 downto 0);   -- local solder-programmable address
    4647
    4748      -- rate counters LVDS inputs
Note: See TracChangeset for help on using the changeset viewer.