Changeset 9843 for firmware/FTU


Ignore:
Timestamp:
08/11/10 16:20:36 (14 years ago)
Author:
weitzel
Message:
ucf file for FTU updated: LVDS DIFF_TERM
File:
1 edited

Legend:

Unmodified
Added
Removed
  • firmware/FTU/ftu_board.ucf

    r234 r9843  
    66#
    77# by Patrick Vogler, Quirin Weitzel
    8 # 01 July 2010
     8# 11 August 2010
    99########################################################
    1010
     
    7979######################################################
    8080# logic signal from first trigger patch
    81 NET patch_A_p  LOC  = Y4 | IOSTANDARD=LVDS_33 | DIFF_TERM=No; # LVDS0_P
    82 NET patch_A_n  LOC  = Y5 | IOSTANDARD=LVDS_33 | DIFF_TERM=No; # LVDS0_N
     81NET patch_A_p  LOC  = Y4 | IOSTANDARD=LVDS_33 | DIFF_TERM="False"; # LVDS0_P
     82NET patch_A_n  LOC  = Y5 | IOSTANDARD=LVDS_33 | DIFF_TERM="False"; # LVDS0_N
    8383
    8484# logic signal from second trigger patch
    85 NET patch_B_p  LOC  = Y6 | IOSTANDARD=LVDS_33 | DIFF_TERM=No; # LVDS1_P
    86 NET patch_B_n  LOC  = Y7 | IOSTANDARD=LVDS_33 | DIFF_TERM=No; # LVDS1_N
     85NET patch_B_p  LOC  = Y6 | IOSTANDARD=LVDS_33 | DIFF_TERM="False"; # LVDS1_P
     86NET patch_B_n  LOC  = Y7 | IOSTANDARD=LVDS_33 | DIFF_TERM="False"; # LVDS1_N
    8787
    8888# logic signal from third trigger patch
    89 NET patch_C_p  LOC  = Y17 | IOSTANDARD=LVDS_33 | DIFF_TERM=No ; # LVDS2_P
    90 NET patch_C_n  LOC  = Y18 | IOSTANDARD=LVDS_33 | DIFF_TERM=No ; # LVDS2_N
     89NET patch_C_p  LOC  = Y17 | IOSTANDARD=LVDS_33 | DIFF_TERM="False" ; # LVDS2_P
     90NET patch_C_n  LOC  = Y18 | IOSTANDARD=LVDS_33 | DIFF_TERM="False" ; # LVDS2_N
    9191
    9292# logic signal from fourth trigger patch
    93 NET patch_D_p  LOC  = Y16 | IOSTANDARD=LVDS_33 | DIFF_TERM=No ; # LVDS3_P
    94 NET patch_D_n  LOC  = W16 | IOSTANDARD=LVDS_33 | DIFF_TERM=No ; # LVDS3_N
     93NET patch_D_p  LOC  = Y16 | IOSTANDARD=LVDS_33 | DIFF_TERM="False" ; # LVDS3_P
     94NET patch_D_n  LOC  = W16 | IOSTANDARD=LVDS_33 | DIFF_TERM="False" ; # LVDS3_N
    9595
    9696#The Trigger Primitive: logic signal from n-out-of-4 circuit
    97 NET trig_prim_p   LOC  = Y13 | IOSTANDARD=LVDS_33 | DIFF_TERM=No ; # TRG_P+
    98 NET trig_prim_n   LOC  = W13 | IOSTANDARD=LVDS_33 | DIFF_TERM=No ; # TRG_P-
     97NET trig_prim_p   LOC  = Y13 | IOSTANDARD=LVDS_33 | DIFF_TERM="False" ; # TRG_P+
     98NET trig_prim_n   LOC  = W13 | IOSTANDARD=LVDS_33 | DIFF_TERM="False" ; # TRG_P-
    9999
    100100
Note: See TracChangeset for help on using the changeset viewer.