# # ChangeLog for firmware/FTM # # Generated by Trac 1.5.2 # 09/23/24 20:26:43 Fri, 08 Apr 2011 13:26:50 GMT weitzel [10328] * firmware/FTM/FTM_central_control.vhd (modified) * firmware/FTM/FTM_top_tb.vhd (modified) * firmware/FTM/ftm_definitions.vhd (modified) * firmware/FTM/ftu_control/FTM_ftu_control.vhd (modified) changes in FTM firmware to debug rates readout, clock conditioner added Thu, 24 Mar 2011 16:38:45 GMT vogler [10260] * firmware/FTM/Clock_cond_interface/Clock_cond_interface.vhd (modified) * firmware/FTM/ftm_definitions.vhd (modified) ftm_definitions clock constants and array for conditioner interface ... Thu, 24 Mar 2011 15:43:44 GMT vogler [10259] * firmware/FTM/Clock_cond_interface/Clock_cond_interface.vhd (modified) * firmware/FTM/ftm_definitions.vhd (modified) ftm_definitions update constants for clock conditioner interface ... Thu, 24 Mar 2011 15:00:51 GMT vogler [10258] * firmware/FTM/Clock_cond_interface/Clock_cond_interface.vhd (modified) * firmware/FTM/ftm_definitions.vhd (modified) ftm_definitions update clk_cond_array_type Thu, 24 Mar 2011 14:54:14 GMT vogler [10257] * firmware/FTM/ftm_definitions.vhd (modified) ftm_definitions update clk_cond_array_type Thu, 24 Mar 2011 14:42:34 GMT weitzel [10256] * firmware/FTM/FTM_central_control.vhd (modified) * firmware/FTM/FTM_top.vhd (modified) * firmware/FTM/FTM_top_tb.vhd (modified) * firmware/FTM/ethernet/cram_control_beha.vhd (modified) * firmware/FTM/ethernet/dd_write_general_modul_beha.vhd (added) * firmware/FTM/ethernet/dram_control_beha.vhd (modified) * firmware/FTM/ethernet/ethernet_modul_beha.vhd (modified) * firmware/FTM/ethernet/fram_control_beha.vhd (modified) * firmware/FTM/ethernet/header_modul_beha.vhd (added) * firmware/FTM/ethernet/w5300_modul.vhd (modified) * firmware/FTM/ftm_board.ucf (modified) * firmware/FTM/ftm_definitions.vhd (modified) * firmware/FTM/ftu_control/FTM_ftu_control.vhd (modified) * firmware/FTM/ftu_control/FTM_ftu_rs485_interface.vhd (modified) new version of FTM ethernet module; first version of clock conditioner Wed, 23 Mar 2011 08:15:11 GMT vogler [10250] * firmware/FTM/Clock_cond_interface/Clock_cond_interface.vhd (modified) Clock_cond_interface updated: PLL lock disconnected Mon, 21 Mar 2011 15:07:23 GMT vogler [10249] * firmware/FTM/ftm_definitions.vhd (modified) ftm timing counter constants updated Fri, 18 Mar 2011 16:49:16 GMT vogler [10248] * firmware/FTM/ftm_definitions.vhd (modified) update ftm definitions: timing counters zero Fri, 18 Mar 2011 16:31:32 GMT vogler [10247] * firmware/FTM/ftm_definitions.vhd (modified) ftm_definitions: timing counter and temp sensor interface Fri, 18 Mar 2011 16:21:41 GMT weitzel [10246] * firmware/FTM/ftm_definitions.vhd (modified) Mon, 14 Mar 2011 10:02:26 GMT vogler [10243] * firmware/FTM/ftm_definitions.vhd (modified) ftu_definitions constant for timing counter added Fri, 11 Mar 2011 14:24:55 GMT vogler [10239] * firmware/FTM/ftm_definitions.vhd (modified) Timing counter width added Thu, 10 Mar 2011 15:33:58 GMT weitzel [10232] * firmware/FTM/ftu_control/FTM_ftu_rs485_interpreter.vhd (modified) bugfix in FTM_ftu_rs485_interpreter Wed, 09 Mar 2011 17:08:04 GMT vogler [10231] * firmware/FTM/Clock_cond_interface (added) * firmware/FTM/Clock_cond_interface/Clock_cond_interface.vhd (added) * firmware/FTM/Clock_cond_interface/Clock_cond_interface_tb.vhd (added) * firmware/FTM/Clock_cond_interface/microwire_clock_gen.vhd (added) * firmware/FTM/Clock_cond_interface/microwire_controller.vhd (added) * firmware/FTM/Clock_cond_interface/microwire_interface.vhd (added) Check in Clock conditioner interface first version Mon, 07 Mar 2011 13:03:47 GMT vogler [10229] * firmware/FTM/ftm_definitions.vhd (modified) constant for Lightpulser interface added Mon, 07 Mar 2011 12:53:36 GMT vogler [10228] * firmware/FTM/ftm_definitions.vhd (modified) constant for Lightpulser interface added Fri, 04 Mar 2011 17:42:32 GMT weitzel [10227] * firmware/FTM/FTM_central_control.vhd (added) * firmware/FTM/FTM_top.vhd (modified) * firmware/FTM/FTM_top_tb.vhd (modified) * firmware/FTM/clock (added) * firmware/FTM/clock/FTM_clk_gen.vhd (added) * firmware/FTM/clock/FTM_dcm_40M_to_250M.vhd (added) * firmware/FTM/clock/FTM_dcm_40M_to_250M.xaw (added) * firmware/FTM/clock/FTM_dcm_40M_to_250M_arwz.ucf (added) * firmware/FTM/clock/FTM_dcm_40M_to_50M.vhd (added) * firmware/FTM/clock/FTM_dcm_40M_to_50M.xaw (added) * firmware/FTM/clock/FTM_dcm_40M_to_50M_arwz.ucf (added) * firmware/FTM/clock/xaw2vhdl.log (added) * firmware/FTM/ethernet (added) * firmware/FTM/ethernet/CRAM_4096_16b.ngc (added) * firmware/FTM/ethernet/CRAM_4096_16b_CRAM_4096_16b_a.vhd (added) * firmware/FTM/ethernet/DRAM_4096_16b.ngc (added) * firmware/FTM/ethernet/DRAM_4096_16b_DRAM_4096_16b_a.vhd (added) * firmware/FTM/ethernet/FRAM_4096_16b.ngc (added) * firmware/FTM/ethernet/FRAM_4096_16b_FRAM_4096_16b_a.vhd (added) * firmware/FTM/ethernet/cram_control_beha.vhd (added) * firmware/FTM/ethernet/dram_control_beha.vhd (added) * firmware/FTM/ethernet/eth_config_modul_beha.vhd (added) * firmware/FTM/ethernet/ethernet_modul_beha.vhd (added) * firmware/FTM/ethernet/fram_control_beha.vhd (added) * firmware/FTM/ethernet/w5300_modul.vhd (added) * firmware/FTM/ftm_board.ucf (modified) * firmware/FTM/ftm_definitions.vhd (modified) * firmware/FTM/ftu_control/FTM_ftu_control.vhd (modified) first version of FTM firmware including ethernet and FTU interface; ... Fri, 25 Feb 2011 14:36:25 GMT vogler [10179] * firmware/FTM/ftm_definitions.vhd (modified) Thu, 24 Feb 2011 14:58:42 GMT weitzel [10177] * firmware/FTM/ftm_definitions.vhd (modified) new version of ftm_definitions.vhd, including Kai's definitions Thu, 24 Feb 2011 11:24:51 GMT weitzel [10175] * firmware/FTM/ftu_control (added) * firmware/FTM/ftu_control/FTM_ftu_control.vhd (added) * firmware/FTM/ftu_control/FTM_ftu_rs485_interface.vhd (added) * firmware/FTM/ftu_control/FTM_ftu_rs485_interpreter.vhd (added) * firmware/FTM/ftu_control/FTM_ftu_rs485_receiver.vhd (added) * firmware/FTM/ftu_control/ucrc_par.vhd (added) first version of FTM_ftu_control, not yet tested Tue, 22 Feb 2011 17:24:58 GMT weitzel [10171] * firmware/FTM/doc/v3.3 (added) * firmware/FTM/doc/v3.3/FTM_firmware_specs_v3-3.pdf (added) * firmware/FTM/doc/v3.3/FTM_firmware_specs_v3-3.tex (added) FTM docu v3.3 added Fri, 18 Feb 2011 16:02:38 GMT weitzel [10163] * firmware/FTM/doc/v3.2 (added) * firmware/FTM/doc/v3.2/FTM_firmware_specs_v3-2.pdf (added) * firmware/FTM/doc/v3.2/FTM_firmware_specs_v3-2.tex (added) FTM docu v3.2 added Fri, 18 Feb 2011 09:06:29 GMT vogler [10162] * firmware/FTM/ftm_definitions.vhd (modified) FTM definitions array for clock cond updated Thu, 17 Feb 2011 15:57:32 GMT vogler [10161] * firmware/FTM/doc/v3.1 (added) * firmware/FTM/doc/v3.1/FTM_firmware_specs_v3-1.pdf (added) * firmware/FTM/doc/v3.1/FTM_firmware_specs_v3-1.tex (added) FTM docu V3.1 added Thu, 17 Feb 2011 15:27:27 GMT vogler [10160] * firmware/FTM/ftm_definitions.vhd (modified) constant definition for FTM clock conditioner interface Thu, 17 Feb 2011 14:45:29 GMT vogler [10159] * firmware/FTM/ftm_definitions.vhd (modified) constant definition for FTM clock conditioner interface Thu, 17 Feb 2011 14:24:16 GMT vogler [10158] * firmware/FTM/ftm_definitions.vhd (modified) type definition for FTM clock conditioner interface Thu, 17 Feb 2011 13:54:45 GMT weitzel [10157] * firmware/FTM/ftm_definitions.vhd (modified) new version of ftm_definitions.vhd Thu, 10 Feb 2011 14:52:59 GMT weitzel [10151] * firmware/FTM/ftm_definitions.vhd (modified) new array types and constants in library ftm_definitions Thu, 03 Feb 2011 10:51:52 GMT weitzel [10127] * firmware/FTM/FTM_top_tb.vhd (modified) * firmware/FTM/ftm_definitions.vhd (added) ftm library file added and small bug fix in FTM test bench Tue, 01 Feb 2011 16:09:29 GMT weitzel [10124] * firmware/FTM/doc (added) * firmware/FTM/doc/v3.0 (added) * firmware/FTM/doc/v3.0/FTM_firmware_specs_v3-0.pdf (added) * firmware/FTM/doc/v3.0/FTM_firmware_specs_v3-0.tex (added) FTM firmware documentation v3.0 added Fri, 14 Jan 2011 15:42:13 GMT weitzel [10104] * firmware/FTM/test_firmware/FTM_test9 (added) * firmware/FTM/test_firmware/FTM_test9/FTM_test9.vhd (added) * firmware/FTM/test_firmware/FTM_test9/FTM_test9_dcm.vhd (added) * firmware/FTM/test_firmware/FTM_test9/FTM_test9_rs485_interface.vhd (added) * firmware/FTM/test_firmware/FTM_test9/FTM_test9_tb.vhd (added) * firmware/FTM/test_firmware/FTM_test9/ftm_board_test9.ucf (added) FTM_test9 added: check FTM-FTU communication Wed, 08 Dec 2010 16:14:34 GMT weitzel [10067] * firmware/FTM/FTM_top.vhd (added) * firmware/FTM/FTM_top_tb.vhd (added) * firmware/FTM/ftm_board.ucf (modified) Skeleton of FTM_top and FTM_top_tb added Tue, 23 Nov 2010 14:44:36 GMT weitzel [10058] * firmware/FTM/test_firmware/FTM_test8/FTM_test8.vhd (modified) * firmware/FTM/test_firmware/FTM_test8/FTM_test8_tb.vhd (added) * firmware/FTM/test_firmware/FTM_test8/ftm_board_test8.ucf (modified) Some modifications and a test bench for FTM_test8 Fri, 29 Oct 2010 08:59:48 GMT vogler [10046] * firmware/FTM/test_firmware/FTM_test1 (added) * firmware/FTM/test_firmware/FTM_test1/FTM_test1.vhd (added) * firmware/FTM/test_firmware/FTM_test1/FTM_test1_dcm.vhd (added) * firmware/FTM/test_firmware/FTM_test1/FTM_test1_dcm_arwz.ucf (added) * firmware/FTM/test_firmware/FTM_test1/ftm_board.ucf (added) * firmware/FTM/test_firmware/FTM_test2 (added) * firmware/FTM/test_firmware/FTM_test2/FTM_test2.vhd (added) * firmware/FTM/test_firmware/FTM_test2/FTM_test2_backup.vhd (added) * firmware/FTM/test_firmware/FTM_test2/FTM_test2_dcm.vhd (added) * firmware/FTM/test_firmware/FTM_test2/FTM_test2_dcm_arwz.ucf (added) * firmware/FTM/test_firmware/FTM_test2/ftm_board_test2.ucf (added) * firmware/FTM/test_firmware/FTM_test3 (added) * firmware/FTM/test_firmware/FTM_test3/#FTM_test3_microwire_controller.vhd# (added) * firmware/FTM/test_firmware/FTM_test3/FTM_definitions_test3.vhd (added) * firmware/FTM/test_firmware/FTM_test3/FTM_test3.vhd (added) * firmware/FTM/test_firmware/FTM_test3/FTM_test3_dcm.vhd (added) * firmware/FTM/test_firmware/FTM_test3/FTM_test3_dcm_arwz.ucf (added) * firmware/FTM/test_firmware/FTM_test3/FTM_test3_microwire_clock_gen.vhd (added) * firmware/FTM/test_firmware/FTM_test3/FTM_test3_microwire_controller.vhd (added) * firmware/FTM/test_firmware/FTM_test3/FTM_test3_microwire_interface.vhd (added) * firmware/FTM/test_firmware/FTM_test3/ftm_board_test3.ucf (added) * firmware/FTM/test_firmware/FTM_test4 (added) * firmware/FTM/test_firmware/FTM_test4/FTM_test4.vhd (added) * firmware/FTM/test_firmware/FTM_test4/FTM_test4_dcm.vhd (added) * firmware/FTM/test_firmware/FTM_test4/FTM_test4_dcm.xaw (added) * firmware/FTM/test_firmware/FTM_test4/FTM_test4_dcm_arwz.ucf (added) * firmware/FTM/test_firmware/FTM_test4/ftm_board_test4.ucf (added) * firmware/FTM/test_firmware/FTM_test4/ftm_test4_definitions.vhd (added) * firmware/FTM/test_firmware/FTM_test4/w5300_modul.vhd (added) * firmware/FTM/test_firmware/FTM_test5 (added) * firmware/FTM/test_firmware/FTM_test5/FTM_test5.vhd (added) * firmware/FTM/test_firmware/FTM_test5/ftm_board_test5.ucf (added) * firmware/FTM/test_firmware/FTM_test6 (added) * firmware/FTM/test_firmware/FTM_test6/FTM_test6.vhd (added) * firmware/FTM/test_firmware/FTM_test6/ftm_board_test6.ucf (added) * firmware/FTM/test_firmware/FTM_test7 (added) * firmware/FTM/test_firmware/FTM_test7/FTM_Test7_dcm.vhd (added) * firmware/FTM/test_firmware/FTM_test7/FTM_Test7_dcm_arwz.ucf (added) * firmware/FTM/test_firmware/FTM_test7/FTM_test7.vhd (added) * firmware/FTM/test_firmware/FTM_test7/ftm_board_test7.ucf (added) * firmware/FTM/test_firmware/FTM_test8 (added) * firmware/FTM/test_firmware/FTM_test8/FTM_Test8_dcm.vhd (added) * firmware/FTM/test_firmware/FTM_test8/FTM_Test8_dcm_arwz.ucf (added) * firmware/FTM/test_firmware/FTM_test8/FTM_test8.vhd (added) * firmware/FTM/test_firmware/FTM_test8/FTM_test8_rs485_interface.vhd (added) * firmware/FTM/test_firmware/FTM_test8/FTM_test8_rs485_interface_OLD.vhd (added) * firmware/FTM/test_firmware/FTM_test8/ftm_board_test8.ucf (added) Test firmware for FTM hardware testing Wed, 18 Aug 2010 12:42:10 GMT vogler [9879] * firmware/FTM/ftm_board.ucf (modified) FTM pin location ucf file updated and test 1 & 2 checked in Wed, 11 Aug 2010 15:55:05 GMT vogler [9844] * firmware/FTM/ftm_board.ucf (added) FTM: first version of the pin location ucf file (ftm_board.ucf) added ... Wed, 11 Aug 2010 14:14:58 GMT vogler [9842] * firmware/FTM/test_firmware (added) test_firmware folder added for FTM (to check my svn account) Wed, 11 Aug 2010 11:26:54 GMT weitzel [9841] * firmware (moved) directory FPGA re-named as firmware Mon, 11 Jan 2010 11:36:04 GMT qweitzel [141] * FPGA (added) * FPGA/FAD (added) * FPGA/FTM (added) * FPGA/FTU (added) * FPGA/examples (added) * M0 (added) some new diretories